commit c7ba678fbb65b3ef42458e3de30bd78357b54c3b Author: Rémi Heredero <63239207+Klagarge@users.noreply.github.com> Date: Wed Nov 24 10:50:51 2021 +0100 Initial commit diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..67f7228 --- /dev/null +++ b/.gitignore @@ -0,0 +1,30 @@ +# Ignore HDL Designer Folder +Prefs/hds_user/logs/ +Prefs/dc_user/ +Prefs/dp_user/ +Prefs/hds.info/ + +# Ignore HDL Designer Task generated files +Board/concat/concatenated.vhd +Board/concat/eln_cursor.vhd + +# Ignore files automatically generated by HDL Designer +.cache.dat +*.bak +*.lck +*.vhd.info +default_view +*_entity.vhd +*_struct.vhd +*_fsm.vhd +*.vhg +*.DS_STORE +*.xrf/ + +# Ignore verilog and c files +*.v +*.sv +*.svh +*.c +*.cpp +*.psl \ No newline at end of file diff --git a/Board/concat/eln_cursor.ucf b/Board/concat/eln_cursor.ucf new file mode 100644 index 0000000..5a570c1 --- /dev/null +++ b/Board/concat/eln_cursor.ucf @@ -0,0 +1,80 @@ +#------------------------------------------------------------------------------- +# Clock, reset +# +NET "clock" LOC = "A10" ; +NET "reset_n" LOC = "A15" | PULLUP ; +NET "testMode" LOC = "T10"; + +#------------------------------------------------------------------------------- +# Buttons, V1 board +# +#NET "restart_n" LOC = "E8" ; +#NET "go1_n" LOC = "G9" ; +#NET "go2_n" LOC = "F9" ; +#NET "button4_n" LOC = "F7" ; + +#------------------------------------------------------------------------------- +# Buttons, V2 board +# +NET "restart_n" LOC = "G9" ; +NET "go1_n" LOC = "F9" ; +NET "go2_n" LOC = "F7" ; +NET "button4_n" LOC = "F8" ; + +#------------------------------------------------------------------------------- +# Sensors +# +NET "sensor1_n" LOC = "B6" ; +NET "sensor2_n" LOC = "A6" ; +NET "encoderA_n" LOC = "G4" ; +NET "encoderB_n" LOC = "E2" ; +NET "encoderI_n" LOC = "G3" ; + +#------------------------------------------------------------------------------- +# Motor control +# +NET "motorOn" LOC = "B3" ; +NET "side1" LOC = "G6" ; +NET "side2" LOC = "C5" ; + +#------------------------------------------------------------------------------- +# LEDs, V1 board +# +#NET "LED1" LOC = "B16"; +#NET "LED2" LOC = "A16"; +#NET "LEDs_n<1>" LOC = "E7" ; +#NET "LEDs_n<2>" LOC = "B14"; +#NET "LEDs_n<3>" LOC = "B13"; +#NET "LEDs_n<4>" LOC = "B11"; +#NET "LEDs_n<5>" LOC = "A8" ; +#NET "LEDs_n<6>" LOC = "C7" ; +#NET "LEDs_n<7>" LOC = "A14"; +#NET "LEDs_n<8>" LOC = "A11"; + +#------------------------------------------------------------------------------- +# LEDs, V2 board +# +NET "LED1" LOC = "B16"; +NET "LED2" LOC = "A16"; +NET "LEDs<1>" LOC = "E9" ; +NET "LEDs<2>" LOC = "A8"; +NET "LEDs<3>" LOC = "F11"; +NET "LEDs<4>" LOC = "B11"; +NET "LEDs<5>" LOC = "B13"; +NET "LEDs<6>" LOC = "B14"; +NET "LEDs<7>" LOC = "E7" ; +NET "LEDs<8>" LOC = "E8" ; + +#------------------------------------------------------------------------------- +# LCD +# +NET "LCD_CS1_n" LOC = "A11"; +NET "LCD_SCL" LOC = "D7" ; +NET "LCD_SI" LOC = "C7" ; +NET "LCD_A0" LOC = "A14"; +NET "LCD_RST_n" LOC = "A13"; + +#------------------------------------------------------------------------------- +# Globals +# +NET "*" IOSTANDARD = LVCMOS33; diff --git a/Board/hds/.hdlsidedata/_fpga_cursor_entity.vhg._fpf b/Board/hds/.hdlsidedata/_fpga_cursor_entity.vhg._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/Board/hds/.hdlsidedata/_fpga_cursor_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/Board/hds/.hdlsidedata/_fpga_cursor_struct.vhg._fpf b/Board/hds/.hdlsidedata/_fpga_cursor_struct.vhg._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/Board/hds/.hdlsidedata/_fpga_cursor_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/Board/hds/@f@p@g@a_cursor/struct.bd b/Board/hds/@f@p@g@a_cursor/struct.bd new file mode 100644 index 0000000..58d6ef1 --- /dev/null +++ b/Board/hds/@f@p@g@a_cursor/struct.bd @@ -0,0 +1,13173 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +(DmPackageRef +library "Common" +unitName "CommonLib" +) +] +instances [ +(Instance +name "I1" +duLibraryName "gates" +duName "inverter" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 1661,0 +) +(Instance +name "I2" +duLibraryName "sequential" +duName "DFF" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 2212,0 +) +(Instance +name "I3" +duLibraryName "sequential" +duName "DFF" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 2262,0 +) +(Instance +name "I4" +duLibraryName "sequential" +duName "DFF" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 2306,0 +) +(Instance +name "I5" +duLibraryName "sequential" +duName "DFF" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 2361,0 +) +(Instance +name "I6" +duLibraryName "sequential" +duName "DFF" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 2473,0 +) +(Instance +name "I7" +duLibraryName "gates" +duName "inverter" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 2576,0 +) +(Instance +name "I8" +duLibraryName "gates" +duName "inverter" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 2624,0 +) +(Instance +name "I9" +duLibraryName "gates" +duName "inverter" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 2998,0 +) +(Instance +name "I10" +duLibraryName "gates" +duName "inverter" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 3024,0 +) +(Instance +name "I11" +duLibraryName "gates" +duName "inverter" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 3044,0 +) +(Instance +name "I12" +duLibraryName "gates" +duName "inverter" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 3223,0 +) +(Instance +name "I13" +duLibraryName "sequential" +duName "DFF" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 3243,0 +) +(Instance +name "I14" +duLibraryName "sequential" +duName "DFF" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 3330,0 +) +(Instance +name "I15" +duLibraryName "gates" +duName "inverter" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 3356,0 +) +(Instance +name "I16" +duLibraryName "sequential" +duName "DFF" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 4087,0 +) +(Instance +name "I17" +duLibraryName "sequential" +duName "DFF" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 4113,0 +) +(Instance +name "I18" +duLibraryName "gates" +duName "inverter" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 4139,0 +) +(Instance +name "I19" +duLibraryName "gates" +duName "inverter" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 4159,0 +) +(Instance +name "I20" +duLibraryName "sequential" +duName "DFF" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 4235,0 +) +(Instance +name "I21" +duLibraryName "gates" +duName "inverter" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 4261,0 +) +(Instance +name "I0" +duLibraryName "Cursor" +duName "cursorCircuit" +elements [ +(GiElement +name "position0" +type "positive" +value "position0" +) +(GiElement +name "position1" +type "positive" +value "position1" +) +(GiElement +name "position2" +type "positive" +value "position2" +) +(GiElement +name "slopeShiftBitNb" +type "positive" +value "slopeShiftBitNb" +) +(GiElement +name "pwmBitNb" +type "positive" +value "pwmBitNb" +) +(GiElement +name "testLineNb" +type "positive" +value "testLineNb" +) +] +mwi 0 +uid 5636,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +(EmbeddedInstance +name "eb2" +number "2" +) +] +libraryRefs [ +"ieee" +"gates" +"Common" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hds\\@f@p@g@a_cursor\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hds\\@f@p@g@a_cursor\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hds\\@f@p@g@a_cursor" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hds\\FPGA_cursor" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "FPGA_cursor" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "08:13:01" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\ELN_cursor\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\ELN_cursor\\Synthesis" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "FPGA_cursor" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hds\\@f@p@g@a_cursor\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hds\\FPGA_cursor\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "/usr/opt/Modelsim/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "08:13:01" +) +(vvPair +variable "unit" +value "FPGA_cursor" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 198,0 +optionalChildren [ +*1 (Net +uid 1325,0 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +declText (MLText +uid 1326,0 +va (VaSet +isHidden 1 +) +xt "-5000,100800,8400,102000" +st "clock : std_ulogic" +) +) +*2 (Grouping +uid 1487,0 +optionalChildren [ +*3 (CommentText +uid 1489,0 +shape (Rectangle +uid 1490,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "121000,110000,140000,112000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 1491,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "121200,110400,136600,111600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 1492,0 +shape (Rectangle +uid 1493,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "89000,110000,115000,112000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 1494,0 +va (VaSet +fg "32768,0,0" +font "Verdana,12,1" +) +xt "95150,110300,108850,111700" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 1495,0 +shape (Rectangle +uid 1496,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "94000,116000,115000,118000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 1497,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "94200,116400,112600,117600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 1498,0 +shape (Rectangle +uid 1499,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "115000,110000,121000,112000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 1500,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "115200,110400,119900,111600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 1501,0 +shape (Rectangle +uid 1502,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "94000,112000,115000,114000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 1503,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "94200,112400,109400,113600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 1504,0 +shape (Rectangle +uid 1505,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "89000,112000,94000,114000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 1506,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "89200,112400,92600,113600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 1507,0 +shape (Rectangle +uid 1508,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "89000,114000,94000,116000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 1509,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "89200,114400,92600,115600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 1510,0 +shape (Rectangle +uid 1511,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "115000,112000,140000,118000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 1512,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "115200,112200,129300,113400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*11 (CommentText +uid 1513,0 +shape (Rectangle +uid 1514,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "94000,114000,115000,116000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 1515,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "94200,114400,109700,115600" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*12 (CommentText +uid 1516,0 +shape (Rectangle +uid 1517,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "89000,116000,94000,118000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 1518,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "89200,116400,93500,117600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 1488,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "89000,110000,140000,118000" +) +oxt "13000,22000,64000,30000" +) +*13 (PortIoIn +uid 1583,0 +shape (CompositeShape +uid 1584,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1585,0 +sl 0 +ro 270 +xt "26000,77625,27500,78375" +) +(Line +uid 1586,0 +sl 0 +ro 270 +xt "27500,78000,28000,78000" +pts [ +"27500,78000" +"28000,78000" +] +) +] +) +tg (WTG +uid 1587,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1588,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "21200,77300,25000,78700" +st "clock" +ju 2 +blo "25000,78500" +tm "WireNameMgr" +) +s (Text +uid 1589,0 +va (VaSet +) +xt "21200,78700,21200,78700" +ju 2 +blo "21200,78700" +tm "SignalTypeMgr" +) +) +) +*14 (PortIoIn +uid 1590,0 +shape (CompositeShape +uid 1591,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1592,0 +sl 0 +ro 270 +xt "26000,91625,27500,92375" +) +(Line +uid 1593,0 +sl 0 +ro 270 +xt "27500,92000,28000,92000" +pts [ +"27500,92000" +"28000,92000" +] +) +] +) +tg (WTG +uid 1594,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1595,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "19300,91300,25000,92700" +st "reset_n" +ju 2 +blo "25000,92500" +tm "WireNameMgr" +) +s (Text +uid 1596,0 +va (VaSet +) +xt "19300,92700,19300,92700" +ju 2 +blo "19300,92700" +tm "SignalTypeMgr" +) +) +) +*15 (Net +uid 1633,0 +decl (Decl +n "reset_n" +t "std_ulogic" +o 8 +suid 2,0 +) +declText (MLText +uid 1634,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,46000,11300,47000" +st "reset_n : std_ulogic" +) +) +*16 (Net +uid 1635,0 +decl (Decl +n "reset" +t "std_ulogic" +o 36 +suid 3,0 +) +declText (MLText +uid 1636,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,46000,14100,47000" +st "SIGNAL reset : std_ulogic" +) +) +*17 (SaComponent +uid 1661,0 +optionalChildren [ +*18 (CptPort +uid 1652,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1653,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "32250,91625,33000,92375" +) +tg (CPTG +uid 1654,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1655,0 +va (VaSet +isHidden 1 +) +xt "33000,91500,34800,92500" +st "in1" +blo "33000,92300" +) +s (Text +uid 1670,0 +va (VaSet +isHidden 1 +) +xt "33000,92500,33000,92500" +blo "33000,92500" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*19 (CptPort +uid 1656,0 +optionalChildren [ +*20 (Circle +uid 1660,0 +va (VaSet +fg "0,65535,0" +) +xt "38000,91625,38750,92375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 1657,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "38750,91625,39500,92375" +) +tg (CPTG +uid 1658,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1659,0 +va (VaSet +isHidden 1 +) +xt "35350,91500,37750,92500" +st "out1" +ju 2 +blo "37750,92300" +) +s (Text +uid 1671,0 +va (VaSet +isHidden 1 +) +xt "37750,92500,37750,92500" +ju 2 +blo "37750,92500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 1662,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33000,89000,38000,95000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 1663,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*21 (Text +uid 1664,0 +va (VaSet +isHidden 1 +) +xt "33910,87700,36910,88700" +st "gates" +blo "33910,88500" +tm "BdLibraryNameMgr" +) +*22 (Text +uid 1665,0 +va (VaSet +isHidden 1 +) +xt "33910,88700,38710,89700" +st "inverter" +blo "33910,89500" +tm "CptNameMgr" +) +*23 (Text +uid 1666,0 +va (VaSet +) +xt "33910,88700,35110,89700" +st "I1" +blo "33910,89500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1667,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1668,0 +text (MLText +uid 1669,0 +va (VaSet +isHidden 1 +) +xt "33000,95400,46400,96600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*24 (Net +uid 2135,0 +decl (Decl +n "testMode" +t "std_uLogic" +o 12 +suid 4,0 +) +declText (MLText +uid 2136,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,46000,11900,47000" +st "testMode : std_uLogic" +) +) +*25 (PortIoIn +uid 2167,0 +shape (CompositeShape +uid 2168,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2169,0 +sl 0 +ro 270 +xt "26000,47625,27500,48375" +) +(Line +uid 2170,0 +sl 0 +ro 270 +xt "27500,48000,28000,48000" +pts [ +"27500,48000" +"28000,48000" +] +) +] +) +tg (WTG +uid 2171,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2172,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "21500,47300,25000,48600" +st "go2_n" +ju 2 +blo "25000,48300" +tm "WireNameMgr" +) +s (Text +uid 2173,0 +va (VaSet +) +xt "21000,48700,21000,48700" +ju 2 +blo "21000,48700" +tm "SignalTypeMgr" +) +) +) +*26 (PortIoIn +uid 2174,0 +shape (CompositeShape +uid 2175,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2176,0 +sl 0 +ro 270 +xt "26000,59625,27500,60375" +) +(Line +uid 2177,0 +sl 0 +ro 270 +xt "27500,60000,28000,60000" +pts [ +"27500,60000" +"28000,60000" +] +) +] +) +tg (WTG +uid 2178,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2179,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "17600,59300,25000,60700" +st "button4_n" +ju 2 +blo "25000,60500" +tm "WireNameMgr" +) +s (Text +uid 2180,0 +va (VaSet +) +xt "17600,60700,17600,60700" +ju 2 +blo "17600,60700" +tm "SignalTypeMgr" +) +) +) +*27 (PortIoIn +uid 2181,0 +shape (CompositeShape +uid 2182,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2183,0 +sl 0 +ro 270 +xt "26000,23625,27500,24375" +) +(Line +uid 2184,0 +sl 0 +ro 270 +xt "27500,24000,28000,24000" +pts [ +"27500,24000" +"28000,24000" +] +) +] +) +tg (WTG +uid 2185,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2186,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "18300,23300,25000,24700" +st "restart_n" +ju 2 +blo "25000,24500" +tm "WireNameMgr" +) +s (Text +uid 2187,0 +va (VaSet +) +xt "18300,24700,18300,24700" +ju 2 +blo "18300,24700" +tm "SignalTypeMgr" +) +) +) +*28 (PortIoIn +uid 2188,0 +shape (CompositeShape +uid 2189,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2190,0 +sl 0 +ro 270 +xt "26000,75625,27500,76375" +) +(Line +uid 2191,0 +sl 0 +ro 270 +xt "27500,76000,28000,76000" +pts [ +"27500,76000" +"28000,76000" +] +) +] +) +tg (WTG +uid 2192,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2193,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "18300,75300,25000,76700" +st "testMode" +ju 2 +blo "25000,76500" +tm "WireNameMgr" +) +s (Text +uid 2194,0 +va (VaSet +) +xt "18300,76700,18300,76700" +ju 2 +blo "18300,76700" +tm "SignalTypeMgr" +) +) +) +*29 (SaComponent +uid 2212,0 +optionalChildren [ +*30 (CptPort +uid 2195,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2196,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "40250,47625,41000,48375" +) +tg (CPTG +uid 2197,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2198,0 +va (VaSet +) +xt "42000,47300,42600,48300" +st "D" +blo "42000,48100" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*31 (CptPort +uid 2199,0 +optionalChildren [ +*32 (FFT +pts [ +"41750,52000" +"41000,52375" +"41000,51625" +] +uid 2203,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,51625,41750,52375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 2200,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "40250,51625,41000,52375" +) +tg (CPTG +uid 2201,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2202,0 +va (VaSet +) +xt "42000,51400,43800,52400" +st "CLK" +blo "42000,52200" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*33 (CptPort +uid 2204,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2205,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "43625,54000,44375,54750" +) +tg (CPTG +uid 2206,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2207,0 +va (VaSet +) +xt "43000,52600,44800,53600" +st "CLR" +blo "43000,53400" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*34 (CptPort +uid 2208,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2209,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "47000,47625,47750,48375" +) +tg (CPTG +uid 2210,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2211,0 +va (VaSet +) +xt "45400,47300,46000,48300" +st "Q" +ju 2 +blo "46000,48100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 2213,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,46000,47000,54000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 2214,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 2215,0 +va (VaSet +) +xt "44600,53700,51200,54700" +st "sequential" +blo "44600,54500" +tm "BdLibraryNameMgr" +) +*36 (Text +uid 2216,0 +va (VaSet +) +xt "44600,54700,46400,55700" +st "DFF" +blo "44600,55500" +tm "CptNameMgr" +) +*37 (Text +uid 2217,0 +va (VaSet +) +xt "44600,55700,45800,56700" +st "I2" +blo "44600,56500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2218,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2219,0 +text (MLText +uid 2220,0 +va (VaSet +isHidden 1 +) +xt "48000,53400,61400,54600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*38 (SaComponent +uid 2262,0 +optionalChildren [ +*39 (CptPort +uid 2271,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2272,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "40250,59625,41000,60375" +) +tg (CPTG +uid 2273,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2274,0 +va (VaSet +) +xt "42000,59300,42600,60300" +st "D" +blo "42000,60100" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*40 (CptPort +uid 2275,0 +optionalChildren [ +*41 (FFT +pts [ +"41750,64000" +"41000,64375" +"41000,63625" +] +uid 2279,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,63625,41750,64375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 2276,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "40250,63625,41000,64375" +) +tg (CPTG +uid 2277,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2278,0 +va (VaSet +) +xt "42000,63400,43800,64400" +st "CLK" +blo "42000,64200" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*42 (CptPort +uid 2280,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2281,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "43625,66000,44375,66750" +) +tg (CPTG +uid 2282,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2283,0 +va (VaSet +) +xt "43000,64600,44800,65600" +st "CLR" +blo "43000,65400" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*43 (CptPort +uid 2284,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2285,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "47000,59625,47750,60375" +) +tg (CPTG +uid 2286,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2287,0 +va (VaSet +) +xt "45400,59300,46000,60300" +st "Q" +ju 2 +blo "46000,60100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 2263,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,58000,47000,66000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 2264,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*44 (Text +uid 2265,0 +va (VaSet +) +xt "44600,65700,51200,66700" +st "sequential" +blo "44600,66500" +tm "BdLibraryNameMgr" +) +*45 (Text +uid 2266,0 +va (VaSet +) +xt "44600,66700,46400,67700" +st "DFF" +blo "44600,67500" +tm "CptNameMgr" +) +*46 (Text +uid 2267,0 +va (VaSet +) +xt "44600,67700,45800,68700" +st "I3" +blo "44600,68500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2268,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2269,0 +text (MLText +uid 2270,0 +va (VaSet +isHidden 1 +) +xt "48000,65400,61400,66600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*47 (SaComponent +uid 2306,0 +optionalChildren [ +*48 (CptPort +uid 2315,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2316,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "40250,23625,41000,24375" +) +tg (CPTG +uid 2317,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2318,0 +va (VaSet +) +xt "42000,23300,42600,24300" +st "D" +blo "42000,24100" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*49 (CptPort +uid 2319,0 +optionalChildren [ +*50 (FFT +pts [ +"41750,28000" +"41000,28375" +"41000,27625" +] +uid 2323,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,27625,41750,28375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 2320,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "40250,27625,41000,28375" +) +tg (CPTG +uid 2321,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2322,0 +va (VaSet +) +xt "42000,27400,43800,28400" +st "CLK" +blo "42000,28200" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*51 (CptPort +uid 2324,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2325,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "43625,30000,44375,30750" +) +tg (CPTG +uid 2326,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2327,0 +va (VaSet +) +xt "43000,28600,44800,29600" +st "CLR" +blo "43000,29400" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*52 (CptPort +uid 2328,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2329,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "47000,23625,47750,24375" +) +tg (CPTG +uid 2330,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2331,0 +va (VaSet +) +xt "45400,23300,46000,24300" +st "Q" +ju 2 +blo "46000,24100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 2307,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,22000,47000,30000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 2308,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*53 (Text +uid 2309,0 +va (VaSet +) +xt "44600,29700,51200,30700" +st "sequential" +blo "44600,30500" +tm "BdLibraryNameMgr" +) +*54 (Text +uid 2310,0 +va (VaSet +) +xt "44600,30700,46400,31700" +st "DFF" +blo "44600,31500" +tm "CptNameMgr" +) +*55 (Text +uid 2311,0 +va (VaSet +) +xt "44600,31700,45800,32700" +st "I4" +blo "44600,32500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2312,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2313,0 +text (MLText +uid 2314,0 +va (VaSet +isHidden 1 +) +xt "48000,29400,61400,30600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*56 (PortIoIn +uid 2354,0 +shape (CompositeShape +uid 2355,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2356,0 +sl 0 +ro 90 +xt "120500,63625,122000,64375" +) +(Line +uid 2357,0 +sl 0 +ro 90 +xt "120000,64000,120500,64000" +pts [ +"120500,64000" +"120000,64000" +] +) +] +) +tg (WTG +uid 2358,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2359,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "123000,63300,130500,64700" +st "sensor2_n" +blo "123000,64500" +tm "WireNameMgr" +) +s (Text +uid 2360,0 +va (VaSet +) +xt "123000,64700,123000,64700" +blo "123000,64700" +tm "SignalTypeMgr" +) +) +) +*57 (SaComponent +uid 2361,0 +optionalChildren [ +*58 (CptPort +uid 2370,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2371,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "103000,63625,103750,64375" +) +tg (CPTG +uid 2372,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2373,0 +va (VaSet +) +xt "101400,63300,102000,64300" +st "D" +ju 2 +blo "102000,64100" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*59 (CptPort +uid 2374,0 +optionalChildren [ +*60 (FFT +pts [ +"102250,68000" +"103000,67625" +"103000,68375" +] +uid 2378,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "102250,67625,103000,68375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 2375,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "103000,67625,103750,68375" +) +tg (CPTG +uid 2376,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2377,0 +va (VaSet +) +xt "100200,67400,102000,68400" +st "CLK" +ju 2 +blo "102000,68200" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*61 (CptPort +uid 2379,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2380,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "99625,70000,100375,70750" +) +tg (CPTG +uid 2381,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2382,0 +va (VaSet +) +xt "98600,68600,100400,69600" +st "CLR" +blo "98600,69400" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*62 (CptPort +uid 2383,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2384,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "96250,63625,97000,64375" +) +tg (CPTG +uid 2385,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2386,0 +va (VaSet +) +xt "98000,63300,98600,64300" +st "Q" +blo "98000,64100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 2362,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97000,62000,103000,70000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 2363,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +uid 2364,0 +va (VaSet +) +xt "95600,69700,102200,70700" +st "sequential" +blo "95600,70500" +tm "BdLibraryNameMgr" +) +*64 (Text +uid 2365,0 +va (VaSet +) +xt "95600,70700,97400,71700" +st "DFF" +blo "95600,71500" +tm "CptNameMgr" +) +*65 (Text +uid 2366,0 +va (VaSet +) +xt "95600,71700,96800,72700" +st "I5" +blo "95600,72500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2367,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2368,0 +text (MLText +uid 2369,0 +va (VaSet +isHidden 1 +) +xt "104000,69400,117400,70600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*66 (PortIoOut +uid 2445,0 +shape (CompositeShape +uid 2446,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2447,0 +sl 0 +ro 270 +xt "120500,45625,122000,46375" +) +(Line +uid 2448,0 +sl 0 +ro 270 +xt "120000,46000,120500,46000" +pts [ +"120000,46000" +"120500,46000" +] +) +] +) +tg (WTG +uid 2449,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2450,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "123000,45300,127200,46700" +st "side1" +blo "123000,46500" +tm "WireNameMgr" +) +s (Text +uid 2451,0 +va (VaSet +) +xt "123000,46700,123000,46700" +blo "123000,46700" +tm "SignalTypeMgr" +) +) +) +*67 (PortIoOut +uid 2452,0 +shape (CompositeShape +uid 2453,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2454,0 +sl 0 +ro 270 +xt "120500,47625,122000,48375" +) +(Line +uid 2455,0 +sl 0 +ro 270 +xt "120000,48000,120500,48000" +pts [ +"120000,48000" +"120500,48000" +] +) +] +) +tg (WTG +uid 2456,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2457,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "123000,47300,127200,48700" +st "side2" +blo "123000,48500" +tm "WireNameMgr" +) +s (Text +uid 2458,0 +va (VaSet +) +xt "123000,48700,123000,48700" +blo "123000,48700" +tm "SignalTypeMgr" +) +) +) +*68 (SaComponent +uid 2473,0 +optionalChildren [ +*69 (CptPort +uid 2482,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2483,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "40250,81625,41000,82375" +) +tg (CPTG +uid 2484,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2485,0 +va (VaSet +) +xt "42000,81300,42600,82300" +st "D" +blo "42000,82100" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*70 (CptPort +uid 2486,0 +optionalChildren [ +*71 (FFT +pts [ +"41750,86000" +"41000,86375" +"41000,85625" +] +uid 2490,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,85625,41750,86375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 2487,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "40250,85625,41000,86375" +) +tg (CPTG +uid 2488,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2489,0 +va (VaSet +) +xt "42000,85400,43800,86400" +st "CLK" +blo "42000,86200" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*72 (CptPort +uid 2491,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2492,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "43625,88000,44375,88750" +) +tg (CPTG +uid 2493,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2494,0 +va (VaSet +) +xt "43000,86600,44800,87600" +st "CLR" +blo "43000,87400" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*73 (CptPort +uid 2495,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2496,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "47000,81625,47750,82375" +) +tg (CPTG +uid 2497,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2498,0 +va (VaSet +) +xt "45400,81300,46000,82300" +st "Q" +ju 2 +blo "46000,82100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 2474,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,80000,47000,88000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 2475,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*74 (Text +uid 2476,0 +va (VaSet +) +xt "44600,87700,51200,88700" +st "sequential" +blo "44600,88500" +tm "BdLibraryNameMgr" +) +*75 (Text +uid 2477,0 +va (VaSet +) +xt "44600,88700,46400,89700" +st "DFF" +blo "44600,89500" +tm "CptNameMgr" +) +*76 (Text +uid 2478,0 +va (VaSet +) +xt "44600,89700,45800,90700" +st "I6" +blo "44600,90500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2479,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2480,0 +text (MLText +uid 2481,0 +va (VaSet +isHidden 1 +) +xt "48000,87400,61400,88600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*77 (Net +uid 2521,0 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 37 +suid 5,0 +) +declText (MLText +uid 2522,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,73000,15000,74000" +st "SIGNAL resetSynch : std_ulogic" +) +) +*78 (HdlText +uid 2543,0 +optionalChildren [ +*79 (EmbeddedText +uid 2548,0 +commentText (CommentText +uid 2549,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2550,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "29000,81000,35000,83000" +) +autoResize 1 +oxt "0,0,18000,5000" +text (MLText +uid 2551,0 +va (VaSet +) +xt "29200,81200,37400,82400" +st " +logic1 <= '1'; +" +tm "HdlTextMgr" +visibleHeight 2000 +visibleWidth 6000 +) +) +) +] +shape (Rectangle +uid 2544,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "28000,80000,36000,84000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 2545,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +uid 2546,0 +va (VaSet +) +xt "28400,84000,30200,85000" +st "eb1" +blo "28400,84800" +tm "HdlTextNameMgr" +) +*81 (Text +uid 2547,0 +va (VaSet +) +xt "28400,85000,29000,86000" +st "1" +blo "28400,85800" +tm "HdlTextNumberMgr" +) +] +) +) +*82 (Net +uid 2574,0 +decl (Decl +n "logic1" +t "std_uLogic" +o 35 +suid 6,0 +) +declText (MLText +uid 2575,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-12000,79000,2300,80000" +st "SIGNAL logic1 : std_uLogic" +) +) +*83 (SaComponent +uid 2576,0 +optionalChildren [ +*84 (CptPort +uid 2585,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2586,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "49250,81625,50000,82375" +) +tg (CPTG +uid 2587,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2588,0 +va (VaSet +isHidden 1 +) +xt "50000,81500,51800,82500" +st "in1" +blo "50000,82300" +) +s (Text +uid 2589,0 +va (VaSet +isHidden 1 +) +xt "50000,82500,50000,82500" +blo "50000,82500" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*85 (CptPort +uid 2590,0 +optionalChildren [ +*86 (Circle +uid 2595,0 +va (VaSet +fg "0,65535,0" +) +xt "55000,81625,55750,82375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 2591,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "55750,81625,56500,82375" +) +tg (CPTG +uid 2592,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2593,0 +va (VaSet +isHidden 1 +) +xt "52350,81500,54750,82500" +st "out1" +ju 2 +blo "54750,82300" +) +s (Text +uid 2594,0 +va (VaSet +isHidden 1 +) +xt "54750,82500,54750,82500" +ju 2 +blo "54750,82500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 2577,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,79000,55000,85000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 2578,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +uid 2579,0 +va (VaSet +isHidden 1 +) +xt "50910,77700,53910,78700" +st "gates" +blo "50910,78500" +tm "BdLibraryNameMgr" +) +*88 (Text +uid 2580,0 +va (VaSet +isHidden 1 +) +xt "50910,78700,55710,79700" +st "inverter" +blo "50910,79500" +tm "CptNameMgr" +) +*89 (Text +uid 2581,0 +va (VaSet +) +xt "50910,78700,52110,79700" +st "I7" +blo "50910,79500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2582,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2583,0 +text (MLText +uid 2584,0 +va (VaSet +isHidden 1 +) +xt "50000,85400,63400,86600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*90 (Net +uid 2602,0 +decl (Decl +n "resetSynch_n" +t "std_ulogic" +o 38 +suid 7,0 +) +declText (MLText +uid 2603,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-12000,79000,3400,80000" +st "SIGNAL resetSynch_n : std_ulogic" +) +) +*91 (SaComponent +uid 2624,0 +optionalChildren [ +*92 (CptPort +uid 2633,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2634,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "114000,63625,114750,64375" +) +tg (CPTG +uid 2635,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2636,0 +va (VaSet +isHidden 1 +) +xt "178950,63500,180750,64500" +st "in1" +ju 2 +blo "180750,64300" +) +s (Text +uid 2637,0 +va (VaSet +isHidden 1 +) +xt "180750,64500,180750,64500" +ju 2 +blo "180750,64500" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*93 (CptPort +uid 2638,0 +optionalChildren [ +*94 (Circle +uid 2643,0 +va (VaSet +fg "0,65535,0" +) +xt "108250,63625,109000,64375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 2639,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "107500,63625,108250,64375" +) +tg (CPTG +uid 2640,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2641,0 +va (VaSet +isHidden 1 +) +xt "170100,63500,172500,64500" +st "out1" +blo "170100,64300" +) +s (Text +uid 2642,0 +va (VaSet +isHidden 1 +) +xt "170100,64500,170100,64500" +blo "170100,64500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 2625,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "109000,61000,114000,67000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 2626,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*95 (Text +uid 2627,0 +va (VaSet +isHidden 1 +) +xt "109910,59700,112910,60700" +st "gates" +blo "109910,60500" +tm "BdLibraryNameMgr" +) +*96 (Text +uid 2628,0 +va (VaSet +isHidden 1 +) +xt "109910,60700,114710,61700" +st "inverter" +blo "109910,61500" +tm "CptNameMgr" +) +*97 (Text +uid 2629,0 +va (VaSet +) +xt "109910,60700,111110,61700" +st "I8" +blo "109910,61500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2630,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2631,0 +text (MLText +uid 2632,0 +va (VaSet +isHidden 1 +) +xt "109000,67400,122400,68600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*98 (PortIoOut +uid 2673,0 +shape (CompositeShape +uid 2674,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2675,0 +sl 0 +ro 270 +xt "120500,31625,122000,32375" +) +(Line +uid 2676,0 +sl 0 +ro 270 +xt "120000,32000,120500,32000" +pts [ +"120000,32000" +"120500,32000" +] +) +] +) +tg (WTG +uid 2677,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2678,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "123000,31300,127000,32700" +st "LED1" +blo "123000,32500" +tm "WireNameMgr" +) +s (Text +uid 2679,0 +va (VaSet +font "Verdana,12,0" +) +xt "123000,32700,123000,32700" +blo "123000,32700" +tm "SignalTypeMgr" +) +) +) +*99 (Net +uid 2686,0 +decl (Decl +n "LED1" +t "std_uLogic" +o 18 +suid 8,0 +) +declText (MLText +uid 2687,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-12000,79000,-600,80000" +st "LED1 : std_uLogic" +) +) +*100 (PortIoOut +uid 2688,0 +shape (CompositeShape +uid 2689,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2690,0 +sl 0 +ro 270 +xt "120500,33625,122000,34375" +) +(Line +uid 2691,0 +sl 0 +ro 270 +xt "120000,34000,120500,34000" +pts [ +"120000,34000" +"120500,34000" +] +) +] +) +tg (WTG +uid 2692,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2693,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "123000,33300,127000,34700" +st "LED2" +blo "123000,34500" +tm "WireNameMgr" +) +s (Text +uid 2694,0 +va (VaSet +font "Verdana,12,0" +) +xt "123000,34700,123000,34700" +blo "123000,34700" +tm "SignalTypeMgr" +) +) +) +*101 (Net +uid 2701,0 +decl (Decl +n "LED2" +t "std_ulogic" +o 19 +suid 9,0 +) +declText (MLText +uid 2702,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-12000,79000,-800,80000" +st "LED2 : std_ulogic" +) +) +*102 (Net +uid 2784,0 +decl (Decl +n "testOut" +t "std_uLogic_vector" +b "(1 TO testLineNb)" +o 46 +suid 10,0 +) +declText (MLText +uid 2785,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-12000,79000,13300,80000" +st "SIGNAL testOut : std_uLogic_vector(1 TO testLineNb)" +) +) +*103 (HdlText +uid 2792,0 +optionalChildren [ +*104 (EmbeddedText +uid 2797,0 +commentText (CommentText +uid 2798,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2799,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "92000,29000,112000,39000" +) +oxt "0,0,18000,5000" +text (MLText +uid 2800,0 +va (VaSet +) +xt "92200,29200,108500,35200" +st " +LED1 <= testOut(16); +--LED2 <= not testOut(16); +LED2 <= testOut(15); + +LEDs <= testOut(1 to 8); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 10000 +visibleWidth 20000 +) +) +) +] +shape (Rectangle +uid 2793,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "92000,28000,112000,40000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 2794,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*105 (Text +uid 2795,0 +va (VaSet +) +xt "92400,40000,94200,41000" +st "eb2" +blo "92400,40800" +tm "HdlTextNameMgr" +) +*106 (Text +uid 2796,0 +va (VaSet +) +xt "92400,41000,93000,42000" +st "2" +blo "92400,41800" +tm "HdlTextNumberMgr" +) +] +) +) +*107 (SaComponent +uid 2998,0 +optionalChildren [ +*108 (CptPort +uid 3007,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3008,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "32250,47625,33000,48375" +) +tg (CPTG +uid 3009,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3010,0 +va (VaSet +isHidden 1 +) +xt "33000,47500,34800,48500" +st "in1" +blo "33000,48300" +) +s (Text +uid 3011,0 +va (VaSet +isHidden 1 +) +xt "33000,48500,33000,48500" +blo "33000,48500" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*109 (CptPort +uid 3012,0 +optionalChildren [ +*110 (Circle +uid 3017,0 +va (VaSet +fg "0,65535,0" +) +xt "38000,47625,38750,48375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 3013,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "38750,47625,39500,48375" +) +tg (CPTG +uid 3014,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3015,0 +va (VaSet +isHidden 1 +) +xt "35350,47500,37750,48500" +st "out1" +ju 2 +blo "37750,48300" +) +s (Text +uid 3016,0 +va (VaSet +isHidden 1 +) +xt "37750,48500,37750,48500" +ju 2 +blo "37750,48500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 2999,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33000,45000,38000,51000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 3000,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*111 (Text +uid 3001,0 +va (VaSet +isHidden 1 +) +xt "33910,43700,36910,44700" +st "gates" +blo "33910,44500" +tm "BdLibraryNameMgr" +) +*112 (Text +uid 3002,0 +va (VaSet +isHidden 1 +) +xt "33910,44700,38710,45700" +st "inverter" +blo "33910,45500" +tm "CptNameMgr" +) +*113 (Text +uid 3003,0 +va (VaSet +) +xt "33910,44700,35110,45700" +st "I9" +blo "33910,45500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3004,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3005,0 +text (MLText +uid 3006,0 +va (VaSet +isHidden 1 +) +xt "33000,51400,46400,52600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*114 (SaComponent +uid 3024,0 +optionalChildren [ +*115 (CptPort +uid 3033,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3034,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "32250,23625,33000,24375" +) +tg (CPTG +uid 3035,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3036,0 +va (VaSet +isHidden 1 +) +xt "33000,23500,34800,24500" +st "in1" +blo "33000,24300" +) +s (Text +uid 3037,0 +va (VaSet +isHidden 1 +) +xt "33000,24500,33000,24500" +blo "33000,24500" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*116 (CptPort +uid 3038,0 +optionalChildren [ +*117 (Circle +uid 3043,0 +va (VaSet +fg "0,65535,0" +) +xt "38000,23625,38750,24375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 3039,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "38750,23625,39500,24375" +) +tg (CPTG +uid 3040,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3041,0 +va (VaSet +isHidden 1 +) +xt "35350,23500,37750,24500" +st "out1" +ju 2 +blo "37750,24300" +) +s (Text +uid 3042,0 +va (VaSet +isHidden 1 +) +xt "37750,24500,37750,24500" +ju 2 +blo "37750,24500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 3025,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33000,21000,38000,27000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 3026,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*118 (Text +uid 3027,0 +va (VaSet +isHidden 1 +) +xt "33910,19700,36910,20700" +st "gates" +blo "33910,20500" +tm "BdLibraryNameMgr" +) +*119 (Text +uid 3028,0 +va (VaSet +isHidden 1 +) +xt "33910,20700,38710,21700" +st "inverter" +blo "33910,21500" +tm "CptNameMgr" +) +*120 (Text +uid 3029,0 +va (VaSet +) +xt "33910,20700,35710,21700" +st "I10" +blo "33910,21500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3030,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3031,0 +text (MLText +uid 3032,0 +va (VaSet +isHidden 1 +) +xt "33000,27400,46400,28600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*121 (SaComponent +uid 3044,0 +optionalChildren [ +*122 (CptPort +uid 3053,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3054,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "32250,59625,33000,60375" +) +tg (CPTG +uid 3055,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3056,0 +va (VaSet +isHidden 1 +) +xt "33000,59500,34800,60500" +st "in1" +blo "33000,60300" +) +s (Text +uid 3057,0 +va (VaSet +isHidden 1 +) +xt "33000,60500,33000,60500" +blo "33000,60500" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*123 (CptPort +uid 3058,0 +optionalChildren [ +*124 (Circle +uid 3063,0 +va (VaSet +fg "0,65535,0" +) +xt "38000,59625,38750,60375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 3059,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "38750,59625,39500,60375" +) +tg (CPTG +uid 3060,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3061,0 +va (VaSet +isHidden 1 +) +xt "35350,59500,37750,60500" +st "out1" +ju 2 +blo "37750,60300" +) +s (Text +uid 3062,0 +va (VaSet +isHidden 1 +) +xt "37750,60500,37750,60500" +ju 2 +blo "37750,60500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 3045,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33000,57000,38000,63000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 3046,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*125 (Text +uid 3047,0 +va (VaSet +isHidden 1 +) +xt "33910,55700,36910,56700" +st "gates" +blo "33910,56500" +tm "BdLibraryNameMgr" +) +*126 (Text +uid 3048,0 +va (VaSet +isHidden 1 +) +xt "33910,56700,38710,57700" +st "inverter" +blo "33910,57500" +tm "CptNameMgr" +) +*127 (Text +uid 3049,0 +va (VaSet +) +xt "33910,56700,35710,57700" +st "I11" +blo "33910,57500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3050,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3051,0 +text (MLText +uid 3052,0 +va (VaSet +isHidden 1 +) +xt "33000,63400,46400,64600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*128 (PortIoIn +uid 3216,0 +shape (CompositeShape +uid 3217,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 3218,0 +sl 0 +ro 270 +xt "26000,35625,27500,36375" +) +(Line +uid 3219,0 +sl 0 +ro 270 +xt "27500,36000,28000,36000" +pts [ +"27500,36000" +"28000,36000" +] +) +] +) +tg (WTG +uid 3220,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3221,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "20200,35300,25000,36700" +st "go1_n" +ju 2 +blo "25000,36500" +tm "WireNameMgr" +) +s (Text +uid 3222,0 +va (VaSet +) +xt "20200,36700,20200,36700" +ju 2 +blo "20200,36700" +tm "SignalTypeMgr" +) +) +) +*129 (SaComponent +uid 3223,0 +optionalChildren [ +*130 (CptPort +uid 3232,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3233,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "32250,35625,33000,36375" +) +tg (CPTG +uid 3234,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3235,0 +va (VaSet +isHidden 1 +) +xt "33000,35500,34800,36500" +st "in1" +blo "33000,36300" +) +s (Text +uid 3236,0 +va (VaSet +isHidden 1 +) +xt "33000,36500,33000,36500" +blo "33000,36500" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*131 (CptPort +uid 3237,0 +optionalChildren [ +*132 (Circle +uid 3242,0 +va (VaSet +fg "0,65535,0" +) +xt "38000,35625,38750,36375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 3238,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "38750,35625,39500,36375" +) +tg (CPTG +uid 3239,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3240,0 +va (VaSet +isHidden 1 +) +xt "35350,35500,37750,36500" +st "out1" +ju 2 +blo "37750,36300" +) +s (Text +uid 3241,0 +va (VaSet +isHidden 1 +) +xt "37750,36500,37750,36500" +ju 2 +blo "37750,36500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 3224,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33000,33000,38000,39000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 3225,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*133 (Text +uid 3226,0 +va (VaSet +isHidden 1 +) +xt "33910,31700,36910,32700" +st "gates" +blo "33910,32500" +tm "BdLibraryNameMgr" +) +*134 (Text +uid 3227,0 +va (VaSet +isHidden 1 +) +xt "33910,32700,38710,33700" +st "inverter" +blo "33910,33500" +tm "CptNameMgr" +) +*135 (Text +uid 3228,0 +va (VaSet +) +xt "33910,32700,35710,33700" +st "I12" +blo "33910,33500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3229,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3230,0 +text (MLText +uid 3231,0 +va (VaSet +isHidden 1 +) +xt "33000,39400,46400,40600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*136 (SaComponent +uid 3243,0 +optionalChildren [ +*137 (CptPort +uid 3252,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3253,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "40250,35625,41000,36375" +) +tg (CPTG +uid 3254,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3255,0 +va (VaSet +) +xt "42000,35300,42600,36300" +st "D" +blo "42000,36100" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*138 (CptPort +uid 3256,0 +optionalChildren [ +*139 (FFT +pts [ +"41750,40000" +"41000,40375" +"41000,39625" +] +uid 3260,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,39625,41750,40375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 3257,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "40250,39625,41000,40375" +) +tg (CPTG +uid 3258,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3259,0 +va (VaSet +) +xt "42000,39400,43800,40400" +st "CLK" +blo "42000,40200" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*140 (CptPort +uid 3261,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3262,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "43625,42000,44375,42750" +) +tg (CPTG +uid 3263,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3264,0 +va (VaSet +) +xt "43000,40600,44800,41600" +st "CLR" +blo "43000,41400" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*141 (CptPort +uid 3265,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3266,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "47000,35625,47750,36375" +) +tg (CPTG +uid 3267,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3268,0 +va (VaSet +) +xt "45400,35300,46000,36300" +st "Q" +ju 2 +blo "46000,36100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 3244,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,34000,47000,42000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 3245,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*142 (Text +uid 3246,0 +va (VaSet +) +xt "44600,41700,51200,42700" +st "sequential" +blo "44600,42500" +tm "BdLibraryNameMgr" +) +*143 (Text +uid 3247,0 +va (VaSet +) +xt "44600,42700,46400,43700" +st "DFF" +blo "44600,43500" +tm "CptNameMgr" +) +*144 (Text +uid 3248,0 +va (VaSet +) +xt "44600,43700,46400,44700" +st "I13" +blo "44600,44500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3249,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3250,0 +text (MLText +uid 3251,0 +va (VaSet +isHidden 1 +) +xt "48000,41400,61400,42600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*145 (Net +uid 3308,0 +decl (Decl +n "restart" +t "std_uLogic" +o 39 +suid 11,0 +) +declText (MLText +uid 3309,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-12000,79000,2300,80000" +st "SIGNAL restart : std_uLogic" +) +) +*146 (Net +uid 3310,0 +decl (Decl +n "restart_n" +t "std_uLogic" +o 9 +suid 12,0 +) +declText (MLText +uid 3311,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-12000,79000,-500,80000" +st "restart_n : std_uLogic" +) +) +*147 (Net +uid 3312,0 +decl (Decl +n "restartSynch" +t "std_uLogic" +o 40 +suid 13,0 +) +declText (MLText +uid 3313,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-12000,79000,3200,80000" +st "SIGNAL restartSynch : std_uLogic" +) +) +*148 (Net +uid 3324,0 +decl (Decl +n "sensor1_n" +t "std_uLogic" +o 10 +suid 14,0 +) +declText (MLText +uid 3325,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-12000,79000,0,80000" +st "sensor1_n : std_uLogic" +) +) +*149 (Net +uid 3326,0 +decl (Decl +n "sensor1" +t "std_uLogic" +o 41 +suid 15,0 +) +declText (MLText +uid 3327,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-12000,79000,2800,80000" +st "SIGNAL sensor1 : std_uLogic" +) +) +*150 (Net +uid 3328,0 +decl (Decl +n "sensor1Synch" +t "std_uLogic" +o 42 +suid 16,0 +) +declText (MLText +uid 3329,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-12000,79000,3700,80000" +st "SIGNAL sensor1Synch : std_uLogic" +) +) +*151 (SaComponent +uid 3330,0 +optionalChildren [ +*152 (CptPort +uid 3339,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3340,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "103000,51625,103750,52375" +) +tg (CPTG +uid 3341,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3342,0 +va (VaSet +) +xt "101400,51300,102000,52300" +st "D" +ju 2 +blo "102000,52100" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*153 (CptPort +uid 3343,0 +optionalChildren [ +*154 (FFT +pts [ +"102250,56000" +"103000,55625" +"103000,56375" +] +uid 3347,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "102250,55625,103000,56375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 3344,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "103000,55625,103750,56375" +) +tg (CPTG +uid 3345,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3346,0 +va (VaSet +) +xt "100200,55400,102000,56400" +st "CLK" +ju 2 +blo "102000,56200" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*155 (CptPort +uid 3348,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3349,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "99625,58000,100375,58750" +) +tg (CPTG +uid 3350,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3351,0 +va (VaSet +) +xt "98600,56600,100400,57600" +st "CLR" +blo "98600,57400" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*156 (CptPort +uid 3352,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3353,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "96250,51625,97000,52375" +) +tg (CPTG +uid 3354,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3355,0 +va (VaSet +) +xt "98000,51300,98600,52300" +st "Q" +blo "98000,52100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 3331,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97000,50000,103000,58000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 3332,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*157 (Text +uid 3333,0 +va (VaSet +) +xt "95600,57700,102200,58700" +st "sequential" +blo "95600,58500" +tm "BdLibraryNameMgr" +) +*158 (Text +uid 3334,0 +va (VaSet +) +xt "95600,58700,97400,59700" +st "DFF" +blo "95600,59500" +tm "CptNameMgr" +) +*159 (Text +uid 3335,0 +va (VaSet +) +xt "95600,59700,97400,60700" +st "I14" +blo "95600,60500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3336,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3337,0 +text (MLText +uid 3338,0 +va (VaSet +isHidden 1 +) +xt "104000,57400,117400,58600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*160 (SaComponent +uid 3356,0 +optionalChildren [ +*161 (CptPort +uid 3365,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3366,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "114000,51625,114750,52375" +) +tg (CPTG +uid 3367,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3368,0 +va (VaSet +isHidden 1 +) +xt "178950,51500,180750,52500" +st "in1" +ju 2 +blo "180750,52300" +) +s (Text +uid 3369,0 +va (VaSet +isHidden 1 +) +xt "180750,52500,180750,52500" +ju 2 +blo "180750,52500" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*162 (CptPort +uid 3370,0 +optionalChildren [ +*163 (Circle +uid 3375,0 +va (VaSet +fg "0,65535,0" +) +xt "108250,51625,109000,52375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 3371,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "107500,51625,108250,52375" +) +tg (CPTG +uid 3372,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3373,0 +va (VaSet +isHidden 1 +) +xt "170100,51500,172500,52500" +st "out1" +blo "170100,52300" +) +s (Text +uid 3374,0 +va (VaSet +isHidden 1 +) +xt "170100,52500,170100,52500" +blo "170100,52500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 3357,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "109000,49000,114000,55000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 3358,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*164 (Text +uid 3359,0 +va (VaSet +isHidden 1 +) +xt "109910,47700,112910,48700" +st "gates" +blo "109910,48500" +tm "BdLibraryNameMgr" +) +*165 (Text +uid 3360,0 +va (VaSet +isHidden 1 +) +xt "109910,48700,114710,49700" +st "inverter" +blo "109910,49500" +tm "CptNameMgr" +) +*166 (Text +uid 3361,0 +va (VaSet +) +xt "109910,48700,111710,49700" +st "I15" +blo "109910,49500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3362,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3363,0 +text (MLText +uid 3364,0 +va (VaSet +isHidden 1 +) +xt "109000,55400,122400,56600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*167 (PortIoIn +uid 3376,0 +shape (CompositeShape +uid 3377,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 3378,0 +sl 0 +ro 90 +xt "120500,51625,122000,52375" +) +(Line +uid 3379,0 +sl 0 +ro 90 +xt "120000,52000,120500,52000" +pts [ +"120500,52000" +"120000,52000" +] +) +] +) +tg (WTG +uid 3380,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3381,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "123000,51300,130500,52700" +st "sensor1_n" +blo "123000,52500" +tm "WireNameMgr" +) +s (Text +uid 3382,0 +va (VaSet +) +xt "123000,52700,123000,52700" +blo "123000,52700" +tm "SignalTypeMgr" +) +) +) +*168 (Net +uid 3410,0 +decl (Decl +n "sensor2Synch" +t "std_uLogic" +o 44 +suid 17,0 +) +declText (MLText +uid 3411,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-12000,79000,3700,80000" +st "SIGNAL sensor2Synch : std_uLogic" +) +) +*169 (Net +uid 3412,0 +decl (Decl +n "sensor2" +t "std_uLogic" +o 43 +suid 18,0 +) +declText (MLText +uid 3413,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-12000,79000,2800,80000" +st "SIGNAL sensor2 : std_uLogic" +) +) +*170 (Net +uid 3414,0 +decl (Decl +n "sensor2_n" +t "std_uLogic" +o 11 +suid 19,0 +) +declText (MLText +uid 3415,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-12000,79000,0,80000" +st "sensor2_n : std_uLogic" +) +) +*171 (Net +uid 3527,0 +decl (Decl +n "motorOn" +t "std_uLogic" +o 21 +suid 20,0 +) +declText (MLText +uid 3528,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-12000,79000,0,80000" +st "motorOn : std_uLogic" +) +) +*172 (PortIoOut +uid 3535,0 +shape (CompositeShape +uid 3536,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 3537,0 +sl 0 +ro 270 +xt "120500,43625,122000,44375" +) +(Line +uid 3538,0 +sl 0 +ro 270 +xt "120000,44000,120500,44000" +pts [ +"120000,44000" +"120500,44000" +] +) +] +) +tg (WTG +uid 3539,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3540,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "123000,43300,129300,44700" +st "motorOn" +blo "123000,44500" +tm "WireNameMgr" +) +s (Text +uid 3541,0 +va (VaSet +font "Verdana,12,0" +) +xt "123000,44700,123000,44700" +blo "123000,44700" +tm "SignalTypeMgr" +) +) +) +*173 (PortIoOut +uid 3736,0 +shape (CompositeShape +uid 3737,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 3738,0 +sl 0 +ro 270 +xt "120500,35625,122000,36375" +) +(Line +uid 3739,0 +sl 0 +ro 270 +xt "120000,36000,120500,36000" +pts [ +"120000,36000" +"120500,36000" +] +) +] +) +tg (WTG +uid 3740,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3741,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "123000,35300,134100,36700" +st "LEDs : (1 TO 8)" +blo "123000,36500" +tm "WireNameMgr" +) +s (Text +uid 3742,0 +va (VaSet +font "Verdana,12,0" +) +xt "123000,36700,123000,36700" +blo "123000,36700" +tm "SignalTypeMgr" +) +) +) +*174 (Net +uid 3920,0 +decl (Decl +n "side1" +t "std_uLogic" +o 22 +suid 22,0 +) +declText (MLText +uid 3921,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-12000,79000,-800,80000" +st "side1 : std_uLogic" +) +) +*175 (Net +uid 3922,0 +decl (Decl +n "side2" +t "std_uLogic" +o 23 +suid 23,0 +) +declText (MLText +uid 3923,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-12000,79000,-800,80000" +st "side2 : std_uLogic" +) +) +*176 (Net +uid 4071,0 +decl (Decl +n "setPoint" +t "std_uLogic" +o 45 +suid 25,0 +) +declText (MLText +uid 4072,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-53000,78600,-38400,79600" +st "SIGNAL setPoint : std_uLogic" +) +) +*177 (Net +uid 4075,0 +decl (Decl +n "go2Synch" +t "std_uLogic" +o 34 +suid 27,0 +) +declText (MLText +uid 4076,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-53000,78600,-37700,79600" +st "SIGNAL go2Synch : std_uLogic" +) +) +*178 (Net +uid 4077,0 +decl (Decl +n "go2" +t "std_uLogic" +o 33 +suid 28,0 +) +declText (MLText +uid 4078,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-53000,78600,-38600,79600" +st "SIGNAL go2 : std_uLogic" +) +) +*179 (Net +uid 4079,0 +decl (Decl +n "go2_n" +t "std_uLogic" +o 7 +suid 29,0 +) +declText (MLText +uid 4080,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-53000,78600,-41400,79600" +st "go2_n : std_uLogic" +) +) +*180 (Net +uid 4081,0 +decl (Decl +n "go1Synch" +t "std_uLogic" +o 32 +suid 30,0 +) +declText (MLText +uid 4082,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-53000,78600,-37700,79600" +st "SIGNAL go1Synch : std_uLogic" +) +) +*181 (Net +uid 4083,0 +decl (Decl +n "go1" +t "std_uLogic" +o 31 +suid 31,0 +) +declText (MLText +uid 4084,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-53000,78600,-38600,79600" +st "SIGNAL go1 : std_uLogic" +) +) +*182 (Net +uid 4085,0 +decl (Decl +n "go1_n" +t "std_uLogic" +o 6 +suid 32,0 +) +declText (MLText +uid 4086,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-53000,78600,-41400,79600" +st "go1_n : std_uLogic" +) +) +*183 (SaComponent +uid 4087,0 +optionalChildren [ +*184 (CptPort +uid 4096,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4097,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "103000,87625,103750,88375" +) +tg (CPTG +uid 4098,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4099,0 +va (VaSet +) +xt "101400,87300,102000,88300" +st "D" +ju 2 +blo "102000,88100" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*185 (CptPort +uid 4100,0 +optionalChildren [ +*186 (FFT +pts [ +"102250,92000" +"103000,91625" +"103000,92375" +] +uid 4104,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "102250,91625,103000,92375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 4101,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "103000,91625,103750,92375" +) +tg (CPTG +uid 4102,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4103,0 +va (VaSet +) +xt "100200,91400,102000,92400" +st "CLK" +ju 2 +blo "102000,92200" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*187 (CptPort +uid 4105,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4106,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "99625,94000,100375,94750" +) +tg (CPTG +uid 4107,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4108,0 +va (VaSet +) +xt "98600,92600,100400,93600" +st "CLR" +blo "98600,93400" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*188 (CptPort +uid 4109,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4110,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "96250,87625,97000,88375" +) +tg (CPTG +uid 4111,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4112,0 +va (VaSet +) +xt "98000,87300,98600,88300" +st "Q" +blo "98000,88100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 4088,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97000,86000,103000,94000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 4089,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*189 (Text +uid 4090,0 +va (VaSet +) +xt "95600,93700,102200,94700" +st "sequential" +blo "95600,94500" +tm "BdLibraryNameMgr" +) +*190 (Text +uid 4091,0 +va (VaSet +) +xt "95600,94700,97400,95700" +st "DFF" +blo "95600,95500" +tm "CptNameMgr" +) +*191 (Text +uid 4092,0 +va (VaSet +) +xt "95600,95700,97400,96700" +st "I16" +blo "95600,96500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 4093,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 4094,0 +text (MLText +uid 4095,0 +va (VaSet +isHidden 1 +) +xt "104000,93400,117400,94600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*192 (SaComponent +uid 4113,0 +optionalChildren [ +*193 (CptPort +uid 4122,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4123,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "103000,75625,103750,76375" +) +tg (CPTG +uid 4124,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4125,0 +va (VaSet +) +xt "101400,75300,102000,76300" +st "D" +ju 2 +blo "102000,76100" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*194 (CptPort +uid 4126,0 +optionalChildren [ +*195 (FFT +pts [ +"102250,80000" +"103000,79625" +"103000,80375" +] +uid 4130,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "102250,79625,103000,80375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 4127,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "103000,79625,103750,80375" +) +tg (CPTG +uid 4128,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4129,0 +va (VaSet +) +xt "100200,79400,102000,80400" +st "CLK" +ju 2 +blo "102000,80200" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*196 (CptPort +uid 4131,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4132,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "99625,82000,100375,82750" +) +tg (CPTG +uid 4133,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4134,0 +va (VaSet +) +xt "98600,80600,100400,81600" +st "CLR" +blo "98600,81400" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*197 (CptPort +uid 4135,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4136,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "96250,75625,97000,76375" +) +tg (CPTG +uid 4137,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4138,0 +va (VaSet +) +xt "98000,75300,98600,76300" +st "Q" +blo "98000,76100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 4114,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97000,74000,103000,82000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 4115,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*198 (Text +uid 4116,0 +va (VaSet +) +xt "95600,81700,102200,82700" +st "sequential" +blo "95600,82500" +tm "BdLibraryNameMgr" +) +*199 (Text +uid 4117,0 +va (VaSet +) +xt "95600,82700,97400,83700" +st "DFF" +blo "95600,83500" +tm "CptNameMgr" +) +*200 (Text +uid 4118,0 +va (VaSet +) +xt "95600,83700,97400,84700" +st "I17" +blo "95600,84500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 4119,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 4120,0 +text (MLText +uid 4121,0 +va (VaSet +isHidden 1 +) +xt "104000,81400,117400,82600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*201 (SaComponent +uid 4139,0 +optionalChildren [ +*202 (CptPort +uid 4148,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4149,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "114000,87625,114750,88375" +) +tg (CPTG +uid 4150,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4151,0 +va (VaSet +isHidden 1 +) +xt "178950,87500,180750,88500" +st "in1" +ju 2 +blo "180750,88300" +) +s (Text +uid 4152,0 +va (VaSet +isHidden 1 +) +xt "180750,88500,180750,88500" +ju 2 +blo "180750,88500" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*203 (CptPort +uid 4153,0 +optionalChildren [ +*204 (Circle +uid 4158,0 +va (VaSet +fg "0,65535,0" +) +xt "108250,87625,109000,88375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 4154,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "107500,87625,108250,88375" +) +tg (CPTG +uid 4155,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4156,0 +va (VaSet +isHidden 1 +) +xt "170100,87500,172500,88500" +st "out1" +blo "170100,88300" +) +s (Text +uid 4157,0 +va (VaSet +isHidden 1 +) +xt "170100,88500,170100,88500" +blo "170100,88500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 4140,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "109000,85000,114000,91000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 4141,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*205 (Text +uid 4142,0 +va (VaSet +isHidden 1 +) +xt "109910,83700,112910,84700" +st "gates" +blo "109910,84500" +tm "BdLibraryNameMgr" +) +*206 (Text +uid 4143,0 +va (VaSet +isHidden 1 +) +xt "109910,84700,114710,85700" +st "inverter" +blo "109910,85500" +tm "CptNameMgr" +) +*207 (Text +uid 4144,0 +va (VaSet +) +xt "109910,84700,111710,85700" +st "I18" +blo "109910,85500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 4145,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 4146,0 +text (MLText +uid 4147,0 +va (VaSet +isHidden 1 +) +xt "109000,91400,122400,92600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*208 (SaComponent +uid 4159,0 +optionalChildren [ +*209 (CptPort +uid 4168,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4169,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "114000,75625,114750,76375" +) +tg (CPTG +uid 4170,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4171,0 +va (VaSet +isHidden 1 +) +xt "178950,75500,180750,76500" +st "in1" +ju 2 +blo "180750,76300" +) +s (Text +uid 4172,0 +va (VaSet +isHidden 1 +) +xt "180750,76500,180750,76500" +ju 2 +blo "180750,76500" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*210 (CptPort +uid 4173,0 +optionalChildren [ +*211 (Circle +uid 4178,0 +va (VaSet +fg "0,65535,0" +) +xt "108250,75625,109000,76375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 4174,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "107500,75625,108250,76375" +) +tg (CPTG +uid 4175,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4176,0 +va (VaSet +isHidden 1 +) +xt "170100,75500,172500,76500" +st "out1" +blo "170100,76300" +) +s (Text +uid 4177,0 +va (VaSet +isHidden 1 +) +xt "170100,76500,170100,76500" +blo "170100,76500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 4160,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "109000,73000,114000,79000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 4161,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*212 (Text +uid 4162,0 +va (VaSet +isHidden 1 +) +xt "109910,71700,112910,72700" +st "gates" +blo "109910,72500" +tm "BdLibraryNameMgr" +) +*213 (Text +uid 4163,0 +va (VaSet +isHidden 1 +) +xt "109910,72700,114710,73700" +st "inverter" +blo "109910,73500" +tm "CptNameMgr" +) +*214 (Text +uid 4164,0 +va (VaSet +) +xt "109910,72700,111710,73700" +st "I19" +blo "109910,73500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 4165,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 4166,0 +text (MLText +uid 4167,0 +va (VaSet +isHidden 1 +) +xt "109000,79400,122400,80600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*215 (PortIoIn +uid 4179,0 +shape (CompositeShape +uid 4180,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4181,0 +sl 0 +ro 90 +xt "120500,87625,122000,88375" +) +(Line +uid 4182,0 +sl 0 +ro 90 +xt "120000,88000,120500,88000" +pts [ +"120500,88000" +"120000,88000" +] +) +] +) +tg (WTG +uid 4183,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4184,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "123000,87300,132100,88700" +st "encoderB_n" +blo "123000,88500" +tm "WireNameMgr" +) +s (Text +uid 4185,0 +va (VaSet +) +xt "123000,88700,123000,88700" +blo "123000,88700" +tm "SignalTypeMgr" +) +) +) +*216 (PortIoIn +uid 4186,0 +shape (CompositeShape +uid 4187,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4188,0 +sl 0 +ro 90 +xt "120500,75625,122000,76375" +) +(Line +uid 4189,0 +sl 0 +ro 90 +xt "120000,76000,120500,76000" +pts [ +"120500,76000" +"120000,76000" +] +) +] +) +tg (WTG +uid 4190,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4191,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "123000,75300,132100,76700" +st "encoderA_n" +blo "123000,76500" +tm "WireNameMgr" +) +s (Text +uid 4192,0 +va (VaSet +) +xt "123000,76700,123000,76700" +blo "123000,76700" +tm "SignalTypeMgr" +) +) +) +*217 (SaComponent +uid 4235,0 +optionalChildren [ +*218 (CptPort +uid 4244,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4245,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "103000,99625,103750,100375" +) +tg (CPTG +uid 4246,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4247,0 +va (VaSet +) +xt "101400,99300,102000,100300" +st "D" +ju 2 +blo "102000,100100" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*219 (CptPort +uid 4248,0 +optionalChildren [ +*220 (FFT +pts [ +"102250,104000" +"103000,103625" +"103000,104375" +] +uid 4252,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "102250,103625,103000,104375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 4249,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "103000,103625,103750,104375" +) +tg (CPTG +uid 4250,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4251,0 +va (VaSet +) +xt "100200,103400,102000,104400" +st "CLK" +ju 2 +blo "102000,104200" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*221 (CptPort +uid 4253,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4254,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "99625,106000,100375,106750" +) +tg (CPTG +uid 4255,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4256,0 +va (VaSet +) +xt "98600,104600,100400,105600" +st "CLR" +blo "98600,105400" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*222 (CptPort +uid 4257,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4258,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "96250,99625,97000,100375" +) +tg (CPTG +uid 4259,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4260,0 +va (VaSet +) +xt "98000,99300,98600,100300" +st "Q" +blo "98000,100100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 4236,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97000,98000,103000,106000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 4237,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*223 (Text +uid 4238,0 +va (VaSet +) +xt "95600,105700,102200,106700" +st "sequential" +blo "95600,106500" +tm "BdLibraryNameMgr" +) +*224 (Text +uid 4239,0 +va (VaSet +) +xt "95600,106700,97400,107700" +st "DFF" +blo "95600,107500" +tm "CptNameMgr" +) +*225 (Text +uid 4240,0 +va (VaSet +) +xt "95600,107700,97400,108700" +st "I20" +blo "95600,108500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 4241,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 4242,0 +text (MLText +uid 4243,0 +va (VaSet +isHidden 1 +) +xt "104000,105400,117400,106600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*226 (SaComponent +uid 4261,0 +optionalChildren [ +*227 (CptPort +uid 4270,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4271,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "114000,99625,114750,100375" +) +tg (CPTG +uid 4272,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4273,0 +va (VaSet +isHidden 1 +) +xt "178950,99500,180750,100500" +st "in1" +ju 2 +blo "180750,100300" +) +s (Text +uid 4274,0 +va (VaSet +isHidden 1 +) +xt "180750,100500,180750,100500" +ju 2 +blo "180750,100500" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*228 (CptPort +uid 4275,0 +optionalChildren [ +*229 (Circle +uid 4280,0 +va (VaSet +fg "0,65535,0" +) +xt "108250,99625,109000,100375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 4276,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "107500,99625,108250,100375" +) +tg (CPTG +uid 4277,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4278,0 +va (VaSet +isHidden 1 +) +xt "170100,99500,172500,100500" +st "out1" +blo "170100,100300" +) +s (Text +uid 4279,0 +va (VaSet +isHidden 1 +) +xt "170100,100500,170100,100500" +blo "170100,100500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 4262,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "109000,97000,114000,103000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 4263,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*230 (Text +uid 4264,0 +va (VaSet +isHidden 1 +) +xt "109910,95700,112910,96700" +st "gates" +blo "109910,96500" +tm "BdLibraryNameMgr" +) +*231 (Text +uid 4265,0 +va (VaSet +isHidden 1 +) +xt "109910,96700,114710,97700" +st "inverter" +blo "109910,97500" +tm "CptNameMgr" +) +*232 (Text +uid 4266,0 +va (VaSet +) +xt "109910,96700,111710,97700" +st "I21" +blo "109910,97500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 4267,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 4268,0 +text (MLText +uid 4269,0 +va (VaSet +isHidden 1 +) +xt "109000,103400,122400,104600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*233 (PortIoIn +uid 4281,0 +shape (CompositeShape +uid 4282,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4283,0 +sl 0 +ro 90 +xt "120500,99625,122000,100375" +) +(Line +uid 4284,0 +sl 0 +ro 90 +xt "120000,100000,120500,100000" +pts [ +"120500,100000" +"120000,100000" +] +) +] +) +tg (WTG +uid 4285,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4286,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "123000,99300,131800,100700" +st "encoderI_n" +blo "123000,100500" +tm "WireNameMgr" +) +s (Text +uid 4287,0 +va (VaSet +) +xt "123000,100700,123000,100700" +blo "123000,100700" +tm "SignalTypeMgr" +) +) +) +*234 (Net +uid 4335,0 +decl (Decl +n "encoderASynch" +t "std_uLogic" +o 26 +suid 33,0 +) +declText (MLText +uid 4336,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,74600,16000,75600" +st "SIGNAL encoderASynch : std_uLogic" +) +) +*235 (Net +uid 4341,0 +decl (Decl +n "encoderBSynch" +t "std_uLogic" +o 28 +suid 34,0 +) +declText (MLText +uid 4342,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,74600,16000,75600" +st "SIGNAL encoderBSynch : std_uLogic" +) +) +*236 (Net +uid 4343,0 +decl (Decl +n "encoderB" +t "std_uLogic" +o 27 +suid 35,0 +) +declText (MLText +uid 4344,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,74600,15100,75600" +st "SIGNAL encoderB : std_uLogic" +) +) +*237 (Net +uid 4347,0 +decl (Decl +n "encoderISynch" +t "std_uLogic" +o 30 +suid 36,0 +) +declText (MLText +uid 4348,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,74600,15800,75600" +st "SIGNAL encoderISynch : std_uLogic" +) +) +*238 (Net +uid 4349,0 +decl (Decl +n "encoderI" +t "std_uLogic" +o 29 +suid 37,0 +) +declText (MLText +uid 4350,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,74600,14900,75600" +st "SIGNAL encoderI : std_uLogic" +) +) +*239 (Net +uid 4351,0 +decl (Decl +n "encoderI_n" +t "std_uLogic" +o 5 +suid 38,0 +) +declText (MLText +uid 4352,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,74600,12100,75600" +st "encoderI_n : std_uLogic" +) +) +*240 (Net +uid 4353,0 +decl (Decl +n "encoderA" +t "std_uLogic" +o 25 +suid 39,0 +) +declText (MLText +uid 4354,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,74600,15100,75600" +st "SIGNAL encoderA : std_uLogic" +) +) +*241 (Net +uid 4355,0 +decl (Decl +n "encoderA_n" +t "std_uLogic" +o 3 +suid 40,0 +) +declText (MLText +uid 4356,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,74600,12300,75600" +st "encoderA_n : std_uLogic" +) +) +*242 (Net +uid 4357,0 +decl (Decl +n "encoderB_n" +t "std_uLogic" +o 4 +suid 41,0 +) +declText (MLText +uid 4358,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,74600,12300,75600" +st "encoderB_n : std_uLogic" +) +) +*243 (Net +uid 4689,0 +decl (Decl +n "button4_n" +t "std_uLogic" +o 1 +suid 42,0 +) +declText (MLText +uid 4690,0 +va (VaSet +isHidden 1 +) +xt "0,74600,14900,75800" +st "button4_n : std_uLogic" +) +) +*244 (Net +uid 4691,0 +decl (Decl +n "button4Synch" +t "std_uLogic" +o 24 +suid 43,0 +) +declText (MLText +uid 4692,0 +va (VaSet +isHidden 1 +) +xt "0,74600,20400,75800" +st "SIGNAL button4Synch : std_uLogic" +) +) +*245 (PortIoOut +uid 4922,0 +shape (CompositeShape +uid 4923,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4924,0 +sl 0 +ro 90 +xt "58000,63625,59500,64375" +) +(Line +uid 4925,0 +sl 0 +ro 90 +xt "59500,64000,60000,64000" +pts [ +"60000,64000" +"59500,64000" +] +) +] +) +tg (WTG +uid 4926,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4927,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "48800,63300,57000,64700" +st "LCD_CS1_n" +ju 2 +blo "57000,64500" +tm "WireNameMgr" +) +s (Text +uid 4928,0 +va (VaSet +font "Verdana,12,0" +) +xt "48800,64700,48800,64700" +ju 2 +blo "48800,64700" +tm "SignalTypeMgr" +) +) +) +*246 (PortIoOut +uid 4929,0 +shape (CompositeShape +uid 4930,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4931,0 +sl 0 +ro 90 +xt "58000,65625,59500,66375" +) +(Line +uid 4932,0 +sl 0 +ro 90 +xt "59500,66000,60000,66000" +pts [ +"60000,66000" +"59500,66000" +] +) +] +) +tg (WTG +uid 4933,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4934,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "50500,65300,57000,66700" +st "LCD_SCL" +ju 2 +blo "57000,66500" +tm "WireNameMgr" +) +s (Text +uid 4935,0 +va (VaSet +font "Verdana,12,0" +) +xt "50500,66700,50500,66700" +ju 2 +blo "50500,66700" +tm "SignalTypeMgr" +) +) +) +*247 (PortIoOut +uid 4936,0 +shape (CompositeShape +uid 4937,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4938,0 +sl 0 +ro 90 +xt "58000,67625,59500,68375" +) +(Line +uid 4939,0 +sl 0 +ro 90 +xt "59500,68000,60000,68000" +pts [ +"60000,68000" +"59500,68000" +] +) +] +) +tg (WTG +uid 4940,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4941,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "51600,67300,57000,68700" +st "LCD_SI" +ju 2 +blo "57000,68500" +tm "WireNameMgr" +) +s (Text +uid 4942,0 +va (VaSet +font "Verdana,12,0" +) +xt "51600,68700,51600,68700" +ju 2 +blo "51600,68700" +tm "SignalTypeMgr" +) +) +) +*248 (PortIoOut +uid 4943,0 +shape (CompositeShape +uid 4944,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4945,0 +sl 0 +ro 90 +xt "58000,69625,59500,70375" +) +(Line +uid 4946,0 +sl 0 +ro 90 +xt "59500,70000,60000,70000" +pts [ +"60000,70000" +"59500,70000" +] +) +] +) +tg (WTG +uid 4947,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4948,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "51300,69300,57000,70700" +st "LCD_A0" +ju 2 +blo "57000,70500" +tm "WireNameMgr" +) +s (Text +uid 4949,0 +va (VaSet +font "Verdana,12,0" +) +xt "51300,70700,51300,70700" +ju 2 +blo "51300,70700" +tm "SignalTypeMgr" +) +) +) +*249 (PortIoOut +uid 4950,0 +shape (CompositeShape +uid 4951,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4952,0 +sl 0 +ro 90 +xt "58000,71625,59500,72375" +) +(Line +uid 4953,0 +sl 0 +ro 90 +xt "59500,72000,60000,72000" +pts [ +"60000,72000" +"59500,72000" +] +) +] +) +tg (WTG +uid 4954,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4955,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "49000,71300,57000,72700" +st "LCD_RST_n" +ju 2 +blo "57000,72500" +tm "WireNameMgr" +) +s (Text +uid 4956,0 +va (VaSet +font "Verdana,12,0" +) +xt "49000,72700,49000,72700" +ju 2 +blo "49000,72700" +tm "SignalTypeMgr" +) +) +) +*250 (Net +uid 5038,0 +decl (Decl +n "LCD_CS1_n" +t "std_ulogic" +o 14 +suid 49,0 +) +declText (MLText +uid 5039,0 +va (VaSet +isHidden 1 +) +xt "0,74600,15700,75800" +st "LCD_CS1_n : std_ulogic" +) +) +*251 (Net +uid 5040,0 +decl (Decl +n "LCD_SCL" +t "std_ulogic" +o 16 +suid 50,0 +) +declText (MLText +uid 5041,0 +va (VaSet +isHidden 1 +) +xt "0,74600,15000,75800" +st "LCD_SCL : std_ulogic" +) +) +*252 (Net +uid 5042,0 +decl (Decl +n "LCD_SI" +t "std_ulogic" +o 17 +suid 51,0 +) +declText (MLText +uid 5043,0 +va (VaSet +isHidden 1 +) +xt "0,74600,14400,75800" +st "LCD_SI : std_ulogic" +) +) +*253 (Net +uid 5044,0 +decl (Decl +n "LCD_A0" +t "std_ulogic" +o 13 +suid 52,0 +) +declText (MLText +uid 5045,0 +va (VaSet +isHidden 1 +) +xt "0,74600,14700,75800" +st "LCD_A0 : std_ulogic" +) +) +*254 (Net +uid 5046,0 +decl (Decl +n "LCD_RST_n" +t "std_ulogic" +o 15 +suid 53,0 +) +declText (MLText +uid 5047,0 +va (VaSet +isHidden 1 +) +xt "0,74600,15600,75800" +st "LCD_RST_n : std_ulogic" +) +) +*255 (SaComponent +uid 5636,0 +optionalChildren [ +*256 (CptPort +uid 5531,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5532,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,77625,68000,78375" +) +tg (CPTG +uid 5533,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5534,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,77300,72500,78600" +st "clock" +blo "69000,78300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*257 (CptPort +uid 5536,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5537,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,79625,68000,80375" +) +tg (CPTG +uid 5538,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5539,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,79300,72500,80600" +st "reset" +blo "69000,80300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 2,0 +) +) +) +*258 (CptPort +uid 5541,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5542,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,55625,84750,56375" +) +tg (CPTG +uid 5543,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5544,0 +va (VaSet +font "Verdana,12,0" +) +xt "79500,55400,83000,56700" +st "side1" +ju 2 +blo "83000,56400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "side1" +t "std_uLogic" +o 19 +suid 3,0 +) +) +) +*259 (CptPort +uid 5546,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5547,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,53625,68000,54375" +) +tg (CPTG +uid 5548,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5549,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,53300,73900,54600" +st "restart" +blo "69000,54300" +) +) +thePort (LogicalPort +decl (Decl +n "restart" +t "std_uLogic" +o 9 +suid 4,0 +) +) +) +*260 (CptPort +uid 5551,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5552,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,57625,68000,58375" +) +tg (CPTG +uid 5553,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5554,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,57300,71100,58600" +st "go2" +blo "69000,58300" +) +) +thePort (LogicalPort +decl (Decl +n "go2" +t "std_uLogic" +o 7 +suid 5,0 +) +) +) +*261 (CptPort +uid 5556,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5557,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,61625,84750,62375" +) +tg (CPTG +uid 5558,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5559,0 +va (VaSet +font "Verdana,12,0" +) +xt "78100,61400,83000,62700" +st "sensor1" +ju 2 +blo "83000,62400" +) +) +thePort (LogicalPort +decl (Decl +n "sensor1" +t "std_uLogic" +o 10 +suid 6,0 +) +) +) +*262 (CptPort +uid 5561,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5562,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,75625,68000,76375" +) +tg (CPTG +uid 5563,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5564,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,75300,74600,76600" +st "testMode" +blo "69000,76300" +) +) +thePort (LogicalPort +decl (Decl +n "testMode" +t "std_uLogic" +o 12 +suid 7,0 +) +) +) +*263 (CptPort +uid 5566,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5567,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "75625,49250,76375,50000" +) +tg (CPTG +uid 5568,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5569,0 +va (VaSet +font "Verdana,12,0" +) +xt "73700,51000,78600,52300" +st "testOut" +ju 2 +blo "78600,52000" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_uLogic_vector" +b "(1 TO testLineNb)" +o 21 +suid 8,0 +) +) +) +*264 (CptPort +uid 5571,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5572,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,55625,68000,56375" +) +tg (CPTG +uid 5573,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5574,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,55300,71100,56600" +st "go1" +blo "69000,56300" +) +) +thePort (LogicalPort +decl (Decl +n "go1" +t "std_uLogic" +o 6 +suid 9,0 +) +) +) +*265 (CptPort +uid 5576,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5577,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,57625,84750,58375" +) +tg (CPTG +uid 5578,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5579,0 +va (VaSet +font "Verdana,12,0" +) +xt "79500,57400,83000,58700" +st "side2" +ju 2 +blo "83000,58400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "side2" +t "std_uLogic" +o 20 +suid 10,0 +) +) +) +*266 (CptPort +uid 5581,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5582,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,63625,84750,64375" +) +tg (CPTG +uid 5583,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5584,0 +va (VaSet +font "Verdana,12,0" +) +xt "78100,63300,83000,64600" +st "sensor2" +ju 2 +blo "83000,64300" +) +) +thePort (LogicalPort +decl (Decl +n "sensor2" +t "std_uLogic" +o 11 +suid 11,0 +) +) +) +*267 (CptPort +uid 5586,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5587,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,53625,84750,54375" +) +tg (CPTG +uid 5588,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5589,0 +va (VaSet +font "Verdana,12,0" +) +xt "78100,53400,83000,54700" +st "motorOn" +ju 2 +blo "83000,54400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 18 +suid 12,0 +) +) +) +*268 (CptPort +uid 5591,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5592,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,67625,84750,68375" +) +tg (CPTG +uid 5593,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5594,0 +va (VaSet +font "Verdana,12,0" +) +xt "77400,67400,83000,68700" +st "encoderA" +ju 2 +blo "83000,68400" +) +) +thePort (LogicalPort +decl (Decl +n "encoderA" +t "std_uLogic" +o 3 +suid 13,0 +) +) +) +*269 (CptPort +uid 5596,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5597,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,69625,84750,70375" +) +tg (CPTG +uid 5598,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5599,0 +va (VaSet +font "Verdana,12,0" +) +xt "77400,69400,83000,70700" +st "encoderB" +ju 2 +blo "83000,70400" +) +) +thePort (LogicalPort +decl (Decl +n "encoderB" +t "std_uLogic" +o 4 +suid 14,0 +) +) +) +*270 (CptPort +uid 5601,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5602,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,71625,84750,72375" +) +tg (CPTG +uid 5603,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5604,0 +va (VaSet +font "Verdana,12,0" +) +xt "77400,71400,83000,72700" +st "encoderI" +ju 2 +blo "83000,72400" +) +) +thePort (LogicalPort +decl (Decl +n "encoderI" +t "std_uLogic" +o 5 +suid 15,0 +) +) +) +*271 (CptPort +uid 5606,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5607,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,59625,68000,60375" +) +tg (CPTG +uid 5608,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5609,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,59300,73900,60600" +st "button4" +blo "69000,60300" +) +) +thePort (LogicalPort +decl (Decl +n "button4" +t "std_uLogic" +o 1 +suid 16,0 +) +) +) +*272 (CptPort +uid 5611,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5612,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,63625,68000,64375" +) +tg (CPTG +uid 5613,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5614,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,63300,72500,64600" +st "CS1_n" +blo "69000,64300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 14 +suid 2017,0 +) +) +) +*273 (CptPort +uid 5616,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5617,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,65625,68000,66375" +) +tg (CPTG +uid 5618,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5619,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,65300,71100,66600" +st "SCL" +blo "69000,66300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 16 +suid 2018,0 +) +) +) +*274 (CptPort +uid 5621,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5622,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,67625,68000,68375" +) +tg (CPTG +uid 5623,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5624,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,67300,70400,68600" +st "SI" +blo "69000,68300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 17 +suid 2019,0 +) +) +) +*275 (CptPort +uid 5626,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5627,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,69625,68000,70375" +) +tg (CPTG +uid 5628,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5629,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,69300,70400,70600" +st "A0" +blo "69000,70300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 13 +suid 2020,0 +) +) +) +*276 (CptPort +uid 5631,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5632,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,71625,68000,72375" +) +tg (CPTG +uid 5633,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5634,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,71300,72500,72600" +st "RST_n" +blo "69000,72300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 15 +suid 2021,0 +) +) +) +] +shape (Rectangle +uid 5637,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "68000,50000,84000,82000" +) +oxt "40000,2000,56000,34000" +ttg (MlTextGroup +uid 5638,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*277 (Text +uid 5639,0 +va (VaSet +font "Verdana,8,1" +) +xt "68100,81700,71100,82600" +st "Cursor" +blo "68100,82400" +tm "BdLibraryNameMgr" +) +*278 (Text +uid 5640,0 +va (VaSet +font "Verdana,8,1" +) +xt "68100,82700,75100,83600" +st "cursorCircuit" +blo "68100,83400" +tm "CptNameMgr" +) +*279 (Text +uid 5641,0 +va (VaSet +font "Verdana,8,1" +) +xt "68100,83700,69100,84600" +st "I0" +blo "68100,84400" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 5642,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 5643,0 +text (MLText +uid 5644,0 +va (VaSet +font "Verdana,8,0" +) +xt "68000,85200,90000,91200" +st "position0 = position0 ( positive ) +position1 = position1 ( positive ) +position2 = position2 ( positive ) +slopeShiftBitNb = slopeShiftBitNb ( positive ) +pwmBitNb = pwmBitNb ( positive ) +testLineNb = testLineNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "position0" +type "positive" +value "position0" +) +(GiElement +name "position1" +type "positive" +value "position1" +) +(GiElement +name "position2" +type "positive" +value "position2" +) +(GiElement +name "slopeShiftBitNb" +type "positive" +value "slopeShiftBitNb" +) +(GiElement +name "pwmBitNb" +type "positive" +value "pwmBitNb" +) +(GiElement +name "testLineNb" +type "positive" +value "testLineNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*280 (Net +uid 5716,0 +decl (Decl +n "LEDs" +t "std_uLogic_vector" +b "(1 TO 8)" +o 20 +suid 55,0 +) +declText (MLText +uid 5717,0 +va (VaSet +isHidden 1 +) +xt "0,0,22900,1200" +st "LEDs : std_uLogic_vector(1 TO 8)" +) +) +*281 (Wire +uid 1317,0 +shape (OrthoPolyLine +uid 1318,0 +va (VaSet +vasetType 3 +) +xt "28000,92000,33000,92000" +pts [ +"33000,92000" +"28000,92000" +] +) +start &18 +end &14 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1321,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1322,0 +va (VaSet +font "Verdana,12,0" +) +xt "27000,90600,32700,92000" +st "reset_n" +blo "27000,91800" +tm "WireNameMgr" +) +) +on &15 +) +*282 (Wire +uid 1327,0 +shape (OrthoPolyLine +uid 1328,0 +va (VaSet +vasetType 3 +) +xt "28000,78000,67250,78000" +pts [ +"67250,78000" +"28000,78000" +] +) +start &256 +end &13 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1331,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1332,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,76600,31800,78000" +st "clock" +blo "28000,77800" +tm "WireNameMgr" +) +) +on &1 +) +*283 (Wire +uid 1625,0 +shape (OrthoPolyLine +uid 1626,0 +va (VaSet +vasetType 3 +) +xt "55750,80000,67250,82000" +pts [ +"67250,80000" +"59000,80000" +"59000,82000" +"55750,82000" +] +) +start &257 +end &85 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1629,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1630,0 +va (VaSet +font "Verdana,12,0" +) +xt "60000,78600,68600,80000" +st "resetSynch" +blo "60000,79800" +tm "WireNameMgr" +) +) +on &77 +) +*284 (Wire +uid 2137,0 +shape (OrthoPolyLine +uid 2138,0 +va (VaSet +vasetType 3 +) +xt "28000,76000,67250,76000" +pts [ +"67250,76000" +"28000,76000" +] +) +start &262 +end &28 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2141,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2142,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,74600,34700,76000" +st "testMode" +blo "28000,75800" +tm "WireNameMgr" +) +) +on &24 +) +*285 (Wire +uid 2145,0 +shape (OrthoPolyLine +uid 2146,0 +va (VaSet +vasetType 3 +) +xt "28000,24000,33000,24000" +pts [ +"33000,24000" +"28000,24000" +] +) +start &115 +end &27 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2149,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2150,0 +va (VaSet +font "Verdana,12,0" +) +xt "27000,22600,33700,24000" +st "restart_n" +blo "27000,23800" +tm "WireNameMgr" +) +) +on &146 +) +*286 (Wire +uid 2153,0 +shape (OrthoPolyLine +uid 2154,0 +va (VaSet +vasetType 3 +) +xt "28000,60000,33000,60000" +pts [ +"33000,60000" +"28000,60000" +] +) +start &122 +end &26 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2157,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2158,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,58600,35400,60000" +st "button4_n" +blo "28000,59800" +tm "WireNameMgr" +) +) +on &243 +) +*287 (Wire +uid 2161,0 +shape (OrthoPolyLine +uid 2162,0 +va (VaSet +vasetType 3 +) +xt "28000,48000,33000,48000" +pts [ +"33000,48000" +"28000,48000" +] +) +start &108 +end &25 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2165,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2166,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,46600,31500,47900" +st "go2_n" +blo "28000,47600" +tm "WireNameMgr" +) +) +on &179 +) +*288 (Wire +uid 2238,0 +shape (OrthoPolyLine +uid 2239,0 +va (VaSet +vasetType 3 +) +xt "36000,52000,41000,52000" +pts [ +"41000,52000" +"36000,52000" +] +) +start &31 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2244,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2245,0 +va (VaSet +font "Verdana,12,0" +) +xt "36000,50600,39800,52000" +st "clock" +blo "36000,51800" +tm "WireNameMgr" +) +) +on &1 +) +*289 (Wire +uid 2246,0 +shape (OrthoPolyLine +uid 2247,0 +va (VaSet +vasetType 3 +) +xt "36000,54000,44000,56000" +pts [ +"44000,54000" +"44000,56000" +"36000,56000" +] +) +start &33 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2252,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2253,0 +va (VaSet +font "Verdana,12,0" +) +xt "36000,54600,44600,56000" +st "resetSynch" +blo "36000,55800" +tm "WireNameMgr" +) +) +on &77 +) +*290 (Wire +uid 2256,0 +shape (OrthoPolyLine +uid 2257,0 +va (VaSet +vasetType 3 +) +xt "47000,48000,67250,58000" +pts [ +"47000,48000" +"58000,48000" +"58000,58000" +"67250,58000" +] +) +start &34 +end &260 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2258,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2259,0 +va (VaSet +font "Verdana,12,0" +) +xt "49000,46600,55900,48000" +st "go2Synch" +blo "49000,47800" +tm "WireNameMgr" +) +) +on &177 +) +*291 (Wire +uid 2288,0 +shape (OrthoPolyLine +uid 2289,0 +va (VaSet +vasetType 3 +) +xt "36000,66000,44000,68000" +pts [ +"44000,66000" +"44000,68000" +"36000,68000" +] +) +start &42 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2292,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2293,0 +va (VaSet +font "Verdana,12,0" +) +xt "36000,66600,44600,68000" +st "resetSynch" +blo "36000,67800" +tm "WireNameMgr" +) +) +on &77 +) +*292 (Wire +uid 2294,0 +shape (OrthoPolyLine +uid 2295,0 +va (VaSet +vasetType 3 +) +xt "36000,64000,41000,64000" +pts [ +"41000,64000" +"36000,64000" +] +) +start &40 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2298,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2299,0 +va (VaSet +font "Verdana,12,0" +) +xt "36000,62600,39800,64000" +st "clock" +blo "36000,63800" +tm "WireNameMgr" +) +) +on &1 +) +*293 (Wire +uid 2302,0 +shape (OrthoPolyLine +uid 2303,0 +va (VaSet +vasetType 3 +) +xt "47000,60000,67250,60000" +pts [ +"47000,60000" +"67250,60000" +] +) +start &43 +end &271 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2304,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2305,0 +va (VaSet +font "Verdana,12,0" +) +xt "49000,58600,59300,60000" +st "button4Synch" +blo "49000,59800" +tm "WireNameMgr" +) +) +on &244 +) +*294 (Wire +uid 2332,0 +shape (OrthoPolyLine +uid 2333,0 +va (VaSet +vasetType 3 +) +xt "36000,30000,44000,32000" +pts [ +"44000,30000" +"44000,32000" +"36000,32000" +] +) +start &51 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2336,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2337,0 +va (VaSet +font "Verdana,12,0" +) +xt "36000,30600,44600,32000" +st "resetSynch" +blo "36000,31800" +tm "WireNameMgr" +) +) +on &77 +) +*295 (Wire +uid 2338,0 +shape (OrthoPolyLine +uid 2339,0 +va (VaSet +vasetType 3 +) +xt "36000,28000,41000,28000" +pts [ +"41000,28000" +"36000,28000" +] +) +start &49 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2342,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2343,0 +va (VaSet +font "Verdana,12,0" +) +xt "36000,26600,39800,28000" +st "clock" +blo "36000,27800" +tm "WireNameMgr" +) +) +on &1 +) +*296 (Wire +uid 2346,0 +shape (OrthoPolyLine +uid 2347,0 +va (VaSet +vasetType 3 +) +xt "47000,24000,67250,54000" +pts [ +"47000,24000" +"62000,24000" +"62000,54000" +"67250,54000" +] +) +start &52 +end &259 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2348,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2349,0 +va (VaSet +font "Verdana,12,0" +) +xt "49000,22600,58600,24000" +st "restartSynch" +blo "49000,23800" +tm "WireNameMgr" +) +) +on &147 +) +*297 (Wire +uid 2387,0 +shape (OrthoPolyLine +uid 2388,0 +va (VaSet +vasetType 3 +) +xt "103000,64000,108250,64000" +pts [ +"103000,64000" +"108250,64000" +] +) +start &58 +end &93 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2389,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2390,0 +va (VaSet +font "Verdana,12,0" +) +xt "103000,62600,108900,64000" +st "sensor2" +blo "103000,63800" +tm "WireNameMgr" +) +) +on &169 +) +*298 (Wire +uid 2391,0 +shape (OrthoPolyLine +uid 2392,0 +va (VaSet +vasetType 3 +) +xt "103000,68000,108000,68000" +pts [ +"103000,68000" +"108000,68000" +] +) +start &59 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2395,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2396,0 +va (VaSet +font "Verdana,12,0" +) +xt "105000,66600,108800,68000" +st "clock" +blo "105000,67800" +tm "WireNameMgr" +) +) +on &1 +) +*299 (Wire +uid 2397,0 +shape (OrthoPolyLine +uid 2398,0 +va (VaSet +vasetType 3 +) +xt "100000,70000,108000,72000" +pts [ +"100000,70000" +"100000,72000" +"108000,72000" +] +) +start &61 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2401,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2402,0 +va (VaSet +font "Verdana,12,0" +) +xt "101000,70600,109600,72000" +st "resetSynch" +blo "101000,71800" +tm "WireNameMgr" +) +) +on &77 +) +*300 (Wire +uid 2407,0 +shape (OrthoPolyLine +uid 2408,0 +va (VaSet +vasetType 3 +) +xt "84750,64000,97000,64000" +pts [ +"84750,64000" +"97000,64000" +] +) +start &266 +end &62 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2409,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2410,0 +va (VaSet +font "Verdana,12,0" +) +xt "85000,62600,95400,64000" +st "sensor2Synch" +blo "85000,63800" +tm "WireNameMgr" +) +) +on &168 +) +*301 (Wire +uid 2431,0 +shape (OrthoPolyLine +uid 2432,0 +va (VaSet +vasetType 3 +) +xt "84750,48000,120000,58000" +pts [ +"84750,58000" +"92000,58000" +"92000,48000" +"120000,48000" +] +) +start &265 +end &67 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2435,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2436,0 +va (VaSet +font "Verdana,12,0" +) +xt "114000,46600,118200,48000" +st "side2" +blo "114000,47800" +tm "WireNameMgr" +) +) +on &175 +) +*302 (Wire +uid 2439,0 +shape (OrthoPolyLine +uid 2440,0 +va (VaSet +vasetType 3 +) +xt "84750,46000,120000,56000" +pts [ +"84750,56000" +"90000,56000" +"90000,46000" +"120000,46000" +] +) +start &258 +end &66 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2443,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2444,0 +va (VaSet +font "Verdana,12,0" +) +xt "116000,44600,120200,46000" +st "side1" +blo "116000,45800" +tm "WireNameMgr" +) +) +on &174 +) +*303 (Wire +uid 2499,0 +shape (OrthoPolyLine +uid 2500,0 +va (VaSet +vasetType 3 +) +xt "36000,86000,41000,86000" +pts [ +"41000,86000" +"36000,86000" +] +) +start &70 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2503,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2504,0 +va (VaSet +font "Verdana,12,0" +) +xt "36000,84600,39800,86000" +st "clock" +blo "36000,85800" +tm "WireNameMgr" +) +) +on &1 +) +*304 (Wire +uid 2507,0 +shape (OrthoPolyLine +uid 2508,0 +va (VaSet +vasetType 3 +) +xt "36000,82000,41000,82000" +pts [ +"41000,82000" +"36000,82000" +] +) +start &69 +end &78 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2511,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2512,0 +va (VaSet +font "Verdana,12,0" +) +xt "36000,80600,40400,82000" +st "logic1" +blo "36000,81800" +tm "WireNameMgr" +) +) +on &82 +) +*305 (Wire +uid 2517,0 +shape (OrthoPolyLine +uid 2518,0 +va (VaSet +vasetType 3 +) +xt "38750,88000,44000,92000" +pts [ +"38750,92000" +"44000,92000" +"44000,88000" +] +) +start &19 +end &72 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2519,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2520,0 +va (VaSet +font "Verdana,12,0" +) +xt "40000,90600,44100,92000" +st "reset" +blo "40000,91800" +tm "WireNameMgr" +) +) +on &16 +) +*306 (Wire +uid 2598,0 +shape (OrthoPolyLine +uid 2599,0 +va (VaSet +vasetType 3 +) +xt "47000,82000,50000,82000" +pts [ +"47000,82000" +"50000,82000" +] +) +start &73 +end &84 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2600,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2601,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,80600,54200,82000" +st "resetSynch_n" +blo "44000,81800" +tm "WireNameMgr" +) +) +on &90 +) +*307 (Wire +uid 2646,0 +shape (OrthoPolyLine +uid 2647,0 +va (VaSet +vasetType 3 +) +xt "114000,64000,120000,64000" +pts [ +"120000,64000" +"114000,64000" +] +) +start &56 +end &92 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2648,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2649,0 +va (VaSet +font "Verdana,12,0" +) +xt "115000,62600,122500,64000" +st "sensor2_n" +blo "115000,63800" +tm "WireNameMgr" +) +s (Text +uid 2672,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "115000,64000,115000,64000" +blo "115000,64000" +tm "SignalTypeMgr" +) +) +on &170 +) +*308 (Wire +uid 2680,0 +shape (OrthoPolyLine +uid 2681,0 +va (VaSet +vasetType 3 +) +xt "112000,32000,120000,32000" +pts [ +"112000,32000" +"120000,32000" +] +) +start &103 +end &98 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2684,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2685,0 +va (VaSet +font "Verdana,12,0" +) +xt "117000,30600,121000,32000" +st "LED1" +blo "117000,31800" +tm "WireNameMgr" +) +) +on &99 +) +*309 (Wire +uid 2695,0 +shape (OrthoPolyLine +uid 2696,0 +va (VaSet +vasetType 3 +) +xt "112000,34000,120000,34000" +pts [ +"112000,34000" +"120000,34000" +] +) +start &103 +end &100 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2699,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2700,0 +va (VaSet +font "Verdana,12,0" +) +xt "117000,32600,121000,34000" +st "LED2" +blo "117000,33800" +tm "WireNameMgr" +) +) +on &101 +) +*310 (Wire +uid 2786,0 +shape (OrthoPolyLine +uid 2787,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "76000,34000,92000,49250" +pts [ +"76000,49250" +"76000,34000" +"92000,34000" +] +) +start &263 +end &103 +sat 32 +eat 1 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2790,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2791,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "74600,42450,76000,48050" +st "testOut" +blo "75800,48050" +tm "WireNameMgr" +) +) +on &102 +) +*311 (Wire +uid 3020,0 +shape (OrthoPolyLine +uid 3021,0 +va (VaSet +vasetType 3 +) +xt "38750,48000,41000,48000" +pts [ +"38750,48000" +"41000,48000" +] +) +start &109 +end &30 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 3022,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3023,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "40750,46600,43950,48000" +st "go2" +blo "40750,47800" +tm "WireNameMgr" +) +s (Text +uid 3108,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "40750,48000,40750,48000" +blo "40750,48000" +tm "SignalTypeMgr" +) +) +on &178 +) +*312 (Wire +uid 3066,0 +shape (OrthoPolyLine +uid 3067,0 +va (VaSet +vasetType 3 +) +xt "38750,60000,41000,60000" +pts [ +"38750,60000" +"41000,60000" +] +) +start &123 +end &39 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 3068,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3069,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "40750,58600,46750,60000" +st "setPoint" +blo "40750,59800" +tm "WireNameMgr" +) +s (Text +uid 3109,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "40750,60000,40750,60000" +blo "40750,60000" +tm "SignalTypeMgr" +) +) +on &176 +) +*313 (Wire +uid 3072,0 +shape (OrthoPolyLine +uid 3073,0 +va (VaSet +vasetType 3 +) +xt "38750,24000,41000,24000" +pts [ +"38750,24000" +"41000,24000" +] +) +start &116 +end &48 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 3074,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3075,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "40750,22600,45850,24000" +st "restart" +blo "40750,23800" +tm "WireNameMgr" +) +s (Text +uid 3110,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "40750,24000,40750,24000" +blo "40750,24000" +tm "SignalTypeMgr" +) +) +on &145 +) +*314 (Wire +uid 3269,0 +shape (OrthoPolyLine +uid 3270,0 +va (VaSet +vasetType 3 +) +xt "47000,36000,67250,56000" +pts [ +"47000,36000" +"60000,36000" +"60000,56000" +"67250,56000" +] +) +start &141 +end &264 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3273,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3274,0 +va (VaSet +font "Verdana,12,0" +) +xt "49000,34600,55900,36000" +st "go1Synch" +blo "49000,35800" +tm "WireNameMgr" +) +) +on &180 +) +*315 (Wire +uid 3275,0 +shape (OrthoPolyLine +uid 3276,0 +va (VaSet +vasetType 3 +) +xt "36000,42000,44000,44000" +pts [ +"44000,42000" +"44000,44000" +"36000,44000" +] +) +start &140 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3279,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3280,0 +va (VaSet +font "Verdana,12,0" +) +xt "36000,42600,44600,44000" +st "resetSynch" +blo "36000,43800" +tm "WireNameMgr" +) +) +on &77 +) +*316 (Wire +uid 3281,0 +shape (OrthoPolyLine +uid 3282,0 +va (VaSet +vasetType 3 +) +xt "28000,36000,33000,36000" +pts [ +"33000,36000" +"28000,36000" +] +) +start &130 +end &128 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3283,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3284,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,34600,32800,36000" +st "go1_n" +blo "28000,35800" +tm "WireNameMgr" +) +) +on &182 +) +*317 (Wire +uid 3285,0 +shape (OrthoPolyLine +uid 3286,0 +va (VaSet +vasetType 3 +) +xt "36000,40000,41000,40000" +pts [ +"41000,40000" +"36000,40000" +] +) +start &138 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3289,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3290,0 +va (VaSet +font "Verdana,12,0" +) +xt "36000,38600,39800,40000" +st "clock" +blo "36000,39800" +tm "WireNameMgr" +) +) +on &1 +) +*318 (Wire +uid 3291,0 +shape (OrthoPolyLine +uid 3292,0 +va (VaSet +vasetType 3 +) +xt "38750,36000,41000,36000" +pts [ +"38750,36000" +"41000,36000" +] +) +start &131 +end &137 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 3293,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3294,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "40750,34600,43950,36000" +st "go1" +blo "40750,35800" +tm "WireNameMgr" +) +s (Text +uid 3295,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "40750,36000,40750,36000" +blo "40750,36000" +tm "SignalTypeMgr" +) +) +on &181 +) +*319 (Wire +uid 3383,0 +shape (OrthoPolyLine +uid 3384,0 +va (VaSet +vasetType 3 +) +xt "103000,56000,108000,56000" +pts [ +"103000,56000" +"108000,56000" +] +) +start &153 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3387,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3388,0 +va (VaSet +font "Verdana,12,0" +) +xt "105000,54600,108800,56000" +st "clock" +blo "105000,55800" +tm "WireNameMgr" +) +) +on &1 +) +*320 (Wire +uid 3389,0 +shape (OrthoPolyLine +uid 3390,0 +va (VaSet +vasetType 3 +) +xt "84750,52000,97000,62000" +pts [ +"84750,62000" +"94000,62000" +"94000,52000" +"97000,52000" +] +) +start &261 +end &156 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3393,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3394,0 +va (VaSet +font "Verdana,12,0" +) +xt "85000,60600,95400,62000" +st "sensor1Synch" +blo "85000,61800" +tm "WireNameMgr" +) +) +on &150 +) +*321 (Wire +uid 3395,0 +shape (OrthoPolyLine +uid 3396,0 +va (VaSet +vasetType 3 +) +xt "100000,58000,108000,60000" +pts [ +"100000,58000" +"100000,60000" +"108000,60000" +] +) +start &155 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3399,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3400,0 +va (VaSet +font "Verdana,12,0" +) +xt "101000,58600,109600,60000" +st "resetSynch" +blo "101000,59800" +tm "WireNameMgr" +) +) +on &77 +) +*322 (Wire +uid 3401,0 +shape (OrthoPolyLine +uid 3402,0 +va (VaSet +vasetType 3 +) +xt "103000,52000,108250,52000" +pts [ +"103000,52000" +"108250,52000" +] +) +start &152 +end &162 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3403,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3404,0 +va (VaSet +font "Verdana,12,0" +) +xt "103000,50600,108900,52000" +st "sensor1" +blo "103000,51800" +tm "WireNameMgr" +) +) +on &149 +) +*323 (Wire +uid 3405,0 +shape (OrthoPolyLine +uid 3406,0 +va (VaSet +vasetType 3 +) +xt "114000,52000,120000,52000" +pts [ +"120000,52000" +"114000,52000" +] +) +start &167 +end &161 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 3407,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3408,0 +va (VaSet +font "Verdana,12,0" +) +xt "115000,50600,122500,52000" +st "sensor1_n" +blo "115000,51800" +tm "WireNameMgr" +) +s (Text +uid 3409,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "115000,52000,115000,52000" +blo "115000,52000" +tm "SignalTypeMgr" +) +) +on &148 +) +*324 (Wire +uid 3529,0 +shape (OrthoPolyLine +uid 3530,0 +va (VaSet +vasetType 3 +) +xt "84750,44000,120000,54000" +pts [ +"84750,54000" +"88000,54000" +"88000,44000" +"120000,44000" +] +) +start &267 +end &172 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 3533,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3534,0 +va (VaSet +font "Verdana,12,0" +) +xt "114000,42600,120300,44000" +st "motorOn" +blo "114000,43800" +tm "WireNameMgr" +) +) +on &171 +) +*325 (Wire +uid 3743,0 +shape (OrthoPolyLine +uid 3744,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "112000,36000,120000,36000" +pts [ +"112000,36000" +"120000,36000" +] +) +start &103 +end &173 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3747,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3748,0 +va (VaSet +font "Verdana,12,0" +) +xt "117000,34600,120900,36000" +st "LEDs" +blo "117000,35800" +tm "WireNameMgr" +) +) +on &280 +) +*326 (Wire +uid 4193,0 +shape (OrthoPolyLine +uid 4194,0 +va (VaSet +vasetType 3 +) +xt "103000,92000,108000,92000" +pts [ +"103000,92000" +"108000,92000" +] +) +start &185 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4197,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4198,0 +va (VaSet +font "Verdana,12,0" +) +xt "105000,90600,108800,92000" +st "clock" +blo "105000,91800" +tm "WireNameMgr" +) +) +on &1 +) +*327 (Wire +uid 4199,0 +shape (OrthoPolyLine +uid 4200,0 +va (VaSet +vasetType 3 +) +xt "103000,88000,108250,88000" +pts [ +"103000,88000" +"108250,88000" +] +) +start &184 +end &203 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4201,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4202,0 +va (VaSet +font "Verdana,12,0" +) +xt "103000,86600,109700,88000" +st "encoderB" +blo "103000,87800" +tm "WireNameMgr" +) +) +on &236 +) +*328 (Wire +uid 4203,0 +shape (OrthoPolyLine +uid 4204,0 +va (VaSet +vasetType 3 +) +xt "100000,94000,108000,96000" +pts [ +"100000,94000" +"100000,96000" +"108000,96000" +] +) +start &187 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4207,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4208,0 +va (VaSet +font "Verdana,12,0" +) +xt "101000,94600,109600,96000" +st "resetSynch" +blo "101000,95800" +tm "WireNameMgr" +) +) +on &77 +) +*329 (Wire +uid 4209,0 +shape (OrthoPolyLine +uid 4210,0 +va (VaSet +vasetType 3 +) +xt "114000,88000,120000,88000" +pts [ +"120000,88000" +"114000,88000" +] +) +start &215 +end &202 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 4211,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4212,0 +va (VaSet +font "Verdana,12,0" +) +xt "115000,86600,124100,88000" +st "encoderB_n" +blo "115000,87800" +tm "WireNameMgr" +) +s (Text +uid 4213,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "115000,88000,115000,88000" +blo "115000,88000" +tm "SignalTypeMgr" +) +) +on &242 +) +*330 (Wire +uid 4214,0 +shape (OrthoPolyLine +uid 4215,0 +va (VaSet +vasetType 3 +) +xt "100000,82000,108000,84000" +pts [ +"100000,82000" +"100000,84000" +"108000,84000" +] +) +start &196 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4218,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4219,0 +va (VaSet +font "Verdana,12,0" +) +xt "101000,82600,109600,84000" +st "resetSynch" +blo "101000,83800" +tm "WireNameMgr" +) +) +on &77 +) +*331 (Wire +uid 4220,0 +shape (OrthoPolyLine +uid 4221,0 +va (VaSet +vasetType 3 +) +xt "103000,80000,108000,80000" +pts [ +"103000,80000" +"108000,80000" +] +) +start &194 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4224,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4225,0 +va (VaSet +font "Verdana,12,0" +) +xt "105000,78600,108800,80000" +st "clock" +blo "105000,79800" +tm "WireNameMgr" +) +) +on &1 +) +*332 (Wire +uid 4226,0 +shape (OrthoPolyLine +uid 4227,0 +va (VaSet +vasetType 3 +) +xt "114000,76000,120000,76000" +pts [ +"120000,76000" +"114000,76000" +] +) +start &216 +end &209 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 4228,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4229,0 +va (VaSet +font "Verdana,12,0" +) +xt "115000,74600,124100,76000" +st "encoderA_n" +blo "115000,75800" +tm "WireNameMgr" +) +s (Text +uid 4230,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "115000,76000,115000,76000" +blo "115000,76000" +tm "SignalTypeMgr" +) +) +on &241 +) +*333 (Wire +uid 4231,0 +shape (OrthoPolyLine +uid 4232,0 +va (VaSet +vasetType 3 +) +xt "103000,76000,108250,76000" +pts [ +"103000,76000" +"108250,76000" +] +) +start &193 +end &210 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4233,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4234,0 +va (VaSet +font "Verdana,12,0" +) +xt "103000,74600,109700,76000" +st "encoderA" +blo "103000,75800" +tm "WireNameMgr" +) +) +on &240 +) +*334 (Wire +uid 4288,0 +shape (OrthoPolyLine +uid 4289,0 +va (VaSet +vasetType 3 +) +xt "103000,104000,108000,104000" +pts [ +"103000,104000" +"108000,104000" +] +) +start &219 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4292,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4293,0 +va (VaSet +font "Verdana,12,0" +) +xt "105000,102600,108800,104000" +st "clock" +blo "105000,103800" +tm "WireNameMgr" +) +) +on &1 +) +*335 (Wire +uid 4294,0 +shape (OrthoPolyLine +uid 4295,0 +va (VaSet +vasetType 3 +) +xt "114000,100000,120000,100000" +pts [ +"120000,100000" +"114000,100000" +] +) +start &233 +end &227 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 4296,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4297,0 +va (VaSet +font "Verdana,12,0" +) +xt "115000,98600,123800,100000" +st "encoderI_n" +blo "115000,99800" +tm "WireNameMgr" +) +s (Text +uid 4298,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "115000,100000,115000,100000" +blo "115000,100000" +tm "SignalTypeMgr" +) +) +on &239 +) +*336 (Wire +uid 4299,0 +shape (OrthoPolyLine +uid 4300,0 +va (VaSet +vasetType 3 +) +xt "100000,106000,108000,108000" +pts [ +"100000,106000" +"100000,108000" +"108000,108000" +] +) +start &221 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4303,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4304,0 +va (VaSet +font "Verdana,12,0" +) +xt "101000,106600,109600,108000" +st "resetSynch" +blo "101000,107800" +tm "WireNameMgr" +) +) +on &77 +) +*337 (Wire +uid 4305,0 +shape (OrthoPolyLine +uid 4306,0 +va (VaSet +vasetType 3 +) +xt "103000,100000,108250,100000" +pts [ +"103000,100000" +"108250,100000" +] +) +start &218 +end &228 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4307,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4308,0 +va (VaSet +font "Verdana,12,0" +) +xt "103000,98600,109400,100000" +st "encoderI" +blo "103000,99800" +tm "WireNameMgr" +) +) +on &238 +) +*338 (Wire +uid 4319,0 +shape (OrthoPolyLine +uid 4320,0 +va (VaSet +vasetType 3 +) +xt "84750,68000,97000,76000" +pts [ +"84750,68000" +"94000,68000" +"94000,76000" +"97000,76000" +] +) +start &268 +end &197 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 4321,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4322,0 +va (VaSet +font "Verdana,12,0" +) +xt "86750,66600,97950,68000" +st "encoderASynch" +blo "86750,67800" +tm "WireNameMgr" +) +) +on &234 +) +*339 (Wire +uid 4325,0 +shape (OrthoPolyLine +uid 4326,0 +va (VaSet +vasetType 3 +) +xt "84750,70000,97000,88000" +pts [ +"84750,70000" +"92000,70000" +"92000,88000" +"97000,88000" +] +) +start &269 +end &188 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 4327,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4328,0 +va (VaSet +font "Verdana,12,0" +) +xt "86750,68600,97950,70000" +st "encoderBSynch" +blo "86750,69800" +tm "WireNameMgr" +) +) +on &235 +) +*340 (Wire +uid 4331,0 +shape (OrthoPolyLine +uid 4332,0 +va (VaSet +vasetType 3 +) +xt "84750,72000,97000,100000" +pts [ +"84750,72000" +"90000,72000" +"90000,100000" +"97000,100000" +] +) +start &270 +end &222 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 4333,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4334,0 +va (VaSet +font "Verdana,12,0" +) +xt "86750,70600,97650,72000" +st "encoderISynch" +blo "86750,71800" +tm "WireNameMgr" +) +) +on &237 +) +*341 (Wire +uid 4884,0 +shape (OrthoPolyLine +uid 4885,0 +va (VaSet +vasetType 3 +) +xt "60000,64000,67250,64000" +pts [ +"67250,64000" +"60000,64000" +] +) +start &272 +end &245 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 4888,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4889,0 +va (VaSet +font "Verdana,12,0" +) +xt "61000,62600,69200,64000" +st "LCD_CS1_n" +blo "61000,63800" +tm "WireNameMgr" +) +) +on &250 +) +*342 (Wire +uid 4892,0 +shape (OrthoPolyLine +uid 4893,0 +va (VaSet +vasetType 3 +) +xt "60000,66000,67250,66000" +pts [ +"67250,66000" +"60000,66000" +] +) +start &273 +end &246 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 4896,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4897,0 +va (VaSet +font "Verdana,12,0" +) +xt "61000,64600,67500,66000" +st "LCD_SCL" +blo "61000,65800" +tm "WireNameMgr" +) +) +on &251 +) +*343 (Wire +uid 4900,0 +shape (OrthoPolyLine +uid 4901,0 +va (VaSet +vasetType 3 +) +xt "60000,68000,67250,68000" +pts [ +"67250,68000" +"60000,68000" +] +) +start &274 +end &247 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 4904,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4905,0 +va (VaSet +font "Verdana,12,0" +) +xt "61000,66600,66400,68000" +st "LCD_SI" +blo "61000,67800" +tm "WireNameMgr" +) +) +on &252 +) +*344 (Wire +uid 4908,0 +shape (OrthoPolyLine +uid 4909,0 +va (VaSet +vasetType 3 +) +xt "60000,70000,67250,70000" +pts [ +"67250,70000" +"60000,70000" +] +) +start &275 +end &248 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 4912,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4913,0 +va (VaSet +font "Verdana,12,0" +) +xt "61000,68600,66700,70000" +st "LCD_A0" +blo "61000,69800" +tm "WireNameMgr" +) +) +on &253 +) +*345 (Wire +uid 4916,0 +shape (OrthoPolyLine +uid 4917,0 +va (VaSet +vasetType 3 +) +xt "60000,72000,67250,72000" +pts [ +"67250,72000" +"60000,72000" +] +) +start &276 +end &249 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 4920,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4921,0 +va (VaSet +font "Verdana,12,0" +) +xt "61000,70600,69000,72000" +st "LCD_RST_n" +blo "61000,71800" +tm "WireNameMgr" +) +) +on &254 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *346 (PackageList +uid 187,0 +stg "VerticalLayoutStrategy" +textVec [ +*347 (Text +uid 1297,0 +va (VaSet +font "Verdana,8,1" +) +xt "-7000,19000,-500,19900" +st "Package List" +blo "-7000,19700" +) +*348 (MLText +uid 1298,0 +va (VaSet +) +xt "-7000,20000,10700,28400" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all; +LIBRARY Common; + USE Common.CommonLib.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 190,0 +stg "VerticalLayoutStrategy" +textVec [ +*349 (Text +uid 191,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "20000,0,32000,1000" +st "Compiler Directives" +blo "20000,800" +) +*350 (Text +uid 192,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "20000,1400,33800,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*351 (MLText +uid 193,0 +va (VaSet +isHidden 1 +) +xt "20000,2800,32100,5200" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*352 (Text +uid 194,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "20000,5600,34400,6600" +st "Post-module directives:" +blo "20000,6400" +) +*353 (MLText +uid 195,0 +va (VaSet +isHidden 1 +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*354 (Text +uid 196,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "20000,7200,33800,8200" +st "End-module directives:" +blo "20000,8000" +) +*355 (MLText +uid 197,0 +va (VaSet +isHidden 1 +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "84,44,1182,895" +viewArea "-9157,16860,147244,120270" +cachedDiagramExtent "-53000,0,180750,118000" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\SUN\\PREA203_HPLJ2430DTN.PRINTERS.SYSTEM.SION.HEVs,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +numCopies 2 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +scale 50 +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,19000" +lastUid 6003,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*356 (Text +va (VaSet +) +xt "1500,2550,6100,3750" +st "" +blo "1500,3550" +tm "BdLibraryNameMgr" +) +*357 (Text +va (VaSet +) +xt "1500,3750,5600,4950" +st "" +blo "1500,4750" +tm "BlkNameMgr" +) +*358 (Text +va (VaSet +) +xt "1500,4950,2700,6150" +st "I0" +blo "1500,5950" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1500,12550,1500,12550" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*359 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*360 (Text +va (VaSet +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*361 (Text +va (VaSet +) +xt "-100,5000,500,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*362 (Text +va (VaSet +) +xt "-350,2550,1950,3550" +st "Library" +blo "-350,3350" +tm "BdLibraryNameMgr" +) +*363 (Text +va (VaSet +) +xt "-350,3550,5150,4550" +st "SaComponent" +blo "-350,4350" +tm "CptNameMgr" +) +*364 (Text +va (VaSet +) +xt "-350,4550,250,5550" +st "I0" +blo "-350,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-7350,550,-7350,550" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-1350,0,9350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*365 (Text +va (VaSet +) +xt "-850,2550,1450,3550" +st "Library" +blo "-850,3350" +) +*366 (Text +va (VaSet +) +xt "-850,3550,5250,4550" +st "VhdlComponent" +blo "-850,4350" +) +*367 (Text +va (VaSet +) +xt "-850,4550,-250,5550" +st "I0" +blo "-850,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-7850,550,-7850,550" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-2100,0,10100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*368 (Text +va (VaSet +) +xt "-1600,2550,700,3550" +st "Library" +blo "-1600,3350" +) +*369 (Text +va (VaSet +) +xt "-1600,3550,5500,4550" +st "VerilogComponent" +blo "-1600,4350" +) +*370 (Text +va (VaSet +) +xt "-1600,4550,-1000,5550" +st "I0" +blo "-1600,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-8600,550,-8600,550" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*371 (Text +va (VaSet +) +xt "2950,3400,4150,4400" +st "eb1" +blo "2950,4200" +tm "HdlTextNameMgr" +) +*372 (Text +va (VaSet +) +xt "2950,4400,3350,5400" +st "1" +blo "2950,5200" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "Verdana,12,0" +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "Verdana,12,0" +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "Verdana,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "Verdana,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,18500,-200" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*373 (Text +va (VaSet +font "Verdana,8,1" +) +xt "11800,20000,19700,21000" +st "Frame Declarations" +blo "11800,20800" +) +*374 (MLText +va (VaSet +) +xt "11800,21000,11800,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,11000,-200" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*375 (Text +va (VaSet +font "Verdana,8,1" +) +xt "11800,20000,19700,21000" +st "Frame Declarations" +blo "11800,20800" +) +*376 (MLText +va (VaSet +) +xt "11800,21000,11800,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "-7000,98400,-500,99300" +st "Declarations" +blo "-7000,99100" +) +portLabel (Text +uid 3,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "-7000,99600,-4000,100500" +st "Ports:" +blo "-7000,100300" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "-7000,99300,-2500,100200" +st "Pre User:" +blo "-7000,100000" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "-5000,100200,53300,109800" +st "constant stepsPerTurn : positive := 500 * 4; +constant cmPerTurn : real:= 0.175; +constant position0 : positive := integer(3.5 * real(stepsPerTurn) / cmPerTurn); +constant position1 : positive := integer(8.0 * real(stepsPerTurn) / cmPerTurn); +constant position2 : positive := integer(12.0 * real(stepsPerTurn) / cmPerTurn); +constant pwmBitNb : positive := 8; +constant slopeShiftBitNb : positive := requiredBitNb(integer(real(stepsPerTurn)/cmPerTurn+0.5)) - pwmBitNb; +constant testLineNb : positive := 16;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "-7000,99600,1500,100500" +st "Diagram Signals:" +blo "-7000,100300" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "-7000,99600,-1500,100500" +st "Post User:" +blo "-7000,100300" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "-5000,114000,-5000,114000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 55,0 +usingSuid 1 +emptyRow *377 (LEmptyRow +) +uid 4442,0 +optionalChildren [ +*378 (RefLabelRowHdr +) +*379 (TitleRowHdr +) +*380 (FilterRowHdr +) +*381 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*382 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*383 (GroupColHdr +tm "GroupColHdrMgr" +) +*384 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*385 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*386 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*387 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*388 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*389 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*390 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 4359,0 +) +*391 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset_n" +t "std_ulogic" +o 8 +suid 2,0 +) +) +uid 4361,0 +) +*392 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 36 +suid 3,0 +) +) +uid 4363,0 +) +*393 (LeafLogPort +port (LogicalPort +decl (Decl +n "testMode" +t "std_uLogic" +o 12 +suid 4,0 +) +) +uid 4365,0 +) +*394 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 37 +suid 5,0 +) +) +uid 4367,0 +) +*395 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "logic1" +t "std_uLogic" +o 35 +suid 6,0 +) +) +uid 4369,0 +) +*396 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSynch_n" +t "std_ulogic" +o 38 +suid 7,0 +) +) +uid 4371,0 +) +*397 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "LED1" +t "std_uLogic" +o 18 +suid 8,0 +) +) +uid 4373,0 +) +*398 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "LED2" +t "std_ulogic" +o 19 +suid 9,0 +) +) +uid 4375,0 +) +*399 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "testOut" +t "std_uLogic_vector" +b "(1 TO testLineNb)" +o 46 +suid 10,0 +) +) +uid 4377,0 +) +*400 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "restart" +t "std_uLogic" +o 39 +suid 11,0 +) +) +uid 4379,0 +) +*401 (LeafLogPort +port (LogicalPort +decl (Decl +n "restart_n" +t "std_uLogic" +o 9 +suid 12,0 +) +) +uid 4381,0 +) +*402 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "restartSynch" +t "std_uLogic" +o 40 +suid 13,0 +) +) +uid 4383,0 +) +*403 (LeafLogPort +port (LogicalPort +decl (Decl +n "sensor1_n" +t "std_uLogic" +o 10 +suid 14,0 +) +) +uid 4385,0 +) +*404 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sensor1" +t "std_uLogic" +o 41 +suid 15,0 +) +) +uid 4387,0 +) +*405 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sensor1Synch" +t "std_uLogic" +o 42 +suid 16,0 +) +) +uid 4389,0 +) +*406 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sensor2Synch" +t "std_uLogic" +o 44 +suid 17,0 +) +) +uid 4391,0 +) +*407 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sensor2" +t "std_uLogic" +o 43 +suid 18,0 +) +) +uid 4393,0 +) +*408 (LeafLogPort +port (LogicalPort +decl (Decl +n "sensor2_n" +t "std_uLogic" +o 11 +suid 19,0 +) +) +uid 4395,0 +) +*409 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 21 +suid 20,0 +) +) +uid 4397,0 +) +*410 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "side1" +t "std_uLogic" +o 22 +suid 22,0 +) +) +uid 4401,0 +) +*411 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "side2" +t "std_uLogic" +o 23 +suid 23,0 +) +) +uid 4403,0 +) +*412 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "setPoint" +t "std_uLogic" +o 45 +suid 25,0 +) +) +uid 4407,0 +) +*413 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "go2Synch" +t "std_uLogic" +o 34 +suid 27,0 +) +) +uid 4411,0 +) +*414 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "go2" +t "std_uLogic" +o 33 +suid 28,0 +) +) +uid 4413,0 +) +*415 (LeafLogPort +port (LogicalPort +decl (Decl +n "go2_n" +t "std_uLogic" +o 7 +suid 29,0 +) +) +uid 4415,0 +) +*416 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "go1Synch" +t "std_uLogic" +o 32 +suid 30,0 +) +) +uid 4417,0 +) +*417 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "go1" +t "std_uLogic" +o 31 +suid 31,0 +) +) +uid 4419,0 +) +*418 (LeafLogPort +port (LogicalPort +decl (Decl +n "go1_n" +t "std_uLogic" +o 6 +suid 32,0 +) +) +uid 4421,0 +) +*419 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "encoderASynch" +t "std_uLogic" +o 26 +suid 33,0 +) +) +uid 4423,0 +) +*420 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "encoderBSynch" +t "std_uLogic" +o 28 +suid 34,0 +) +) +uid 4425,0 +) +*421 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "encoderB" +t "std_uLogic" +o 27 +suid 35,0 +) +) +uid 4427,0 +) +*422 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "encoderISynch" +t "std_uLogic" +o 30 +suid 36,0 +) +) +uid 4429,0 +) +*423 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "encoderI" +t "std_uLogic" +o 29 +suid 37,0 +) +) +uid 4431,0 +) +*424 (LeafLogPort +port (LogicalPort +decl (Decl +n "encoderI_n" +t "std_uLogic" +o 5 +suid 38,0 +) +) +uid 4433,0 +) +*425 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "encoderA" +t "std_uLogic" +o 25 +suid 39,0 +) +) +uid 4435,0 +) +*426 (LeafLogPort +port (LogicalPort +decl (Decl +n "encoderA_n" +t "std_uLogic" +o 3 +suid 40,0 +) +) +uid 4437,0 +) +*427 (LeafLogPort +port (LogicalPort +decl (Decl +n "encoderB_n" +t "std_uLogic" +o 4 +suid 41,0 +) +) +uid 4439,0 +) +*428 (LeafLogPort +port (LogicalPort +decl (Decl +n "button4_n" +t "std_uLogic" +o 1 +suid 42,0 +) +) +uid 4693,0 +) +*429 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "button4Synch" +t "std_uLogic" +o 24 +suid 43,0 +) +) +uid 4695,0 +) +*430 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "LCD_CS1_n" +t "std_ulogic" +o 14 +suid 49,0 +) +) +uid 5048,0 +) +*431 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "LCD_SCL" +t "std_ulogic" +o 16 +suid 50,0 +) +) +uid 5050,0 +) +*432 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "LCD_SI" +t "std_ulogic" +o 17 +suid 51,0 +) +) +uid 5052,0 +) +*433 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "LCD_A0" +t "std_ulogic" +o 13 +suid 52,0 +) +) +uid 5054,0 +) +*434 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "LCD_RST_n" +t "std_ulogic" +o 15 +suid 53,0 +) +) +uid 5056,0 +) +*435 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "LEDs" +t "std_uLogic_vector" +b "(1 TO 8)" +o 20 +suid 55,0 +) +) +uid 5718,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 4455,0 +optionalChildren [ +*436 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *437 (MRCItem +litem &377 +pos 46 +dimension 20 +) +uid 4457,0 +optionalChildren [ +*438 (MRCItem +litem &378 +pos 0 +dimension 20 +uid 4458,0 +) +*439 (MRCItem +litem &379 +pos 1 +dimension 23 +uid 4459,0 +) +*440 (MRCItem +litem &380 +pos 2 +hidden 1 +dimension 20 +uid 4460,0 +) +*441 (MRCItem +litem &390 +pos 0 +dimension 20 +uid 4360,0 +) +*442 (MRCItem +litem &391 +pos 1 +dimension 20 +uid 4362,0 +) +*443 (MRCItem +litem &392 +pos 23 +dimension 20 +uid 4364,0 +) +*444 (MRCItem +litem &393 +pos 2 +dimension 20 +uid 4366,0 +) +*445 (MRCItem +litem &394 +pos 24 +dimension 20 +uid 4368,0 +) +*446 (MRCItem +litem &395 +pos 25 +dimension 20 +uid 4370,0 +) +*447 (MRCItem +litem &396 +pos 26 +dimension 20 +uid 4372,0 +) +*448 (MRCItem +litem &397 +pos 3 +dimension 20 +uid 4374,0 +) +*449 (MRCItem +litem &398 +pos 4 +dimension 20 +uid 4376,0 +) +*450 (MRCItem +litem &399 +pos 27 +dimension 20 +uid 4378,0 +) +*451 (MRCItem +litem &400 +pos 28 +dimension 20 +uid 4380,0 +) +*452 (MRCItem +litem &401 +pos 5 +dimension 20 +uid 4382,0 +) +*453 (MRCItem +litem &402 +pos 29 +dimension 20 +uid 4384,0 +) +*454 (MRCItem +litem &403 +pos 6 +dimension 20 +uid 4386,0 +) +*455 (MRCItem +litem &404 +pos 30 +dimension 20 +uid 4388,0 +) +*456 (MRCItem +litem &405 +pos 31 +dimension 20 +uid 4390,0 +) +*457 (MRCItem +litem &406 +pos 32 +dimension 20 +uid 4392,0 +) +*458 (MRCItem +litem &407 +pos 33 +dimension 20 +uid 4394,0 +) +*459 (MRCItem +litem &408 +pos 7 +dimension 20 +uid 4396,0 +) +*460 (MRCItem +litem &409 +pos 8 +dimension 20 +uid 4398,0 +) +*461 (MRCItem +litem &410 +pos 9 +dimension 20 +uid 4402,0 +) +*462 (MRCItem +litem &411 +pos 10 +dimension 20 +uid 4404,0 +) +*463 (MRCItem +litem &412 +pos 34 +dimension 20 +uid 4408,0 +) +*464 (MRCItem +litem &413 +pos 35 +dimension 20 +uid 4412,0 +) +*465 (MRCItem +litem &414 +pos 36 +dimension 20 +uid 4414,0 +) +*466 (MRCItem +litem &415 +pos 11 +dimension 20 +uid 4416,0 +) +*467 (MRCItem +litem &416 +pos 37 +dimension 20 +uid 4418,0 +) +*468 (MRCItem +litem &417 +pos 38 +dimension 20 +uid 4420,0 +) +*469 (MRCItem +litem &418 +pos 12 +dimension 20 +uid 4422,0 +) +*470 (MRCItem +litem &419 +pos 39 +dimension 20 +uid 4424,0 +) +*471 (MRCItem +litem &420 +pos 40 +dimension 20 +uid 4426,0 +) +*472 (MRCItem +litem &421 +pos 41 +dimension 20 +uid 4428,0 +) +*473 (MRCItem +litem &422 +pos 42 +dimension 20 +uid 4430,0 +) +*474 (MRCItem +litem &423 +pos 43 +dimension 20 +uid 4432,0 +) +*475 (MRCItem +litem &424 +pos 13 +dimension 20 +uid 4434,0 +) +*476 (MRCItem +litem &425 +pos 44 +dimension 20 +uid 4436,0 +) +*477 (MRCItem +litem &426 +pos 14 +dimension 20 +uid 4438,0 +) +*478 (MRCItem +litem &427 +pos 15 +dimension 20 +uid 4440,0 +) +*479 (MRCItem +litem &428 +pos 16 +dimension 20 +uid 4694,0 +) +*480 (MRCItem +litem &429 +pos 45 +dimension 20 +uid 4696,0 +) +*481 (MRCItem +litem &430 +pos 17 +dimension 20 +uid 5049,0 +) +*482 (MRCItem +litem &431 +pos 18 +dimension 20 +uid 5051,0 +) +*483 (MRCItem +litem &432 +pos 19 +dimension 20 +uid 5053,0 +) +*484 (MRCItem +litem &433 +pos 20 +dimension 20 +uid 5055,0 +) +*485 (MRCItem +litem &434 +pos 21 +dimension 20 +uid 5057,0 +) +*486 (MRCItem +litem &435 +pos 22 +dimension 20 +uid 5719,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 4461,0 +optionalChildren [ +*487 (MRCItem +litem &381 +pos 0 +dimension 20 +uid 4462,0 +) +*488 (MRCItem +litem &383 +pos 1 +dimension 50 +uid 4463,0 +) +*489 (MRCItem +litem &384 +pos 2 +dimension 100 +uid 4464,0 +) +*490 (MRCItem +litem &385 +pos 3 +dimension 50 +uid 4465,0 +) +*491 (MRCItem +litem &386 +pos 4 +dimension 100 +uid 4466,0 +) +*492 (MRCItem +litem &387 +pos 5 +dimension 100 +uid 4467,0 +) +*493 (MRCItem +litem &388 +pos 6 +dimension 50 +uid 4468,0 +) +*494 (MRCItem +litem &389 +pos 7 +dimension 80 +uid 4469,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 4456,0 +vaOverrides [ +] +) +] +) +uid 4441,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *495 (LEmptyRow +) +uid 4471,0 +optionalChildren [ +*496 (RefLabelRowHdr +) +*497 (TitleRowHdr +) +*498 (FilterRowHdr +) +*499 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*500 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*501 (GroupColHdr +tm "GroupColHdrMgr" +) +*502 (NameColHdr +tm "GenericNameColHdrMgr" +) +*503 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*504 (InitColHdr +tm "GenericValueColHdrMgr" +) +*505 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*506 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 4483,0 +optionalChildren [ +*507 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *508 (MRCItem +litem &495 +pos 0 +dimension 20 +) +uid 4485,0 +optionalChildren [ +*509 (MRCItem +litem &496 +pos 0 +dimension 20 +uid 4486,0 +) +*510 (MRCItem +litem &497 +pos 1 +dimension 23 +uid 4487,0 +) +*511 (MRCItem +litem &498 +pos 2 +hidden 1 +dimension 20 +uid 4488,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 4489,0 +optionalChildren [ +*512 (MRCItem +litem &499 +pos 0 +dimension 20 +uid 4490,0 +) +*513 (MRCItem +litem &501 +pos 1 +dimension 50 +uid 4491,0 +) +*514 (MRCItem +litem &502 +pos 2 +dimension 100 +uid 4492,0 +) +*515 (MRCItem +litem &503 +pos 3 +dimension 100 +uid 4493,0 +) +*516 (MRCItem +litem &504 +pos 4 +dimension 50 +uid 4494,0 +) +*517 (MRCItem +litem &505 +pos 5 +dimension 50 +uid 4495,0 +) +*518 (MRCItem +litem &506 +pos 6 +dimension 80 +uid 4496,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 4484,0 +vaOverrides [ +] +) +] +) +uid 4470,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Board/hds/@f@p@g@a_cursor/symbol.sb b/Board/hds/@f@p@g@a_cursor/symbol.sb new file mode 100644 index 0000000..7d8d37f --- /dev/null +++ b/Board/hds/@f@p@g@a_cursor/symbol.sb @@ -0,0 +1,2729 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2031,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 341,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "LED1" +t "std_uLogic" +o 18 +suid 4,0 +) +) +uid 342,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "LED2" +t "std_ulogic" +o 19 +suid 5,0 +) +) +uid 343,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "restart_n" +t "std_uLogic" +o 9 +suid 6,0 +) +) +uid 344,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "sensor1_n" +t "std_uLogic" +o 10 +suid 7,0 +) +) +uid 345,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "sensor2_n" +t "std_uLogic" +o 11 +suid 8,0 +) +) +uid 346,0 +) +*7 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 21 +suid 9,0 +) +) +uid 347,0 +) +*8 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "side1" +t "std_uLogic" +o 22 +suid 11,0 +) +) +uid 349,0 +) +*9 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "side2" +t "std_uLogic" +o 23 +suid 12,0 +) +) +uid 350,0 +) +*10 (LogPort +port (LogicalPort +decl (Decl +n "encoderA_n" +t "std_uLogic" +o 3 +suid 13,0 +) +) +uid 351,0 +) +*11 (LogPort +port (LogicalPort +decl (Decl +n "encoderB_n" +t "std_uLogic" +o 4 +suid 14,0 +) +) +uid 352,0 +) +*12 (LogPort +port (LogicalPort +decl (Decl +n "encoderI_n" +t "std_uLogic" +o 5 +suid 15,0 +) +) +uid 353,0 +) +*13 (LogPort +port (LogicalPort +decl (Decl +n "go1_n" +t "std_uLogic" +o 6 +suid 16,0 +) +) +uid 354,0 +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "go2_n" +t "std_uLogic" +o 7 +suid 17,0 +) +) +uid 355,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 357,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "reset_n" +t "std_ulogic" +o 8 +suid 2,0 +) +) +uid 358,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "testMode" +t "std_uLogic" +o 12 +suid 3,0 +) +) +uid 359,0 +) +*18 (RefLabelRowHdr +) +*19 (TitleRowHdr +) +*20 (FilterRowHdr +) +*21 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*22 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*23 (GroupColHdr +tm "GroupColHdrMgr" +) +*24 (NameColHdr +tm "NameColHdrMgr" +) +*25 (ModeColHdr +tm "ModeColHdrMgr" +) +*26 (TypeColHdr +tm "TypeColHdrMgr" +) +*27 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*28 (InitColHdr +tm "InitColHdrMgr" +) +*29 (EolColHdr +tm "EolColHdrMgr" +) +*30 (LogPort +port (LogicalPort +decl (Decl +n "button4_n" +t "std_uLogic" +o 1 +suid 2019,0 +) +) +uid 434,0 +) +*31 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "LCD_CS1_n" +t "std_ulogic" +o 14 +suid 2025,0 +) +) +uid 591,0 +) +*32 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "LCD_SCL" +t "std_ulogic" +o 16 +suid 2026,0 +) +) +uid 593,0 +) +*33 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "LCD_SI" +t "std_ulogic" +o 17 +suid 2027,0 +) +) +uid 595,0 +) +*34 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "LCD_A0" +t "std_ulogic" +o 13 +suid 2028,0 +) +) +uid 597,0 +) +*35 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "LCD_RST_n" +t "std_ulogic" +o 15 +suid 2029,0 +) +) +uid 599,0 +) +*36 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "LEDs" +t "std_uLogic_vector" +b "(1 TO 8)" +o 20 +suid 2031,0 +) +) +uid 1139,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 360,0 +optionalChildren [ +*37 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *38 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 271,0 +optionalChildren [ +*39 (MRCItem +litem &18 +pos 0 +dimension 20 +uid 274,0 +) +*40 (MRCItem +litem &19 +pos 1 +dimension 23 +uid 276,0 +) +*41 (MRCItem +litem &20 +pos 2 +hidden 1 +dimension 20 +uid 278,0 +) +*42 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 297,0 +) +*43 (MRCItem +litem &3 +pos 4 +dimension 20 +uid 298,0 +) +*44 (MRCItem +litem &4 +pos 5 +dimension 20 +uid 299,0 +) +*45 (MRCItem +litem &5 +pos 6 +dimension 20 +uid 300,0 +) +*46 (MRCItem +litem &6 +pos 7 +dimension 20 +uid 301,0 +) +*47 (MRCItem +litem &7 +pos 8 +dimension 20 +uid 302,0 +) +*48 (MRCItem +litem &8 +pos 9 +dimension 20 +uid 304,0 +) +*49 (MRCItem +litem &9 +pos 10 +dimension 20 +uid 305,0 +) +*50 (MRCItem +litem &10 +pos 14 +dimension 20 +uid 306,0 +) +*51 (MRCItem +litem &11 +pos 15 +dimension 20 +uid 307,0 +) +*52 (MRCItem +litem &12 +pos 13 +dimension 20 +uid 308,0 +) +*53 (MRCItem +litem &13 +pos 12 +dimension 20 +uid 309,0 +) +*54 (MRCItem +litem &14 +pos 11 +dimension 20 +uid 310,0 +) +*55 (MRCItem +litem &15 +pos 0 +dimension 20 +uid 312,0 +) +*56 (MRCItem +litem &16 +pos 1 +dimension 20 +uid 313,0 +) +*57 (MRCItem +litem &17 +pos 2 +dimension 20 +uid 314,0 +) +*58 (MRCItem +litem &30 +pos 16 +dimension 20 +uid 433,0 +) +*59 (MRCItem +litem &31 +pos 17 +dimension 20 +uid 590,0 +) +*60 (MRCItem +litem &32 +pos 18 +dimension 20 +uid 592,0 +) +*61 (MRCItem +litem &33 +pos 19 +dimension 20 +uid 594,0 +) +*62 (MRCItem +litem &34 +pos 20 +dimension 20 +uid 596,0 +) +*63 (MRCItem +litem &35 +pos 21 +dimension 20 +uid 598,0 +) +*64 (MRCItem +litem &36 +pos 22 +dimension 20 +uid 1138,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 272,0 +optionalChildren [ +*65 (MRCItem +litem &21 +pos 0 +dimension 20 +uid 280,0 +) +*66 (MRCItem +litem &23 +pos 1 +dimension 50 +uid 284,0 +) +*67 (MRCItem +litem &24 +pos 2 +dimension 100 +uid 286,0 +) +*68 (MRCItem +litem &25 +pos 3 +dimension 50 +uid 288,0 +) +*69 (MRCItem +litem &26 +pos 4 +dimension 100 +uid 290,0 +) +*70 (MRCItem +litem &27 +pos 5 +dimension 100 +uid 292,0 +) +*71 (MRCItem +litem &28 +pos 6 +dimension 50 +uid 294,0 +) +*72 (MRCItem +litem &29 +pos 7 +dimension 80 +uid 296,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 270,0 +vaOverrides [ +] +) +] +) +uid 340,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *73 (LEmptyRow +) +uid 362,0 +optionalChildren [ +*74 (RefLabelRowHdr +) +*75 (TitleRowHdr +) +*76 (FilterRowHdr +) +*77 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*78 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*79 (GroupColHdr +tm "GroupColHdrMgr" +) +*80 (NameColHdr +tm "GenericNameColHdrMgr" +) +*81 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*82 (InitColHdr +tm "GenericValueColHdrMgr" +) +*83 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*84 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 363,0 +optionalChildren [ +*85 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *86 (MRCItem +litem &73 +pos 3 +dimension 20 +) +uid 316,0 +optionalChildren [ +*87 (MRCItem +litem &74 +pos 0 +dimension 20 +uid 319,0 +) +*88 (MRCItem +litem &75 +pos 1 +dimension 23 +uid 321,0 +) +*89 (MRCItem +litem &76 +pos 2 +hidden 1 +dimension 20 +uid 323,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 317,0 +optionalChildren [ +*90 (MRCItem +litem &77 +pos 0 +dimension 20 +uid 325,0 +) +*91 (MRCItem +litem &79 +pos 1 +dimension 50 +uid 329,0 +) +*92 (MRCItem +litem &80 +pos 2 +dimension 100 +uid 331,0 +) +*93 (MRCItem +litem &81 +pos 3 +dimension 100 +uid 333,0 +) +*94 (MRCItem +litem &82 +pos 4 +dimension 50 +uid 335,0 +) +*95 (MRCItem +litem &83 +pos 5 +dimension 50 +uid 337,0 +) +*96 (MRCItem +litem &84 +pos 6 +dimension 80 +uid 339,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 315,0 +vaOverrides [ +] +) +] +) +uid 361,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hds\\@f@p@g@a_cursor\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hds\\@f@p@g@a_cursor\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hds\\@f@p@g@a_cursor" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hds\\FPGA_cursor" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "FPGA_cursor" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "08:13:01" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\ELN_cursor\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\ELN_cursor\\Synthesis" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "FPGA_cursor" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hds\\@f@p@g@a_cursor\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hds\\FPGA_cursor\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "/usr/opt/Modelsim/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "08:13:01" +) +(vvPair +variable "unit" +value "FPGA_cursor" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*97 (SymbolBody +uid 8,0 +optionalChildren [ +*98 (CptPort +uid 54,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 55,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,27625,32000,28375" +) +tg (CPTG +uid 56,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 57,0 +va (VaSet +) +xt "33000,27500,36000,28500" +st "clock" +blo "33000,28300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 59,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10600,15400,11600" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*99 (CptPort +uid 72,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 73,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,29625,32000,30375" +) +tg (CPTG +uid 74,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 75,0 +va (VaSet +) +xt "33000,29500,37200,30500" +st "reset_n" +blo "33000,30300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 77,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16600,15800,17600" +st "reset_n : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset_n" +t "std_ulogic" +o 8 +suid 2,0 +) +) +) +*100 (CptPort +uid 144,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 145,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,25625,32000,26375" +) +tg (CPTG +uid 146,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 147,0 +va (VaSet +) +xt "33000,25500,37800,26500" +st "testMode" +blo "33000,26300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 149,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,20600,16400,21600" +st "testMode : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "testMode" +t "std_uLogic" +o 12 +suid 3,0 +) +) +) +*101 (CptPort +uid 155,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 156,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35625,5250,36375,6000" +) +tg (CPTG +uid 157,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 158,0 +va (VaSet +) +xt "34000,6000,36400,7000" +st "LED1" +blo "34000,6800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 159,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,26600,16400,27600" +st "LED1 : OUT std_uLogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "LED1" +t "std_uLogic" +o 18 +suid 4,0 +) +) +) +*102 (CptPort +uid 160,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 161,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39625,5250,40375,6000" +) +tg (CPTG +uid 162,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 163,0 +va (VaSet +) +xt "39000,6000,41400,7000" +st "LED2" +blo "39000,6800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 164,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,27600,16200,28600" +st "LED2 : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "LED2" +t "std_ulogic" +o 19 +suid 5,0 +) +) +) +*103 (CptPort +uid 165,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 166,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,9625,32000,10375" +) +tg (CPTG +uid 167,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 168,0 +va (VaSet +) +xt "33000,9400,38400,10400" +st "restart_n" +blo "33000,10200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 169,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,17600,16000,18600" +st "restart_n : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "restart_n" +t "std_uLogic" +o 9 +suid 6,0 +) +) +) +*104 (CptPort +uid 190,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 191,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,17625,48750,18375" +) +tg (CPTG +uid 192,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 193,0 +va (VaSet +) +xt "41600,17400,47000,18400" +st "sensor1_n" +ju 2 +blo "47000,18200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 194,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,18600,16500,19600" +st "sensor1_n : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "sensor1_n" +t "std_uLogic" +o 10 +suid 7,0 +) +) +) +*105 (CptPort +uid 195,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 196,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,19625,48750,20375" +) +tg (CPTG +uid 197,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 198,0 +va (VaSet +) +xt "41600,19400,47000,20400" +st "sensor2_n" +ju 2 +blo "47000,20200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 199,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,19600,16500,20600" +st "sensor2_n : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "sensor2_n" +t "std_uLogic" +o 11 +suid 8,0 +) +) +) +*106 (CptPort +uid 215,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 216,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,9625,48750,10375" +) +tg (CPTG +uid 217,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 218,0 +va (VaSet +) +xt "42800,9400,47000,10400" +st "motorOn" +ju 2 +blo "47000,10200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 219,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,29600,17000,30600" +st "motorOn : OUT std_uLogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 21 +suid 9,0 +) +) +) +*107 (CptPort +uid 230,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 231,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,11625,48750,12375" +) +tg (CPTG +uid 232,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 233,0 +va (VaSet +) +xt "44000,11400,47000,12400" +st "side1" +ju 2 +blo "47000,12200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 234,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,30600,16200,31600" +st "side1 : OUT std_uLogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "side1" +t "std_uLogic" +o 22 +suid 11,0 +) +) +) +*108 (CptPort +uid 235,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 236,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,13625,48750,14375" +) +tg (CPTG +uid 237,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 238,0 +va (VaSet +) +xt "44000,13400,47000,14400" +st "side2" +ju 2 +blo "47000,14200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 239,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,31600,15500,32600" +st "side2 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "side2" +t "std_uLogic" +o 23 +suid 12,0 +) +) +) +*109 (CptPort +uid 240,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 241,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,23625,48750,24375" +) +tg (CPTG +uid 242,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 243,0 +va (VaSet +) +xt "40400,23400,47000,24400" +st "encoderA_n" +ju 2 +blo "47000,24200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 244,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11600,16800,12600" +st "encoderA_n : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "encoderA_n" +t "std_uLogic" +o 3 +suid 13,0 +) +) +) +*110 (CptPort +uid 245,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 246,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,25625,48750,26375" +) +tg (CPTG +uid 247,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 248,0 +va (VaSet +) +xt "40400,25400,47000,26400" +st "encoderB_n" +ju 2 +blo "47000,26200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 249,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,16800,13600" +st "encoderB_n : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "encoderB_n" +t "std_uLogic" +o 4 +suid 14,0 +) +) +) +*111 (CptPort +uid 250,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 251,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,27625,48750,28375" +) +tg (CPTG +uid 252,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 253,0 +va (VaSet +) +xt "40400,27400,47000,28400" +st "encoderI_n" +ju 2 +blo "47000,28200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 254,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13600,16600,14600" +st "encoderI_n : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "encoderI_n" +t "std_uLogic" +o 5 +suid 15,0 +) +) +) +*112 (CptPort +uid 255,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 256,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,11625,32000,12375" +) +tg (CPTG +uid 257,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 258,0 +va (VaSet +) +xt "33000,11400,36000,12400" +st "go1_n" +blo "33000,12200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 259,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14600,16100,15600" +st "go1_n : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "go1_n" +t "std_uLogic" +o 6 +suid 16,0 +) +) +) +*113 (CptPort +uid 260,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 261,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,13625,32000,14375" +) +tg (CPTG +uid 262,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 263,0 +va (VaSet +) +xt "33000,13400,36000,14400" +st "go2_n" +blo "33000,14200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 264,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15600,16100,16600" +st "go2_n : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "go2_n" +t "std_uLogic" +o 7 +suid 17,0 +) +) +) +*114 (CptPort +uid 435,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 436,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,30625,32000,31375" +) +tg (CPTG +uid 437,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 438,0 +va (VaSet +) +xt "33000,30400,38400,31400" +st "button4_n" +blo "33000,31200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 439,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9600,16500,10600" +st "button4_n : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "button4_n" +t "std_uLogic" +o 1 +suid 2019,0 +) +) +) +*115 (CptPort +uid 600,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 601,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,28625,48750,29375" +) +tg (CPTG +uid 602,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 603,0 +va (VaSet +) +xt "41600,28400,47000,29400" +st "LCD_CS1_n" +ju 2 +blo "47000,29200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 604,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,22600,17400,23600" +st "LCD_CS1_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "LCD_CS1_n" +t "std_ulogic" +o 14 +suid 2025,0 +) +) +) +*116 (CptPort +uid 605,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 606,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,29625,48750,30375" +) +tg (CPTG +uid 607,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 608,0 +va (VaSet +) +xt "42800,29400,47000,30400" +st "LCD_SCL" +ju 2 +blo "47000,30200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 609,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,24600,16900,25600" +st "LCD_SCL : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "LCD_SCL" +t "std_ulogic" +o 16 +suid 2026,0 +) +) +) +*117 (CptPort +uid 610,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 611,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,30625,48750,31375" +) +tg (CPTG +uid 612,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 613,0 +va (VaSet +) +xt "43400,30400,47000,31400" +st "LCD_SI" +ju 2 +blo "47000,31200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 614,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,25600,16500,26600" +st "LCD_SI : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "LCD_SI" +t "std_ulogic" +o 17 +suid 2027,0 +) +) +) +*118 (CptPort +uid 615,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 616,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,31625,48750,32375" +) +tg (CPTG +uid 617,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 618,0 +va (VaSet +) +xt "43400,31400,47000,32400" +st "LCD_A0" +ju 2 +blo "47000,32200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 619,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,21600,16700,22600" +st "LCD_A0 : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "LCD_A0" +t "std_ulogic" +o 13 +suid 2028,0 +) +) +) +*119 (CptPort +uid 620,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 621,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,32625,48750,33375" +) +tg (CPTG +uid 622,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 623,0 +va (VaSet +) +xt "41600,32400,47000,33400" +st "LCD_RST_n" +ju 2 +blo "47000,33200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 624,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,23600,17400,24600" +st "LCD_RST_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "LCD_RST_n" +t "std_ulogic" +o 15 +suid 2029,0 +) +) +) +*120 (CptPort +uid 1140,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1141,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,33625,48750,34375" +) +tg (CPTG +uid 1142,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1143,0 +va (VaSet +) +xt "44600,33400,47000,34400" +st "LEDs" +ju 2 +blo "47000,34200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1144,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,28600,23300,29600" +st "LEDs : OUT std_uLogic_vector (1 TO 8) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "LEDs" +t "std_uLogic_vector" +b "(1 TO 8)" +o 20 +suid 2031,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,6000,48000,35000" +) +oxt "15000,6000,20000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "31950,31900,35450,33100" +st "Board" +blo "31950,32900" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "31950,33100,39550,34300" +st "FPGA_cursor" +blo "31950,34100" +) +) +gi *121 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "35000,26600,44700,27600" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*122 (Grouping +uid 16,0 +optionalChildren [ +*123 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41400,69600,42600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*124 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 23,0 +va (VaSet +fg "32768,0,0" +font "Verdana,12,1" +) +xt "28150,41300,41850,42700" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*125 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47400,43400,48600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*126 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41400,52900,42600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*127 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43400,42400,44600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*128 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43400,25600,44600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*129 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 38,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45400,25600,46600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*130 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,62300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*131 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45400,43300,46600" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*132 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47400,26500,48600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *133 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*134 (Text +uid 52,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*135 (MLText +uid 53,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "101,55,929,731" +viewArea "-3247,-1126,76624,50300" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,20000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "15950,14900,20750,16100" +st "" +blo "15950,15900" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "15950,16100,19250,17300" +st "" +blo "15950,17100" +) +) +gi *136 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *137 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,6500,8700" +st "Declarations" +blo "0,8500" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8700,3000,9600" +st "Ports:" +blo "0,9400" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,32600,3000,33600" +st "User:" +blo "0,33400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,7800,7500,8700" +st "Internal User:" +blo "0,8500" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,33600,2000,33600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,7800,0,7800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 1305,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/Board/hds/_fpga_cursor._epf b/Board/hds/_fpga_cursor._epf new file mode 100644 index 0000000..59f500d --- /dev/null +++ b/Board/hds/_fpga_cursor._epf @@ -0,0 +1,3 @@ +TOP_MARKER atom 1 +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom @f@p@g@a_cursor/struct.bd diff --git a/Board/ise/eln_cursor.xise b/Board/ise/eln_cursor.xise new file mode 100644 index 0000000..7117083 --- /dev/null +++ b/Board/ise/eln_cursor.xise @@ -0,0 +1,460 @@ + + + +
+ + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
diff --git a/Board/ise/fpga_cursor-buttonsV1.bit b/Board/ise/fpga_cursor-buttonsV1.bit new file mode 100644 index 0000000..cadde47 Binary files /dev/null and b/Board/ise/fpga_cursor-buttonsV1.bit differ diff --git a/Board/ise/fpga_cursor-buttonsV1.mcs b/Board/ise/fpga_cursor-buttonsV1.mcs new file mode 100644 index 0000000..66d4287 --- /dev/null +++ b/Board/ise/fpga_cursor-buttonsV1.mcs @@ -0,0 +1,17742 @@ +:020000040000FA +:10000000FFFFFFFF5599AA660C000180000000E089 +:100010000C800680000000060C80048000008CA785 +:100020000C800380804304C90C00038000000000A2 +:100030000C000180000000900C0004800000000013 +:100040000C000180000000800C0002000A8028598A +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000020000000000000000000000000000DD +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000002000000000000000000005C +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:100350000000000000000000000000040000000099 +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000A00A000000000000000000000000000062 +:1004F00000000000000000000000000000000000FC +:10050000000000000000000008802100280000001A +:1005100000000000000000000000000000000000DB +:10052000000000000000000000000000803080811A +:100530000000000000000048001806000000000451 +:100540000000600200000000000000000000000049 +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:100690000200000000000000000000000000000058 +:1006A000000000000000000000000000000000004A +:1006B000000000080000000000000008000000002A +:1006C0000000000800000000000000000000000022 +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D00000000000000000000000000000005401C4 +:1007E00018000000000000000000000000000000F1 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:100840000000000000000000000000800800000020 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C00000000000000000000000000000000080A7 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000100000000000000000000000D8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000500000000000000016 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000010000DE +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:102350000000000000000000000004000000000079 +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000100100000000000000000B +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:102630000000000000000000000000010000000099 +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000A0020000CC +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000008000000000000E5 +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:1038600000000000008800000000000000000000D0 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000400000000000000000000000000000B8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A4000000000000000000000000000000E000068 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000010000000000000E5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF0000000000000000000006000000000000061 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000001000000000000000004B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000080000000000E2 +:1056C00000000000000000000000003000000000AA +:1056D00000000000000000000000000000200000AA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000005000000000000000000000000000084 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000010067 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:1058600000800000000000000000000000000000B8 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000001000000025 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF0002000000000000000000000000000000084 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000001000000000000000 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:10738000006000000000000000000000000000009D +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000040000000000000000000003B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000040000000000000000000000000000E6 +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000010000068 +:107810000000000000010000000000000000000067 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000C0000000000000000000000000000027 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000100000000000000000000013 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC000000000000000000000280000000000007A +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000002000000000000000000000000000006F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:109350000000000000C0000000000000000C000041 +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:10938000008000000000000000000000000000005D +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000400000000000004C +:1094E0000001000000000000000000000008000073 +:1094F000000000000000000000000000000000006C +:10950000000000000020000000000000000000003B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000040000000000000000000000000000E6 +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000001001000000000000000068 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000005000000000000100000000A6 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000002000000000000056 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000010000000000000000000000000000053 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000002000000000000000000000C1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000001000000000000050 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A27000000000000000000000000000004000009E +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000010000000000004B +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A58000000000000000000000000000C00000000B +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A7000000000000000000000080000000000000C9 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB9000000000000028000000000000000000008D +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000010000000000000000000000000084 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000800000000000000000000C8 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000040000000000000000000005F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B3200000000000000000000040000000000000DD +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000080000C5 +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B0000000008008000000000000000000000004 +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000008000000000000000008A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E00068000000000000000000000000000000E2 +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E000000000000000000000000009000000003E +:10C9F0000000000000000000000000001000000027 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB80008000000000000000000000000000000025 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D170000000000000000000000080080000000027 +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A0000000FEFFDFDF0000000000000000FFFFC6 +:10D1B000FFFFFFFFFFFFFFFFFFFF00000000000079 +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F00000000000000000000000000000008000AE +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000C00000000000000F1 +:10D33000000080040C0080040C0080040C0000003D +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000084800000000000000000000000000004C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B00000000000000000000000000000008004E8 +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D6000000000000004000000000000000000000DA +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000007F7FFFFF00000000000000000000EE +:10D640000000FFFFFFFF00000000000000000000DE +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B00000000000000000000000000000008000E9 +:10D7C000000800000001C020C0CF000000000000E1 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D930000000000000000000000000000000800067 +:10D94000000010010000C02080001001000800004D +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000300030000C30000C71300C300F0 +:10DAD000C80000000000000000000000000000007E +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000080008000018000004A8 +:10DC500000001800000000000000000000000000AC +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000400800501080020100000000000028 +:10DDD0000000000000101C00000000000000000017 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF40000000000000000007000C2900A0000000F5 +:10DF5000000C28000000000000800C000000000001 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000002030 +:10E0D0000100000000000000000000000000180027 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E22000000000000060001000000000000000007E +:10E2300000000000000000000000000000000000DE +:10E240000000000000000000000000000000005876 +:10E2500000100020E00000000000000000000000AE +:10E26000008000000000000000000000000000002E +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A0000000000000000000000000070000000066 +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D00000000000005200000002000000000000E9 +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000480000A3 +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000001220000000001A7 +:10E550000010010100010010000000900000000008 +:10E56000001000000000000000000000000000009B +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D00000000000002000000000002000000020DA +:10E6E00000500000002010000000000000000000AA +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E830000000000000000000007000000000000068 +:10E8400000000000000000000000000000000000C8 +:10E85000000C08000000000C0A00080C000C00006E +:10E8600000000630000000000000800000000000F2 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000010000000000000000000000000000037 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000400000002000000200001 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000080000CD +:10EB40000000000000800000000000000000000045 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000001000000010000000000A3 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000300000000000000000000035 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000048000000000000800300000000000079 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000004000020 +:10ECF0000000000000001000000000000000000004 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000020000000000000C3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE60000000FFFF77EE000000000000000000003F +:10EE7000000000000000FF337300FFFF00F03BDEE6 +:10EE8000F0FC000000000000000000000000000096 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE00000000000000000000C0000000000000015 +:10EFF0000000000000000000000000000C00000005 +:10F000000C0000000C0000000000000000000000E8 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000008000000B7 +:10F1500000000000000000000000000000000000AF +:10F16000000000000000D8A432A8DAA432B8DAA463 +:10F1700032B8DAA432B8DAA432B8DAA432B8DAA4EF +:10F1800032B8DAA432B8DAA432B0000000000000CD +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E00000000000000000000000BB77DD77BB7766 +:10F2F000BB77BB77BB77BB77BB77BB77DD77BB775C +:10F30000DD777D7D7B7B7B7B7B7BBB7777BB000069 +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F460000000000000000000000000000000040098 +:10F4700004030000440141000403000000003200C6 +:10F4800004030000200045000403000040000200C7 +:10F49000040200000C00000000000000000000005A +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F00000004900800000008000470080000000FB +:10F6000028005100800000004000490080000000F8 +:10F6100080001100000000008000000000000000D9 +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F7700000000000000000C000C0C101C901030377 +:10F780000393C100C82300E3C7C9000000CBC4DB5A +:10F79000A0814323A100C400C342C000C4000000F4 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E00000000000000020000000000000000000F8 +:10F8F0000000000000000000000000200020C00107 +:10F90000200140010402C00000000020400100006E +:10F9100000010000080541002008001084C080009C +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA700000000000000000000000000000002EE078 +:10FA80000130000000000EC00110000000002EE058 +:10FA900001000000000000000000008449001F0D6C +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000E80C3001C0000001E8003001C00CA +:10FC100000000E80030000000000000700389C4C2C +:10FC200052010E0000001CE0020000000000000075 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD8000000000000000A480081110004020910035 +:10FD90000530100000000580083000000000201031 +:10FDA0002A18101000010802002C1010000000009A +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF00000000000000000000000000000A808000E7 +:10FF1000C000002008008000C00001C003003C08B1 +:10FF2000E0015005208040C6EB000008000080C0C2 +:10FF300001000000000000000000000000000000C0 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040001F9 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000F003A000000000005F0020240DE +:1000A0000000800EE00202000000002AC04220058D +:1000B000002800C70300000000000000000000004E +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000080000F7 +:1002000000000000000000000000000000200000CE +:100210000000040000000044004000100020000026 +:1002200000401C020840800000480400000000005C +:1002300000000208000200000400000000000000AE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000C000000000000000000000000000061 +:10039000000000000000000000000000000000104D +:1003A00000000000000018000800000000000040ED +:1003B0009000000000004004000000000000000069 +:1003C000000000000000000000000000000000002D +:1003D000009000000000000000000000000000008D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000040000000000000000000000000AB +:1005100000000000001000000000000000000004C7 +:100520000020000000000000001000040010300057 +:100530000020000000000000000004000004000093 +:10054000040000000A00000000000000000000009D +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000001000005A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000200000000000000000001A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:100810000080000000000000000000000000000058 +:1008200000000000000000000000000000000000C8 +:100830000000000000000000000080000000000038 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000030000000000000000000000000000048 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000080810000000000000000000056 +:1009A0000000000000000000000000000000000047 +:1009B000000000000000000000000008000000200F +:1009C0000000000800000000000800000000000017 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000002000000000000000000000D7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000FFFFFFFFFFFFDB +:100B2000FFFF0000000000000000FFF7FFBFFFFF15 +:100B3000DFFF00000000FEFFFF77F531EEFF000051 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C900000000000000000000000000000008004D0 +:100CA0000C0080040C0000000000000000000000A8 +:100CB0000C0000000C000000000000000C0090047C +:100CC0000C00000000000000000000000000000018 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000800000000000000CA +:100E2000000000000000800400000000000000003E +:100E30000000008060A94F8060B9078060B1002089 +:100E40001200000000000000000000000000000090 +:100E5000000000000000800400000000000000000E +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA00000000000000000000000FFFFFFFF000045 +:100FB000000000000000FDFFF0FFFEFFFEFFFEFF4F +:100FC000FEFFFF135F4C0000000000000000000067 +:100FD00000000000000000000000FFFFFFFF000015 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:101120000000000000000000000000000001C020DE +:1011300000A300000000000000000000000000000C +:10114000000000000001000000000000000040203E +:10115000001000000000000000000000000100007E +:1011600090000000000000000000000000000000EF +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A0000000000000000000000000000000C0205E +:1012B0008010110108100000000000000000000074 +:1012C0000000000000004000800000000800002036 +:1012D00000000000000000000000000000004000CE +:1012E000800001000000000000000000000000007D +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:101430000000000000000313E000000000000000B6 +:101440000000000000000005004300000100200033 +:10145000000100C000C00000E0000000000000002B +:101460000000001300C800000000000000000000A1 +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B0000000000000000000000008000000000023 +:1015C0000000000000000000000000000082000099 +:1015D0004000000140010004000400000000000081 +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:101730000000000000000000000000000000300079 +:10174000000000000000000000004348042C143892 +:1017500021103C1080003408F070400000000000B0 +:101760000200000000000000000000000000000077 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C000002C280000000000000000000000068737 +:1018D000E01036E3F3800E800801800140480007E5 +:1018E00000000040000000000000000000000000B8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A5000000084880C0A2054200000000A2000079F +:101A6000181C0004000000A000000000000000009E +:101A70000000000000200000000000000000000046 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD00000000000000000C861301CC41908288003 +:101BE00011000004E040001000100030010000006F +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D4000001000000000000000000000004800003B +:101D500000000000000000000000004300800000C0 +:101D60004000104020280008A072000700020080F8 +:101D70000200000000000000000000000038000029 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC000000000000028000000008000000000006A +:101ED0000000000000000000000000000000000002 +:101EE00000400000000000000050001000000A0444 +:101EF0002002001004320000001000000004000066 +:101F000000000000000000020000000000000000CF +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:10206000000000000000000000000006000000006A +:10207000B00D0870003D000D00007C00090000104C +:102080000C10A000000000007000000070000000B4 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C00000000000000000000000000000200000EF +:1021D00000003000000000000000000000000000CF +:1021E00000000000000000000020000000000000CF +:1021F000000000000050040020FC000E040C010050 +:102200000000008001800000000E000000000008B7 +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:1023500000C000000000000000108000000000002D +:102360000000000000000020080000000000000045 +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D000000000000040000000000000008000003C +:1024E00000000000000000000000000001000000EB +:1024F000000000000000000000800000000000005C +:10250000000000008000000000000000000000004B +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:1026600000800000000000000000000000000010DA +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:102800000000000000001100FEFF0100FEFF0000BC +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000C0000003B +:102990000C0000000000000000000000000000002B +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000080000000000000000000000DE +:102AF00000000000000000000000000000000000D6 +:102B00000000000000000000000000000000902411 +:102B100012000000000000000000000000000000A3 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C900000003F2A3F2AF3F30B0000000000000071 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000402000004000000A8 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000001000000000030 +:102FA0002000000000000000000000000000000001 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:10311000000000000000000000000000000000C5EA +:1031200000C00300030000000000000000000000D9 +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000200000000000000000000000000003C +:10329000000000000000000000000000000000002E +:1032A00000000020040240000400000000000000B4 +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:103420000000000000009E18003F8C87F261000041 +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A00000000000000000000000000220082A4186 +:1035B000243C0000000000000000000000000000AB +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000384021 +:1037300040040080000000000000000000000000C5 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B000000006088303904C0A6800000000000026 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000100000A6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A3000000000000000089000005204A4140000E0 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB00000000000000000000000000208000400F7 +:103BC00008040020000008040008000200000004AF +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D10000000000000600000000D00000000000036 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D4000086004000800000000000C00000C0000E7 +:103D5000000000100A000000000000000000000049 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E900000000000000000000040000000000000E2 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000004000005000004000000000000E5 +:103ED0000000000C0000008C00000000000000004A +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:10402000000200000010000000000000000000007E +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:10405000000004000000000000000000000000005C +:104060000000000000000000000000000000000050 +:104070000000000020000000000000000000000020 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000001000000004000000800000000000007B +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F000000000000000000040000000000000007F +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:104320000000000000C0000000000000004000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:104350000000000000000000000008000000000055 +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D0000000153FFF0F153FF3510100F0FF0100F1 +:1044E000FEFFF3513700FF5FFD00000000000000F9 +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:1046500000000000000048001E2090241E00000002 +:104660000C0000000C0090241E0000201E00000022 +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00008000000000000000000000000000000F1 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000D8A432A8DAA405 +:1047E00032B8DAA432B8DAA432B8DAA432B8DAA429 +:1047F00032B00000000000000000000000000000D7 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000DD7703 +:10496000BB77BB77BB777B7B7D7D7B7B7D7D7B7BDB +:104970007B7B7D7DB7B700000000000000000000D9 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB000000000000000000000880000000000006E +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE000000049000403C020CCCF450004030000AF +:104AF000AC0110000403C0208C8300000000000003 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C300000000000000000000000000000900000E4 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C6000000000000000E1208000000180084900F1 +:104C7000800000004000D12080000001286000007A +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000004000000000000000A3 +:104DD00000000000000000000000000000000000D3 +:104DE0000000000000000000000000C013E357C0F6 +:104DF00083220093C1C1C200A105002203EAC400BE +:104E0000800300000000000000000000000000001F +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000100000060 +:104F50000000000000000000000000000000000051 +:104F6000000000000000000000000000000000023F +:104F70000010008288400002A02182102000024020 +:104F8000210002008001000000000000000000007D +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000002EE8F1801C000000000F5400002783 +:10510000D412000068E880D82101000000000000EF +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:1052400000000000000000000000000000800000DE +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:105270000000000000000E80C33000000514000094 +:10528000E0001C06C110400400101C212180000019 +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000848010140B007A +:10540000022120024010101000280021820110000B +:10541000828000000000000000000000000000008A +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:105550000000000000C0000000000000000000008B +:10556000000000000000000000000000000000003B +:105570000000000000000000000000000000820D9C +:105580007200142F3160016880088E00E3782304D4 +:1055900020001EC8E46800000000000000000000B9 +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:1057000000000155160C3020D2E0024408AD11070C +:10571000D216022B7001CC00D21100000000000054 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000008000000000000000000000030 +:105870000000000000000000000000000000000028 +:105880000000000000000004040480408C0C12247E +:105890001421000004080002000000000040000085 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A0000000000000000000000000C060C00000078 +:105A10000C040000200000000000500600909000E0 +:105A20000000000050000000000000000000000026 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000010014 +:105B90000D003000100C0400042C00000400000470 +:105BA00000000000002A00000000000000000000CB +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000020000000000063 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E900000000000000000000000000000000400FE +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000001000000000000000000000C2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF000000000420100000000000000000000005E +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:10602000000014000000000000000000000000005C +:106030000000000000000000000000000000000060 +:106040000000000000000000002000000000000030 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:106190000000000000000000000000000000FEFF02 +:1061A000FF7F5F4C07778800F08009903300FFFF86 +:1061B000CFFF000000000000000000000000000011 +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000C0090241E0000201E00000051 +:106330000C0000000C000000000000000000000045 +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:106470000000000000000000000000000800000014 +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A0000000000000004800002000201200000052 +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:1066200000000000000000000000FBFFFF7FFF559E +:10663000777000000000FFFFFF1100000000000065 +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000018000C00500000000402000400000F3 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000C000800000010020002000000000D6 +:106940000040000000000000000000000000000007 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000080000080C00100C3000052 +:106AC00044800000440500000000000000000000B9 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C3000000000000000000000008040000212413F +:106C40008018000000040020000000000000000088 +:106C50000010000000000000000000000000000024 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB0000000000000000000000000000000519DE5 +:106DC000E0002C9EF2688024280000400A60000049 +:106DD0000000008001000000000000000000000032 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000008563309CE7024000830100108050 +:106F500001C400000000000002000000000000006A +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C0000000000000000000311C301000400001F2 +:1070D00000000600000000000000000000000000AA +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000002D1C00801DC692 +:10725000E906002802020000000C400200000010B5 +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000012AB +:1073D000781088D6A338000060000CC0D9100000D7 +:1073E000000000800100000000000000000000001C +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:1075500000000001240848028444020004000400E2 +:1075600000280000081400000000000000000000D7 +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D000000000000000000008080002060006701C +:1076E0000000020000000650B8000D000D0E000062 +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:1078500000000000000000000000000821002000DF +:10786000103804000000040000000000000C0600B6 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E000000402000004000002000000000000008B +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000400000011 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000300000000000000000000000000000B5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB0000000000000000000000000000000810142 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000C00000008000080 +:107CF000000000000000000000080000000000007C +:107D00000000000000000000000000000000000073 +:107D10000000000000200000000000000000000043 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000001000100FFFF12 +:107E7000FFFF000000000000000000000000000004 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000C0000040C000000000000000000000065 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:108140000000000000000000080000000000000027 +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F00000000000000000000000FFFF030000007D +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:108470000000000000000000000000090000800073 +:1084800000060000000000000000000000000000E6 +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F00000000000000000000000000000008000FB +:108600000000000100040000000000000000000065 +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:10878000000000000000C000000000000000000029 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000010000400000053 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000401D002C00005D +:108A900028000000000000000000000000000000AE +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C000000000000000000000000000000000087DD +:108C100003000080010000000000000000000000D0 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000002808000000000000000D1 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000028001400000100000014 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000B00780EC07F +:1090A0000B000000000000000000000000000000B5 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000002000000000000000000000003E +:10921000000000000000000000000000000000004E +:1092200044000004000004440000000004000000AA +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A0000000000000000000090901000900700031 +:1093B0000008000E50007000000050000000000087 +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000200000003B +:10951000000000000000000000000000000000004B +:1095200000000000000000003400000400000C18DF +:109530000E00000008010000000000000000000014 +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000400B6 +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000010000000000000000000000000027 +:109840000000000000000000000000000000000018 +:1098500000000000001000000000000000000000F8 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:109980000000000000000000000000C00000000017 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B000000000000008000000000000000000009F +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000002000000000000067 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000FFFF93 +:109FD00041FF000000000000000000000000000041 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E0000400000000000000000000000000000067 +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000020000400000000000000000000000A7 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E000000000200308000000010000000000003C +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA6000000000000000000008000000400000009E +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000300000062 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000010000003 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000200000000000000000000000B3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE00000000000000000000000000040800000A2 +:10AEF0000004000C04020400040000000000000430 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B0400000000000006000000000000000000000A0 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000001000000040000000100000000CA +:10B0800000000D00000000000000000000000000B3 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000400000000000003F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F0000000000030300000000800040C0C0C00BF +:10B200000C0000000000000C000000000000000026 +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000001000000000000000000000000000005C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B650000000000000C0000000000000000000002A +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D00000000000000000000000800800000000E1 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000021FF21FFCDCE5D +:10B8100009FF000000000000000000000000000020 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B950000000000000000000000000000000800067 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B990000C0000000C00000000000000000000008F +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000848000000000000000000000000000006 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000900400000000000000000000000091 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC60000000000000400000000000000000000094 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC9000000000000000FEFF030001005100000052 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE1000000000000000000000000100040200001B +:10BE20002C000000000000000000000000000000E6 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF90000000000000000000000000000000090098 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C120000000080000C50A00000000000000000038 +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000A04020200300200004A +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C420000000000000000000000000C863B030C63B +:10C430002B120000000000000000000000000000BF +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A0000000000000000000000000000000720316 +:10C5B00060B240C6C1F000000000000000000000B2 +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000200000000000000000000000027 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C73000000020203C00000820090000000000004C +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C880000000000000600000000000000000000048 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000001C14EA9600D0CD700000BB +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000020000000024 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA3000000000000000000000000C40703034A036 +:10CA4000E3F1000000000000000000000000000012 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000001A4 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000010008000008004000061 +:10CBC000004202000002000400000000000000001B +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000003000000000C3 +:10CD400000000000000000000000000000900070E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE9000000000000000000C000000000000000086 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC00000107000300C000000000400000000049E +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000200000000000C0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C00000000000000000000000000000008000DF +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000010000000000000000000001F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000010000000000000000000000FC +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D37000000000000000000000200000000000008D +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D00000000000000009FF0A0FEDCCFA00000078 +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D650000000000000000000000000000C000000BE +:10D660000C000000000000000000000000000000AE +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D960000000F1F2070000000000000000000000CD +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000001023 +:10DDF0000003000018000000000000000000000008 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000010008020000000000000000000F1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000001C0BE0000026088000006B +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000002008000140062F +:10E28000003000000000000000000000000000005E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000080009D +:10E40000000060410000000000000000000000006B +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E580000000201880811C000178000000000000BD +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000C03D03028840B900000B3 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000008000000B0 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000004000000000402020004040074 +:10E890000004040004000400000000000000000068 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E000080000000000000000000000000000001F +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA1000000000B0000000000000000050000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB60000000000000000A0000000000000000009B +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000005035 +:10EB90000008000000040C0000040C000400040045 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE000000000000000000100000000000800001B +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE6000000000000000000000000008000000009A +:10EE70000002000000000000000000000000000090 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000080000000000E8 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A0000000000000004A8020B8488000B84A8070 +:10F4B00020B84A8020B000000000000000000000DA +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F62000000000000000FEFFFEFF78787878F10E01 +:10F63000E10F8877787878788877000000000000FC +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB00000000300090084000000000000000000B6 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000002000400000000000000BE +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB000000000000000000000002E0000049C165F +:10FDC00020002C8000B0000AD000000000000020BD +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000E00B3 +:10FF400000003E06A802020008A8C0030000080046 +:10FF500000000C060000000000000000000000008F +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040002F8 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000040000000000000085000280000025 +:1000D00014000300002002000000000000000000E7 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:100240000000000000000D200A001004400308A078 +:1002500001000204E0001200000082000000000023 +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C00000000000000000000000220000000129E1 +:1003D000000008C001000825E00006000028080F02 +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000004040000000004000000BF +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:100550000000000000040000000000000000000097 +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D0000000000000000000000001000000000019 +:1006E000000070005000000000000000000000004A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000008C0 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000008000090 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB00000000000000000000000000000008200B2 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000EEFFFEFE0000B9 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000C00000000000000000000000000000005 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A600000000000000000008000140000E0000002 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE0000000000000000000000000008000000075 +:101BF00000080000000000000000000000000000DD +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70001022080000000000000000000000000029 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF0000000000060000000007000000000000012 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:1020700000000000000000000030000000800000B0 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F000000000400000008000000000000000001F +:1022000000000000001000000000000000000010AE +:1022100000000000001000000000000000000800A6 +:102220000000000800000800000000080000000096 +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000C000000000051 +:1023800000004000000000000010000000000000FD +:1023900000000030000000000030000000000000DD +:1023A0000000000D00710004010000B000000004F6 +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F000000000000000000000000030000001307B +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000100000000C7 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C00000000800000000000000000000000000FF +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B0000000000000000000000008008000000003D +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C800000000000000000000000000000008008BC +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E10000848000000000000000000000000000062 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000D8A416 +:102E400072A9DFA472B9DFA472B9DFA472B0000066 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F900000000000004000000000000000000000F1 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000FF005555FF000F0FFF0055555555E8 +:102FD00000FFFFFFFFFF00000000000000000000F6 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:103140000000500000010000000010000403000017 +:1031500040000100040200000C000000000000001C +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000040008000000040005100AD +:1032D000800000008000110000000000800000005D +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:103440000000000000000000000000C80000C801EB +:10345000800000C803C8C400800000C000C0000095 +:10346000C00000000000000000000000000000009C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D000000000018000000040000000800000208A +:1035E00000208000800000000000000000000000BB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000018000000000E43 +:103760000000000000801C000000000000000000BD +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000100000065 +:103A6000000000000100000200001000000008003B +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000608000000000000000000025 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000006000000000000000000000000BF +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000030000000080 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000D00000000000000083 +:103D7000D000000000000020000000000000000053 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00004000000000004000000000000000000EA +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000080000000000000008000000B2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:10406000000000000000000000000000000100024D +:10407000000000060000000000000000000000003A +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000C00000000000C00D7 +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000001000000000000000000000000000004D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D000000000000080000000000000000000005C +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000030000000000000000000004B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:1046500000000000000000008003000000000000D7 +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000020000000000000DA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D300000000000000000000000000000000C0057 +:105D400000000000000090000000000000000000C3 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000100000000000000000000000000D1 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000400000000000000000000000000000014 +:107870000000000000000000000000000000080000 +:1078800000000000000000000000000000000800F0 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A000000000C000000000000000000000000006A +:107A100000000C000000000000000000000000005A +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B600000000000000000000C0000000000000009 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000400000000004B +:10B20000000000000000000000000000000000003E +:10B21000000000000000020000000000000000002C +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B39000000000000000000000000E00000000009F +:10B3A000000000000000000000000C000000000091 +:10B3B0000000A000000000000000000000000000ED +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F0000000000000000006000000000000000046 +:10B5000000000C000000000000000000000000002F +:10B5100000000000000000000000000000000C001F +:10B52000000000000000000000000000000001001A +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B68000000000000000000000004000000000007A +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000010010000000024 +:10BB100000000C0F33CC000000000000000000000B +:10BB20000000000000000000000000000000000015 +:10BB3000000000000000FFFFFFFF00000000000009 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000001B3 +:10BC900000000000000080241E00000000000000E2 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000008C020000F6 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE0000000000000000000000000000080000002A +:10BE1000000000000000D8A472A9DFA472B9DFA45A +:10BE200072B9DFA472B9DFA472B9DFA472B9DFA45A +:10BE300072B9DFA472B9DFA472B9DFA472B9DFA44A +:10BE400072B9DFA472B00000000000000000000022 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000FF000F0F5555DA +:10BFA00033330F0F3333FF005555FF0055550F0F37 +:10BFB00033330F0F3333FF0055553333FF005555DF +:10BFC0000F0F0F0F3333FF00AAAA0000000000007C +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C1100000000000000000000000000000004500DA +:10C1200004038000CC0F620004030000AC016B002C +:10C13000040300008C01100004030000C800730019 +:10C1400004030000A80010000403000088000000A1 +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A0000000C900800010018000550080000000DF +:10C2B0004000610080000000280051008000000064 +:10C2C000800051008000000040005100800000000C +:10C2D0002800000000000000000000000000000036 +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C4200000000000000000C0C8830023C00400C852 +:10C4300000C0C000800000C00080C800C40000C868 +:10C4400000C0C000800000C100C08000C80000C85B +:10C4500000C0C000800000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A0000000000000000000000000022003010065 +:10C5B0003004000000208000800000020002000023 +:10C5C00000000000002080008000002100028000A8 +:10C5D000000000000020800080000000000000003B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C730000000011000700000000000000000000078 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000E0000000003C000000000000BD +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000100000000C000078000000000007DD +:10C8C0000000100000000000E00000000000000771 +:10C8D0000000000000000000000000000000000751 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000080000086 +:10CA300000000000080000000800000000082000BE +:10CA40000100800000000000080000000800200035 +:10CA50000100800000001000000000002000200005 +:10CA60000100800000000000000000000000000045 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000001000000065 +:10CBC000D030000000000000000000000000000065 +:10CBD0000000000000000000000010000000000045 +:10CBE000C000000000100000000000000000000075 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400070002C0000B8000000000000D0000000BF +:10CD5000000000070000000000000000D0000000FC +:10CD6000000000000002000000800000D000000071 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB000000000000000000000280000000000004A +:10CEC000100000010000004000000200000000000F +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D040000000000000000000000070000000000070 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000060000000000000000000000000000AA +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C00000000000600000000000000000000000FF +:10D1D000000004000000000000000000000000004B +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000002000BD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000400000000000001C +:10D4D0000000A000000000000000000000000000AC +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000001000000000000000000000000000000B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D640000000000000000000000000000040004258 +:10D6500002000000000020000000000000000000A8 +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000020000000000000000000007A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D00000000000000010810800000000000000B0 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D950000000000000000000000000810000000046 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000010000000000001C +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000A0000000FB +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F0000000000000000000000800000000000012 +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA000000000000E0000000000000000000000026 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB800000000000008800000000040000000000F9 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE900000000C0000000000000000000000000066 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000001000000000000000000000000EF +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F190000000000000000008000000000000000067 +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F31000000000000000000000600000000000008D +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040003F7 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:100240000058000000000000000000000000000056 +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:10054000000000000000000000500000000000005B +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000048000000000000000000000000000050 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000008000000040000000000000000000076 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE00000000000004800000004000000000000B5 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB00000000000000000000000005005000000BC +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:103130000000000000280000A000000000000000C7 +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E00000000000000000000000000000000008022 +:104E1000080000000000000000000000000000008A +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000008000000000000000000000000091 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:1060300000000000000000000000000080000000E0 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000030000000000000000000000D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D000000000000000000000800000000000003C +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000048000000000000AA +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000008000000000000D4 +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:108610000000000000000000000000000048000012 +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B8200000480000000000000000000000000000D0 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A000000000000000000000000D00000000008A +:10B9B0005000000000000000000000000000000037 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE300000080000000000000000000000000000FA +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000480000000000000000000039 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D0000000330FE4E40000000000000000000051 +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD6000000000C003000000B0020000000000004E +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE000000000000000000000000000A0000000A2 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D06000000000000000000000000000002400009C +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E00000000000000000000000000000000080BF +:10D1F0000108000000000000000000000000000026 +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D370000000009003080000F00000000000000022 +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000100000000000000000000000000002B +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D67000000000000000000000000000404000002A +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F00000000000000000000E7C0000000000009F +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000FFFFFFFF0000000000000000000006 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF900000000000000080040C00000000000000F1 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E100000000000000000000000000000800000007 +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E42000000080000022000000000000000000004A +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E590000000000000000000003800000000000043 +:10E5A00000008000000000010000000000000000EA +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000003000000000000000000E6 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A00000000000000000008000080000000000E0 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA000000000000000000000080000000000005D +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000030000000000000000000000000000022 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F64000000000000000000000000000002000009A +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C00000000000000000000000000C000000002D +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000004B3 +:10F950000000820000100000000000000000000015 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040004F6 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:1034600000000000000000000000000000001081CB +:103470000800000000000000000000000000000044 +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F000000000810000000000000000000000004A +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:1042100000000000A00200000000000000000000FC +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:104510000000000000880000100000000000000003 +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:1046900000000000000000000000000070000000AA +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000008000090 +:104820000000000000000000000400000000200064 +:104830000000000000000000000000000000000078 +:104840000000001000000000000000000000000058 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A00000000000500000000000000000000000B7 +:1049B00000000000000000000000000000000000F7 +:1049C00000000900000000000000000000000000DE +:1049D00000000E00000000000000000000000000C9 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B300000040A0000002000000000000000000047 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000400000000000B4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000200052 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB0000060000000000000000000000000000091 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B0000000000000000000000073190C000180D5 +:1052C000000000500C000180000000C0040000003D +:1052D00004000000040000000400000004000000BE +:1052E00004000000040000000400000004000000AE +:1052F000040000000400000004000000040000009E +:10530000040000000400000004000000040000008D +:10531000040000000400000004000000040000007D +:10532000040000000400000004000000040000006D +:10533000040000000400000004000000040000005D +:10534000040000000400000004000000040000004D +:10535000040000000400000004000000040000003D +:10536000040000000400000004000000040000002D +:10537000040000000400000004000000040000001D +:10538000040000000400000004000000040000000D +:1053900004000000040000000400000004000000FD +:1053A00004000000040000000400000004000000ED +:1053B00004000000040000000400000004000000DD +:1053C00004000000040000000400000004000000CD +:1053D00004000000040000000400000004000000BD +:1053E00004000000040000000400000004000000AD +:1053F000040000000400000004000000040000009D +:10540000040000000400000004000000040000008C +:10541000040000000400000004000000040000007C +:10542000040000000400000004000000040000006C +:10543000040000000400000004000000040000005C +:10544000040000000400000004000000040000004C +:105450000C000180000000A00C000580000000008E +:105460000C0000800000FAEA0C000180000000B08F +:10547000040000000400000004000000040000001C +:00000001FF diff --git a/Board/ise/fpga_cursor-buttonsV2.bit b/Board/ise/fpga_cursor-buttonsV2.bit new file mode 100644 index 0000000..b43299e Binary files /dev/null and b/Board/ise/fpga_cursor-buttonsV2.bit differ diff --git a/Board/ise/fpga_cursor-buttonsV2.mcs b/Board/ise/fpga_cursor-buttonsV2.mcs new file mode 100644 index 0000000..a986774 --- /dev/null +++ b/Board/ise/fpga_cursor-buttonsV2.mcs @@ -0,0 +1,17742 @@ +:020000040000FA +:10000000FFFFFFFF5599AA660C000180000000E089 +:100010000C800680000000060C80048000008CA785 +:100020000C800380804304C90C00038000000000A2 +:100030000C000180000000900C0004800000000013 +:100040000C000180000000800C0002000A8028598A +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000020000000000000000000000000000DD +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000002000000000000000000005C +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:100350000000000000000000000000040000000099 +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000A00A000000000000000000000000000062 +:1004F00000000000000000000000000000000000FC +:10050000000000000000000008802100280000001A +:1005100000000000000000000000000000000000DB +:10052000000000000000000000000000803080811A +:100530000000000000000048001806000000000451 +:100540000000480200000000000000000000000061 +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:100690000200000000000000000000000000000058 +:1006A000000000000000000000000000000000004A +:1006B000000000080000000000000008000000002A +:1006C0000000000800000000000000000000000022 +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D00000000000000000000000000000005401C4 +:1007E00018000000000000000000000000000000F1 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:100840000000000000000000000000800800000020 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C00000000000000000000000000000000080A7 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000100000000000000000000000D8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000600000000000000006 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD00000000000000000000000200000000000E5 +:101BE00000000000000000000000200000000000D5 +:101BF00000000000000000000000000001000000E4 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED00000006000000000000000000000000000A2 +:101EE00000003000000000000000000000000000C2 +:101EF00000003000000000000000000000000000B2 +:101F0000000000000C0000000000000000000000C5 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:10205000000000000004000000000000000000007C +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000001000000000000FE +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000010000DE +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000100100000000000000000B +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:102630000000000000000000000000010000000099 +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000200000004E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000008000000000000E5 +:1033E00008000000000000000000000000000000D5 +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000200000000000000000000000000000BA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:1038600000000000008000000000000000000000D8 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F0000000000000000030000000000000000097 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B700000000000000000000000008000000000C5 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF0000000000000000000000C000000000000B8 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000001000041 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF0000000000000000000006000000000000061 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000FFFF24 +:105E8000FFFF0000000000000000FFFFFFFF000018 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000008000000C9 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:10600000000080040C00000000000000000080047C +:106010000C00000000000000000000000000000074 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:106150000800000000000000000000000000000037 +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000080008C +:106490000008000000000000000000000000402094 +:1064A00000D000000000000000000000000000001C +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000080000A +:106610000000100100000000000000000000002049 +:10662000001000000000000000000000000000005A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000300000000000000F6 +:1067A00000000007000000000000000000000000E2 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:10691000000000000000000000000000080000006F +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000100F5 +:106AA000000000000000000000000000008008005E +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C3000003802000000000000000000000000001A +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000002800000000000029 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000001000000000000000 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B0000000000000000000000000000080000050 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:10738000006000000000000000000000000000009D +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000040000000000000000000003B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:1075300000000050000000000000000000000000FB +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000100000000000000000000000000000DA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000010000068 +:1078100000000000048000000000000000000000E4 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:1078700000000000003000000000000000000000D8 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000C00000000004000440000000000000DF +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000002000000000000067 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000FFFF37 +:107B5000FFFFFFFFFFFF000000000000000000002B +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD000000080040C0080040C0000000000000084 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E1000000000000000000000000000080000005A +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:108160000000C02000A2000000000000000000008D +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E00000008020000000010010000000000000DD +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000300E000000029 +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E0000000000000000000000000000000080083 +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:108770000080080000000000000000000000000071 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000383E00000000000000000002 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000004000000000000F2 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF000000000000000000000000000002800004D +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000100000000000000000000013 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC000000000000000000000280000000000007A +:108ED0000000000000000000000000000000000092 +:108EE0001000000000000000000000000000000072 +:108EF0000000000000000000000000000000000072 +:108F00000000000404000000000000000000000059 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000900000000000000000000000007 +:1090600000000000200000000000000000000000E0 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000002000000000000000000000000000006F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000004000000005A +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:109350000000000000C0000000000000000000004D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000100000CD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000400000000000004C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:1095100000800000000000000000000000000000CB +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:1096500000000000000000000000000000000042C8 +:1096600001000000000000000000000000000000F9 +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000001001000000000000000068 +:1097F0000000000000000000000000000000110058 +:109800001F0F000000000000000000000000FFFF2C +:10981000AAFF5F5FA0A001015F0FFFFF4182D99FF8 +:10982000A0FFFFFFFFFF000000000000000000009D +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000005000000000000100000000A6 +:1099700000000000000000000000000000000000E7 +:10998000000000000C0000000000000000000000CB +:10999000000000000C0000000C0000000C000000A3 +:1099A0000C0000000C0000008C0200000000000011 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000002000000000000056 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B1000000000000000488060A94F8060B94F80BD +:109B200060B94F8060B94F8060B94F8060B94F8095 +:109B300040B1000000000000000000000000000034 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C90000000000000000000000033CC33CC33CCC7 +:109CA00033CC33CC55AA33CC33CC33CC0FF033CCBC +:109CB00033CCC3C3333300000000000000000000B9 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000010000000000000000000000000000053 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000002000000000000000000000C1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000001000000000000050 +:10A10000000000000000000000000000000000004F +:10A1100000000000A000000000000000000000009F +:10A12000000000000000000001000000000003002B +:10A1300020000000000000000000004000000000BF +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A27000000000000000000000000000004000009E +:10A2800000000000200000000000000000000000AE +:10A2900000000000000000000000000000000000BE +:10A2A000000000000000000000000000200000008E +:10A2B0000000020000000008000000000000004054 +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A4100000000000000000000000000080010E00AD +:10A420000038000000000000000000600140000053 +:10A430000000024261080000000002406108008044 +:10A4400042001E00000000000000000000000000AC +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A0002000000000340000000000000000000057 +:10A5B00028000000000002228A80000000105203E0 +:10A5C0006A0200000A640600000000004002000069 +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A7000000000000000000000080000000000000C9 +:10A710000800000000000000000000000000000031 +:10A7200000000000000000000080000000000000A9 +:10A73000000000000001000000104A3CC0010000C1 +:10A74000404018002411004000042A000234000098 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A0000000000000000000C0010000004800009F +:10A8B00000000000000000000B0000000000060483 +:10A8C000C801000000084604C80200000078020029 +:10A8D000030800000000000000000000000000006D +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000020000000000000000000000000000016 +:10AA200000000000000000000000000000000A001C +:10AA300000100000000000000000002000000000E6 +:10AA400000102804100000002010280010000080D2 +:10AA50000310086000080000F00000000000000083 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB90000000000000200000000000000000000095 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000020000000000000002055 +:10ABC00000000000000000000002020282020000FB +:10ABD0000022020001000000000000000000000050 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD2000000000000000B000000000000000000073 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000100000000000002 +:10AD50000000005000000000000000A008000000FB +:10AD60000000000000000000000000000080000063 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC00000000000000000000050000000500A00D8 +:10AED00000740404340C0000001C00000800000092 +:10AEE0000100000000000000000000000000000061 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000004EC +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000040000000000000000000005F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000100000000000000000000000000016D +:10B1E000040000010000000000000000000000005A +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B3200000000000000000000040000000000000DD +:10B3300000000000000000000000001000000000FD +:10B3400000000000000000000000000000000000FD +:10B3500000000000040000000000000404000000E1 +:10B3600004000000040004000000000000000000D1 +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000010810800FFFFFFFF000000000000F7 +:10B4C0000000FFFFEEFF135F1D0F5151135F0500DA +:10B4D0000100F0FF03000000000000000000000079 +:10B4E0000000E0EEECEF00000000FFFFFCFF0000BA +:10B4F00000000100FEFF000000000000000000004E +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B630000000000000000081000080040C000000F9 +:10B6400000000000000000000C0000201E00480068 +:10B650000C2000000C0000000C00000000000000A6 +:10B6600000000000000000201E000000000000009C +:10B670000C000000000000000C00000000000000B2 +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000008000000000000000000000081 +:10B7C00000008004000000000000000000000000F5 +:10B7D000000000000000008000284D8040B94D802E +:10B7E00040B94D8040B94D8040B94D8040B94C8042 +:10B7F00040B94D8040B84E8040B94D8040B1000006 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000101FEFFFFFFFFFF00000000555453 +:10B95000F2220000000000000000FFFF000056552A +:10B96000565566665655565556556666595556553A +:10B9700065553633655565553633AAAA555656551D +:10B9800066660000000000000000000000000000EB +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC000000000000000000000014020C0C1000094 +:10BAD00000000000000000000000402000C0000046 +:10BAE0000000000000000000000000000000000056 +:10BAF00000008000002400000000000000000000A2 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC40000000000000000000000040208010010003 +:10BC500000080000000000000000002000000000BC +:10BC600000100000000000000000000000000000C4 +:10BC70000000800000000001000000000000000043 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD000000713C7DB0000000000000001000000A6 +:10BDE0000022444000000008A0501008100000008D +:10BDF00010200000000000000028001000000003D8 +:10BE000000280400000008030800000000000000F3 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000040000000000000400D9 +:10BF6000200000000000002000000001044000004C +:10BF70000000800100200000040080010300010097 +:10BF80000221808000004000040000800421C000E5 +:10BF9000040000000000000000000000000000009D +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D00000000000000100000070B016000000D059 +:10C0E00003010E00F0000007780100000170001548 +:10C0F000007200000102009001040E04C000204004 +:10C10000140800164062389001100028D0100080FA +:10C11000F0000004008200000000000000000000A9 +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C250000000000000000000C000220000001006E6 +:10C2600000000000E000140040084000E02800C08A +:10C270000378408003000000C2092CC0033C14086E +:10C2800050000C80E7900000C0710CC000B0600648 +:10C2900050080000803C1408C0C0000000000000EE +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000001005C +:10C3E00000200300000000400000030008000003DC +:10C3F000004000200209008388060010021000009F +:10C400000000420020000040490C4100040AA00046 +:10C410002008000000102240010706000000000074 +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C550000000000000000000008800001000000043 +:10C56000000001000000900800000000000C140012 +:10C5700020030008D00010C0040000C8818008001B +:10C58000E06122600008080B00001C8081A91406ED +:10C5900000690C00C680A800A0030C208348800C12 +:10C5A000E83400000000000000000000000000006F +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000002000003A +:10C6E00050000000000002000028000000000020B0 +:10C6F0000070000000780005500140000160004516 +:10C70000600052004216164000164000F002284019 +:10C7100028003C0540140C000200160F0070008039 +:10C7200069380C00A00A00000000000000000000B2 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000100000000C7 +:10C870000000900000012020000024000038100873 +:10C880000000542020404002805210208258300086 +:10C8900010402020022820042100040284302002BD +:10C8A0002440000000000000000000000000000024 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F00000000000000010000000000000000C001B +:10CA0000901C200400002000000000000030000006 +:10CA10000028200000000000060860000000004C14 +:10CA2000061000007010004006100000000000001A +:10CA300000A00000000000A00000000000000000B6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB60000000000000000000000000000000700055 +:10CB700000000E080000000000003000000C002043 +:10CB80000A000100000000500000000A102000040C +:10CB90003008000034000E00001030300430000077 +:10CBA0002C000009141010041090000000800000F8 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD0000000400000000000000000000000000001F +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000004000004AA +:10CE800000000000000200000000000000000000A0 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000001000200000000052 +:10CEB00000000000000080000000000000000000F2 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF00000000000006000C0000000000000000011 +:10D000000400000400000000000000000000000018 +:10D0100000100000000000100000000000000000F0 +:10D0200000000000000000000000000000200020C0 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D170000000000000000000000080080000000027 +:10D1800000001100110055115F130100FFF70D0D94 +:10D19000DD0D3F151D0F331177071B0FFFFF5500E6 +:10D1A000FCEE3F2A1D0F3131153F00000000E0EE7C +:10D1B000F8FBFFFFFFFF00000000FFFFFAFF000089 +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F00000000000000000000000000000008000AE +:10D3000000000000000000000C0048000C2000009D +:10D310000C0048000C2000201E0048000C20900447 +:10D320000C0000201E0000201E0048000C20000001 +:10D33000000000201E0000008C0200000000000021 +:10D340000C000000000000000000000000000000D1 +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D480000848000000000000000000000000480004 +:10D49000002000201200002012004E8060B94F8052 +:10D4A00060B94F8060B94F8060B94F8060B94F80DC +:10D4B00060B94F8060B94F8060B94F8060B94F80CC +:10D4C00040B000000000000000000000000000006C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D600000000000000080000000000000000000012 +:10D6100000005F0077075F13EF01F3511F0E00F06A +:10D62000FF00A5A50FF033CC0FF00FF0A5A533CC6C +:10D630000FF0C3C355AA0FF00FF033CC55AA0FF06B +:10D6400055AA55AAFF0000000000000000000000DD +:10D650000000FFFF030000000000000000000000C9 +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000403000082 +:10D7A000AC0100000000402000C000000000C020CC +:10D7B000004C0000000040200050000000008000ED +:10D7C0000024000000000000000000000000000035 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D9100000000000000000000000000000004100C6 +:10D920008000000040000020000000000010802067 +:10D93000000000010008002000000000000080003E +:10D9400000000001000000000000000000000000D6 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA9000000000000000000000000000020000057F +:10DAA000000000A300818000C4A301A105A146409D +:10DAB0000511030720C403000000000044224600B3 +:10DAC0004440000000000000000000400000000092 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200002000000000000020003880000028420BF +:10DC30000420042104202100000420C0034000002F +:10DC400000000400002001000180010000000040ED +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000806284300A80A54002420104AC0475 +:10DDB000F0750022201000C0237036480380201820 +:10DDC0002810060C84001C46021002407400000853 +:10DDD00000000CA001000000000000000000000096 +:10DDE0000000000000000000C00000000000000073 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF2000000000000000009203801C80B9486122BC +:10DF3000000000D4E0704600023480C4F178020092 +:10DF400070390040F080670007004C00F118022093 +:10DF5000EA02800700F00C000A0080070000000DB4 +:10DF600000000000000000000700000000000000AA +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A00000000000000000000000008000022200CC +:10E0B0001389A00C4B0104320220140C6011006083 +:10E0C00020890000000000108080000082201820BD +:10E0D0000008420002020002000C42000010000092 +:10E0E0000000000000200000000000000800000008 +:10E0F0002000000000000000000000000000000000 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E22000000000000060800000000000000000000E +:10E23000E70000C010000C8881827C46E8000008DE +:10E2400000000C4044429000C0001C000978900877 +:10E25000E0011C800B000000300C0C00083800208E +:10E260000300000040024005000000000000000024 +:10E27000030000000000000000000000000000009B +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A0000000000000000000000000050000000068 +:10E3B0000000000000000080E6000007643000A0BC +:10E3C000017A00000000010000400E04000340003C +:10E3D00040100E47111001004808008071004000F5 +:10E3E000401000000000001600000000002800C0DF +:10E3F000030000000000000000000000000000001A +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E52000000000000000000000000000004804009F +:10E530000008000800000000400100080000202042 +:10E5400010400808500422024000384212380000EF +:10E550002122500020000120202008281038042803 +:10E560000024100C04000C0C0810044408000000E7 +:10E5700000000A0008000000000000000000000089 +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B00000000000000C000C00000000000000043E +:10E6C00002000000200000180C006000024E300024 +:10E6D0002910007000001070600E005070000004DF +:10E6E0000004000479003D080D0E000404000070D1 +:10E6F000040A0000000000004400000000000000C8 +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E840000008000000002020002000800100240CAF +:10E8500080001020040000000838000030000C2068 +:10E86000302000200010081E002800080C000C04B6 +:10E87000000008300000000000000C000000000054 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000040000000000000000000043 +:10E9D0000000002000000000000000000000000017 +:10E9E00000000000000040000000000000000000E7 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB30000000000000000000000000000080000055 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000100000000000000000000085 +:10EB80000000000000000000800000000000000005 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC00000480002020000000000000000080000F0 +:10ECD0000000000000000000000000100000000024 +:10ECE0000000000000000000000000000000000024 +:10ECF00000000000000000000020000000000000F4 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE4000000000000000000000000080080000003A +:10EE5000000000000000000000003700FCFF5F130E +:10EE60001D0F13335F13FBFF5155E0F0EEFF135FEF +:10EE7000CCFF00000000BBBB0F0201000501FFFF3B +:10EE80000100FFFFFCFFCFCCCFCC11000100000040 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC00000000000000000000800000000000080B9 +:10EFD000000000000000000000000000000090049D +:10EFE0000C0000201E0048000C2048001E20480095 +:10EFF0000C2090040C000000000000201E00000007 +:10F000000C0000000C0000000C0000000C000000D0 +:10F010000C000000000000000000000000000000E4 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000008000000B7 +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F170000000D8A432A8DAA432B8DAA432B8DAA4EB +:10F1800032B8DAA432B8DAA432B8DAA432B8DAA4DF +:10F1900032B8DAA432B00000000000000000000025 +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000100010000002C +:10F2E0000000000000000100010003000100BBFF5E +:10F2F0000300000000007D7D7D7D48487D7D7D7D93 +:10F300002828484828287D7D7B7B7B7B28287D7D9D +:10F310007B7B7B7B7B7B7B7BD7D700000000000067 +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F460000000000000000000000080000006000016 +:10F470000000402000C0100000014020C0405100AA +:10F4800004034020A85010000403402088403200AC +:10F490000403000004011000040300002401000024 +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000008000000000019A +:10F5F00000040020000000000010402080000000F7 +:10F6000000085120800000004000512080000000D0 +:10F6100028105100800000002800510080000000E8 +:10F62000400000000000000000000000000000009A +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000003000000000000C003D3 +:10F770000000C0C0C3000022006244220300022235 +:10F78000578144228013008303DBC401E10344EA70 +:10F79000C2C3D32381CD00CB00C0C00080C8C8C085 +:10F7A0000080C402C09300000000000000000000C0 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000800000000098 +:10F8F0000000100800001010180000000020000098 +:10F900000200040000050000800000032000000148 +:10F910004001000084218000A00000011020800030 +:10F92000800000200002008088020000000000002B +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA600000000000000000000000000000001E0078 +:10FA700000B80004000000C0812C2C00F0410E28CA +:10FA800054309C00E2388003841414800310000476 +:10FA900000000000090000042400000000400000F5 +:10FAA000000000C6C140000004082CA9350A00006F +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF00000000E000038800F0000008003000000AD +:10FC0000406C604002A834240970800700102AC0AC +:10FC100001180000000040800902400300001C4061 +:10FC20000690000000005C06C802000000802881E9 +:10FC30002140000000000000000000000000000063 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD700080000000000010000090010000000080E2 +:10FD80002E00000004000C00680828020118000082 +:10FD9000084000A0280C2001021020891400200136 +:10FDA000E0109802284020300012100800200008BF +:10FDB000480A0000010800000000000000000000E8 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF00000000000000000000000230000B04007E8 +:10FF00000000008023020000E0100E1828021E5896 +:10FF1000406040930B0202200A7A0F30000000C0BC +:10FF2000010001086E408C00C07C01008E00800042 +:10FF30000200234F00002C9E053900000000000045 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040001F9 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:10007000000000000010000060000000000002000E +:10008000000000240000008001002800D01C014274 +:1000900001001C0005450003100011000070020261 +:1000A0000048ACC009000200203840D6D31102003D +:1000B00000002D01D06A0242000002A0C1910000A0 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000080000F7 +:10020000000000000008000000001040000800404E +:10021000000030001010001050401020800030000E +:100220000820080420401004004400201080000032 +:1002300008400280040000200800000000000000C8 +:100240000840000000000010040000000000000052 +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000006000001D +:100380000004000000000A09000408090009100E1A +:1003900002040000000910002022000020021006C4 +:1003A00000000000000064069E0019020000600AC0 +:1003B000300A000C3000000A0000309A0000004AA9 +:1003C000000A70050000000000000030000000007E +:1003D00000000000000000000000000000A000007D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000400000000000000000004000C00100AA +:1005100000000000000000200000000000000000BB +:1005200030000030100000000010000410200A0409 +:100530000020002070300001F01004107800F0203E +:1005400000000000000A0000002000000000000081 +:100550000400000000000000000000000000000097 +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:100690000000000400000000000040000000000016 +:1006A000000000200000000000000000000000002A +:1006B0000200000000000000000200000010000026 +:1006C00000400000000000000000000000000000EA +:1006D000000000000000000000000000000000001A +:1006E000008000000000000000000000000000008A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000010000000000000D8 +:1008100000000000000000010000000000002000B7 +:100820000000000000000080000000000000000048 +:1008300000000000040000000000000000040000B0 +:1008400000900000002000000000000000000000F8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:1009800000000000000000000000000000C00000A7 +:100990000100000000000000000000000000000056 +:1009A0002000000000000000000000000000100017 +:1009B0000000000000000000000000000010000027 +:1009C00000000000002000200000000000000000E7 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B30000000FFCC330000000000000000000000B7 +:100B400000000000000000000000F3F0F5F0F1F0FC +:100B50000200000000000000000000000000000093 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB00000000000000010040C0000000000000014 +:100CC0000000000000000000000000000000000024 +:100CD0000C0000000C0000000000000000000000FC +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000800000000000000CA +:100E20000000800400008004000080040000000036 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E5000000000000000000000004A8040B94A8005 +:100E600020B84A8020B84A8020B00000000000006E +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA000000000000000FFFFFFFFFFFFFFFFFFFF4B +:100FB000FFFF00000000FFAAF3FF00000000000098 +:100FC0000000FFFF01000100CFCC00000000FFFF88 +:100FD00001000000000000000000ABAB11FF8877AB +:100FE0006666787878788877887778787878000077 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000004030000B8 +:10113000CC010000040200002C00000000000000B0 +:1011400000000000000040200040000000000000FF +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A00000000000000000000000000000004140BD +:1012B00080000100800001400000000000000000EC +:1012C00000000000000000200000000000080000F6 +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:101430000000000000000000031300000000000393 +:1014400000C000000800000000C0E000E000E00074 +:10145000030000000000000000030000000008007E +:1014600000000000000000000102000088000000F1 +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B00000000000000000000000000040000000EB +:1015C00000000001000200000000000000400000D8 +:1015D000000000002010000000000000008000005B +:1015E000000000000000000000000000208000005B +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:10174000000000000000000000001D000000000478 +:101750000000001000001C4028000C0000000006E3 +:1017600000000100000014070000001084001000B9 +:1017700008301E0000043100C0021E0000000000FE +:10178000A0020000000000000000000000000000B7 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C00000000000000000000000000000000C000C +:1018D000000000000000000000001E0000002000CA +:1018E0000000C00700000000000002000000008AA5 +:1018F0000300018021B6000000001000C0010800B4 +:1019000000002000C80000000000000000000000EF +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000080000016 +:101A500000002000000040020000000000003000F4 +:101A600020006800000000000000200000000400CA +:101A70000000002880262050100401000000000013 +:101A80004000020002000000000000000000000012 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000C00000000000009 +:101BD00000280000000006000000000600000000D1 +:101BE00006001C300800020000000004000006008F +:101BF000008000000000006482080C80E1381C6056 +:101C0000002C820010002300062CA2061800000001 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D4000000000000000000000000000004801004A +:101D50000000000000000000000000000000000083 +:101D6000000000000000008041000E000000002084 +:101D70000000000000101C0F00000044100820802C +:101D8000C13202C00140080FE00002400100081605 +:101D9000C000000000000000000000000000000083 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000480000040800088000000036 +:101ED000000000000040000000000000002840005A +:101EE0002000002000000000202000000000100161 +:101EF00001000104004000000020000040282000F4 +:101F000040404000402000000000000000000000B1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:10204000000000000000000000000000000C00087C +:10205000000000000000000000000600000000007A +:102060000000000000000000000E0C0A020000004A +:10207000000010000900007000000C0000000000CB +:102080000000700050000000000009000000000A7D +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D0000C50000000000000000000000020000083 +:1021E000000000000000100020000020000001009E +:1021F00020200000000000080C000C00000001007E +:10220000003000001000200000000000003000003E +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:102350000000000100000000000800000000000074 +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D0000000000000800008000000000002000072 +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000100000BB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:1026500000000000000000000048004001000000F1 +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000002000000000000000000000000000001A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E0000000008008000000000000000000000061 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:1029600000000000000000800000000000000000E7 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000200000000000000000000000000003C +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000002000036 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000100000A6 +:103A10001000000000000000000000000000000096 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB00000000000000000000000000400040040BD +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D10000000000000600000000000000000000043 +:103D20000000000000000000000000000000000093 +:103D3000000000000000000000000000000008007B +:103D400000000000000000000000000E000000B0B5 +:103D50000000000000000000000900000000000951 +:103D600000090A0000000000000010000000000030 +:103D70001000100000000000000000000000000023 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E900000000000000000000040000000000000E2 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000104000C00300000000000000000B1 +:103ED00000000000000000000000000000000000E2 +:103EE0000000000000000000000000000000800052 +:103EF00000000000800080000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000100000000000000000000000000020 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A00000100000000000000000000000000000FF +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:10420000000000000000400000000000000000006E +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:104320000000000000C000000000000000000000CD +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB000000000000000000000880000000000006E +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C300000000000000000000000000000900000E4 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000040000000000000000000A3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000001000000000060 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:1052400000000000000000000000000000800000DE +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:105550000000000000C0000000000000000000008B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000048000000 +:105860000008000800080000000000000000000020 +:105870000000000000000000000000000000000028 +:105880000000000000000000848404040000000008 +:105890000000000000000000000000000000000008 +:1058A00000000000080000000000000008000000E8 +:1058B00000000010000000000000000000000000D8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000C00000008000000000000A3 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A100000000000000000000000000000000D0A6F +:105A20000000000000000800080000000000000066 +:105A3000080000000000001000000000000000004E +:105A40000000000000000030000000000000000026 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B9000080C0808000000000000000000000000E1 +:105BA00000000000000000000000010000000000F4 +:105BB00000000000000000000000000000000000E5 +:105BC0000000000000000000000000800000000055 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E600000000000000000000000000000800000B2 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF0000048000000000000000000000000000059 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:107550000000000400000004000000000000000023 +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000080000000C14 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A2000000000000000000000000000000800004E +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000020000000000000000000000000000C3 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000100010000007C +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000002003400B0 +:108A9000F0010000000000000000000000000000E5 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C0000000000000000000000000000000000A0C4 +:108C10000100300020000000000000000000000003 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F100000000000000000800400000010000000BD +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000008003500000FD +:1090A000F0000000000000000000000000000000D0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F0000000000000000000000800000000000067 +:10920000000000000000000000000000000000005E +:109210000000000000000000000000000000000846 +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:10937000000000000000000000000000000C0000E1 +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000004000000000000000000000000B9 +:1093B00000000000000000000000000000000000AD +:1093C00000000A000000000000000A000000000089 +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000040000000000040004007E +:1096E0000000200000000400000020000000000036 +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000040000000000000000000000A3 +:1099C0000000000000000000000000000000000097 +:1099D000000000000000000000000000000008007F +:1099E000000000000800080000001000000008004F +:1099F0000000100000000000000000000000000057 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B300000000000000000000000FFFFFFFF000029 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900008000000000000000000000000000000BC +:109CA00000000000000000000000000000000000B4 +:109CB0000000000000000000000000000000800420 +:109CC0000C00000000000000000000000000000088 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E1000000000000C000000000000000000000036 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000100012 +:109E4000000080040000000000000000000000008E +:109E50000000D8A472A9DFA472B9DFA472B9DFA48C +:109E600072B9DFA472B9DFA472B9DFA472B9DFA43A +:109E700072B9DFA472B9DFA472B9DFA472B9DFA42A +:109E800072B00000000000000000000000000000B0 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC00000006600FF57FFFFFFFF000000000000D9 +:109FD000000000000000FF0055550F0F3333FF0055 +:109FE00055555555FF000F0F33330F0F3333FF0017 +:109FF00055555555FF0033330F0F33330F0F55555C +:10A00000FF003333AAAAFFFFFFFF0000000000009B +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A1100000000000000000000000400000000000FF +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A1400000000000000080090403800024030000D8 +:10A15000000000000000500000010000000010009E +:10A160000403000020005100040300004000100020 +:10A1700004030000CC01510004030000AC011000F6 +:10A18000040300008C01010004020000080000002C +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A29000000000000000000000000000000040007E +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C00000000000000000000000C100800011013B +:10A2D000400400000000000000004000800000007A +:10A2E0000800510080000000400051008000000084 +:10A2F00080005100800000008000510080000000BC +:10A300004000510080000000280011000000000003 +:10A3100080000000000000000000000000000000BD +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000C04C +:10A4500013C00000C800000000000000000000C899 +:10A4600000000000930000C800C0C000800000C0D1 +:10A470000080C800C00000C800C0C000800000C04C +:10A48000C8C88000C0008080C8C0C700C8000000E5 +:10A49000C4C00000C4000000000000000000000074 +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D0000000001000020000000000000000000069 +:10A5E000000000000000000080000000002080004B +:10A5F0008000002000020000800000000020800099 +:10A600008000002000008000800080020002040022 +:10A610000000000200020000000000000000000036 +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A75000000000000000000000780007000000007A +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A78000000000000000000000000000E0000000E9 +:10A790000000000E000000000000000000000000AB +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000010000000280040 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000007000000000000000051 +:10A90000E000000000000007000000000000000060 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000100000000000000000000000015 +:10AA40000000000000000000000000000000000006 +:10AA50000000000000000000000000000000910065 +:10AA600000080000000000000000000000000000DE +:10AA70000100000000002000010080000000100024 +:10AA80000800000008002000010080000000100005 +:10AA9000020000002000000004000002000000008E +:10AAA000000800000000000000000000000000009E +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000001000000000000000094 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000400C03000000000000000016 +:10ABF0000000000000000000000000000000000055 +:10AC0000000000000000000600000000000000003E +:10AC1000000000000000000600001000000000001E +:10AC2000C000100000000000000000000000000054 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000010010000002 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD6000000000000000000000089707000000003D +:10AD7000000000000000000000000000D000000003 +:10AD800000000000D00000000000002000000000D3 +:10AD900000000000D00000000000002000000000C3 +:10ADA000000000000002000000000007000000009A +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000020000000060 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B0400000000000006000000000000000000000A0 +:10B050009000000000000000000000000000000060 +:10B0600000000000000000000000000000000000E0 +:10B0700001000000000000000000000000001000BF +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C0000000000000000000004000000E00000031 +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000040000000000000000000000004B +:10B2000000008000000000000000000000000000BE +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000020C +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000001000000000000000000000DD +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000400000000C9 +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000002000000009B +:10B3B000000000020000000000000000000000008B +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000400000000000000000000000000D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B4200000000040000000000000000000000000DC +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000040000000000000000000000000AC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B48000000000400000000000000000000000007C +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D00000100000000000000080000000000000DC +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000014B +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000300000000000000000000000000000BB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000100000000000000000BB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000001000000000000000008B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000001000000000000000005B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000001000000000000000002A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000020DA +:10B650000000000000C000000000000000800000AA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000020000000000000000000004A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D00000000020000000000000800800000000C1 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B950000000000000000000000000000000800067 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE000004800000000000000000000000000000E +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000800000000000000000000CC +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C880000000000000600010000000000000000038 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000040000000022 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB000000000000000000000100000000800005D +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000001000000000000000000045 +:10CBE0000000000000001000000000000000000035 +:10CBF0000000000000001000040000000000000021 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD100000000000000D0000000000000000000006 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000200000D3 +:10CD400000080000000000000000000000000000DB +:10CD500000000C00000000000000100000000000B7 +:10CD600000000C00000000000000100000000000A7 +:10CD700000000C0000000000000020000000000087 +:10CD80000000A00000000000000000000000000003 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000100000000000000000051 +:10CEE0000000000000000100000000000000000041 +:10CEF00000000000000001000000000000000E0023 +:10CF0000040000000000000000000000000000001D +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000004000000000000DC +:10D050000000000000000000000040000000000090 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000020002F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000080000000000000000000000000000C5 +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D0000000000000000000000002010201000046 +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000055AA1C +:10D51000FFFF00000000000000000000000000000D +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D650000000000000000000000000000000480082 +:10D660001C2000000000000000000000000000007E +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000090240E0000000000000000000000C8 +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000C0000006D +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000077224455000055 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE00000000000000000000000800000060000B0 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB20000000402000800000000000000000000015 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC60000000000000000000000080000000000133 +:10DC700000040000000000000000000000000000A0 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA00000000020008000000008000000000000CC +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000C00008000044000000E6 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000010000000000000000000000A0 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA000000000000000000000000004000000006D +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F000000000000000000020042C00006400006C +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000200ED +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000200210006C +:10E28000003000000000000000000000000000005E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E400003000020000830000000000000000000057 +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E430000000000000009404000040010000000003 +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000210000600000000000000A +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B00000000000000000000000220000000C80AD +:10E5C000010000000000000000000000000000004A +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000D000100000600000C9 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000200D7 +:10E7400000002D0008000000000000000000000094 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000001000000000000000000000000058 +:10E8B0000000001000000000000000000000000048 +:10E8C0000000081000000000000000000000000030 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000008000000000000000000EE +:10EA200000000000000008100000000000000000CE +:10EA300000000000000008100000000000000000BE +:10EA400000000000000004000000000000000000C2 +:10EA50000000000050000000000000000000000066 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000001000000000074 +:10EBA0000000000000000000000001000000000064 +:10EBB0000000000000000000000001000000000054 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000100000C3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC00000900000000000000000000000000000B2 +:10EED000000000000400000000000000000000002E +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE00000000000000000000000000000000042DF +:10EFF0000100000000000000000000000000000010 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000002000000000000000000000A0 +:10F0500000000000000000000400000000000000AC +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040002F8 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000800000808080000007B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D0000000000000000000000000000000040412 +:1006E0000400000000000000000000000000000006 +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000060000000000000062 +:100890000000000000000000000000000000000652 +:1008A0000000060000000000000000000000000042 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000800000000000000000000000000EC +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000FFFFFFFF008000EFF708FFFF00002A +:100E6000000000000000FFFC5757FF550008F00F7E +:100E7000FCA8FD031E0F00000000000000000000A1 +:100E8000000000000000000000000000000023330C +:100E90003355000000003F151F1FBBEF3323FFAF8A +:100EA000FFF5FFFFFE00AF55F55A7777F400FFF32B +:100EB0000F703535333300000000270F9F06000008 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000800000000000000000000000000000019 +:100FD00000000000000000000C0000000C00900465 +:100FE0000C00000000000000000000000C000000E9 +:100FF0000C0000000C0090241E0000000000000007 +:1010000000000000000000000000000000000000E0 +:10101000000000000C000000000048000C204820E8 +:101020001E2048000C2000000C0000000C0048208E +:101030001E2000201E0000000C20000000004800C0 +:101040000C20000000000000000000000000000074 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000C000000000000000000000093 +:1011500000000000000000000000000000009024DB +:101160001200900400000000000090240200000023 +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000002012004820122048200B +:1011B0001220000000004800002000000000000095 +:1011C00000004800002000000000000000000000B7 +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D00000001111FFFF00000000000000000000EE +:1012E000000055990F87CC335D557777FFFFAB55DD +:1012F000FFFF01FFFC5405000101DFCEEFAB000052 +:101300000000FF1DFF271000338C000000000000CC +:1013100000000000000000000000FFFFFFFF0000D1 +:1013200000000403BFAAFBFC1000FEF6FB5137FFD0 +:10133000CF005F504F40FFFFFFFFFFFFCCEC330FAC +:101340001D1D000000004F0BAF0500000000000055 +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000080000006B209040380008C273000D1 +:1014700000010000C0000000000000000000800328 +:1014800000008000002400000000000000000000B8 +:10149000000000000000000000000000000000004C +:1014A0000000000000008001000080000000220019 +:1014B0000403000040000A01040280000C0E00003A +:1014C0000000402000D000000000000000000000EC +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000008000000000010004D1008000000124 +:1015F00028004000800008000000000000000000FB +:101600000000800000000001000000000000000059 +:1016100000000000000000000000000000000000CA +:101620000000000000000000000080100000000129 +:1016300000044700800000008000810200000001DB +:1016400080000020000000000000000000000000FA +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:10176000000000000000000300000000000000C0B6 +:1017700000031303C013008003000013C80100001E +:101780000008000004000302C0C00000C3000800FD +:10179000C000000000000000000000000000000089 +:1017A000000000000000000000000000C002001067 +:1017B00000C3000000000080C0E3C70080030000F9 +:1017C0000200C70002000022B000440044000000F4 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000800000000F0 +:1018F000000000200008000888000002020000002C +:101900000001000008010002200008C01290080039 +:101910001840000001010400000000000000000069 +:1019200000000000000000000000000000000000B7 +:101930000180040000C003800000080201200400B0 +:10194000808002000211040003000000000000007B +:101950000000000000200000000000000000000067 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A700000000100000046D0F300400741000000D4 +:101A80000002000000004040090D0000FA384E003E +:101A90000400AC0A00881FA00010400A40000000AB +:101AA00000000000000000000000000000008005B1 +:101AB0000004008002001ED087001CC8CB70084FB5 +:101AC00001020004A01A20030870000E007000C07C +:101AD00011B80EC7F57A00A084302C00003A00003F +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF0000000000000000C000000068703280030F1 +:101C0000091000000038000050002007600880D450 +:101C1000410008070000400600000E8000B44C0799 +:101C20005001000000000000000000000000000063 +:101C3000000000030030013001008688032881C4C1 +:101C400051783025C810DC044800800B800800075C +:101C500000784C4300422C8021600080E87428000A +:101C60002034000000000000000000000000000020 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D700000000000000000000000040000004A060F +:101D80000050000000400800011060000000C0008A +:101D9000010C0003080006006010018400000080B0 +:101DA000000020090000000000000000000000000A +:101DB00000000000000000040008000002003028BD +:101DC00020400002C0180010101210000210040081 +:101DD0001040800202180202000000001040004083 +:101DE0008002000060010000000000000000000010 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF000000000000040000700000000000000009B +:101F000000000648148C0086030500400000000114 +:101F1000C0000E88E71001C0E568B205103C0DD680 +:101F20000430070003808C00E00000000000000087 +:101F30000000000000000000000000100000000091 +:101F400001000004832A3C0124103ECC070C288C9D +:101F500011006610C0010CC70000084800021E20D6 +:101F60000000000080302000A07000000000000091 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000001600002800200000000002 +:10208000000010000000260500007C27080000402A +:10209000000000000002010C040C1424F01540079D +:1020A00010000C8702B8225003020006F002000064 +:1020B0000000000000000000000000000000000020 +:1020C00000000000050016571306BC0FA0150ECC2B +:1020D0000148BC800A4000000000819E067020621A +:1020E000014009C74100008011721600A0C1000024 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:102200000000000400000000000004014000004045 +:102210000050040020000000004020248000022024 +:102220001142220000001000002002000102000004 +:10223000000010020000000002000000000000008A +:10224000000000000040000000000200400000000C +:102250000000202010001210040200001000824034 +:1022600012002000002040040010000000000000C8 +:10227000000200000000000000000000004000001C +:10228000000000000002000000000000000000004C +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000010000000000000000000000000000004C +:1023900000000000003000600000000000000000AD +:1023A00000000000009060400600200E00020000C7 +:1023B0000000B0000000200000000000000000004D +:1023C000000000000000000000000000000000A06D +:1023D00000000000000000001000103400000000A9 +:1023E00020600000000090704000600000200000AD +:1023F00000000000000000000000100000000000CD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000400000000C7 +:102510000000005C0000000000200000000000003F +:1025200040200200300000200800000000000000F1 +:10253000003008000C080000000000080000000047 +:102540000800000200000000000000000020000061 +:1025500000000400300000000000000000600007E0 +:1025600004040E7000001420040030000030300419 +:1025700000000000000000000008000000008000D3 +:10258000000000000010000000000000000C00002F +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000002000000000000000000A +:1026B000000000000010000000000000000080008A +:1026C00000000000000000000000000000001000FA +:1026D00000000000200020000000000000000000BA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000004000000C4 +:1028100000000000000000000000000000000000B8 +:102820000000000000000000000020800000000008 +:102830000000000000000000009000000000000008 +:102840000000200000000000000000000000000068 +:1028500000008000000000000000000000000000F8 +:1028600080000000040000000000000000000000E4 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000003000000000000008 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:1029800000000000000000C0000000000000000087 +:102990000400000000000000000000000000000033 +:1029A0000000000000000000000000000000200007 +:1029B00000000000000000000000000000200000F7 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000004000000000010001000D3 +:1029E00000000000000000000400000000000008DB +:1029F00000000000000000000081008000000020B6 +:102A000000000000000000000000000000000000C6 +:102A10000000000000000000000000000020000096 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B0000000000000000000000008008000000003D +:102B100000000000000000000000000000000000B5 +:102B20000000000000000FF0FFFF00000000FF0F9A +:102B3000FF7FFF7B1BAB20FFFFFFAFFFF7FF1155B0 +:102B4000110101111050F0F2F5FF7F207F200000ED +:102B500000000000000000000000EB360169FDFFEE +:102B60007B96FFFF00888075353CFCFF0C0EBFAFE5 +:102B70003131907CFEEF88887A7F6FEDFFE545FD6F +:102B800018ADFFEF1DF8A37C1BFA7FFFEFF3DDFA12 +:102B90006FFF491E1DFF1DFDE81E00000000D01242 +:102BA000B9DF00000000000000000000000000008D +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000C008000B8 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000090240E00000062 +:102CB000000000000C0000000C0000000C004800A8 +:102CC0000C2000000C0048000C2000000C00902498 +:102CD0001E00000000000000000000000000204076 +:102CE00081022040810200000C0000201E00000034 +:102CF0000C0048201E202040810200000C002040D3 +:102D00008102204081022040810220408102204037 +:102D10008102204081022040810220408102000087 +:102D200000002040810200000000000000000000C0 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E10000C4800000000000000000000000000005E +:102E20000000000000000000000000000000002082 +:102E30001200900400009024120000000000000026 +:102E40000000000000000000000000000000002062 +:102E50001200000000000000000000000000000060 +:102E600000000020120000000000902412009004D6 +:102E700000000000000000000000000000009004BE +:102E800000004800002000000000000000000000DA +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000080000000000000000000029 +:102FA00000000000000000000000000000007F00A2 +:102FB000150500A0F70833CCFF330FC35595FFFF6D +:102FC000DFFF0080EFFF2344AFEFDD5D03027E7E75 +:102FD000EFEFEE5F8F07CFAFAFBF00000000FFFF46 +:102FE000FFFF000000000404FEFF975D1420C0FFF7 +:102FF000F3CC134897405757FF7FFADE08087FFF4E +:103000009B3A2222805D0F1B1B1BFFFFFFFFFFFF70 +:10301000FFFF00000000FFFFFFFF00000000FFFFB8 +:10302000FFFF00000000FFFFFFFF000000000000A6 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:1031300000007009000180008027320004030000B5 +:10314000C8000000000000000000000000000000B7 +:1031500000001000000100000001001000004020ED +:103160000090000000000000000000000402C020E9 +:10317000008207000403800020008002000080001D +:103180000008700000014020C04100000000C02085 +:10319000004400000000C020000000000000C0202B +:1031A00000C600000000C0200044000000008000B5 +:1031B000000200000000000000000000000000000D +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000C000800000010A00510072 +:1032C00080080000800000000000000000000000F6 +:1032D00000000000000040008000000008000028FE +:1032E00000000000000000000000000000000100DD +:1032F0000000000120E2D1108000000140048000A5 +:1033000000000001000040008000000000C000003C +:103310000000000100E200000000000100E20000E7 +:103320000000000100E200000000000100E20000D7 +:10333000000000010022000000000000000000006A +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:1034300000000000000000000000008000C08000CC +:10344000000000C013E3CBA381C3000020000004F0 +:1034500018000005000030000020A00010830000CC +:103460000000E00300400000000000000003000036 +:1034700000000023000500000000C8C0C3C3000016 +:10348000CBE30000C000000800400013DB02002076 +:10349000C803000000100000000000030005000049 +:1034A000C000000300000000C10000030005000090 +:1034B000C000000300050000000000000000000044 +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B0000000000000000000000000000000010208 +:1035C000001080000100000200204004C003000041 +:1035D00004000000001000000000000008000800C7 +:1035E00000820000000000800020000000000000B9 +:1035F0000080000000000000000000000800000241 +:10360000C02103114002822001808000014000009F +:1036100000800000008000010000000080000084A5 +:103620000000000001000080000800002100008070 +:1036300000002000010000800000000000000000E9 +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:1037400000001040032C142EF000000004801C0028 +:10375000E06040076A003007F100100F0A12800095 +:10376000F171002426082029F1003E070000000620 +:103770000900000000000000000080E369032D84C0 +:10378000E26000E079818096D2F00000042880C4D5 +:10379000FB754E0F20310A8EE1E00804F438CA00B0 +:1037A00002C8400FF4004A000000400FF4004A0035 +:1037B0000110400FF4004A0001100000F400000066 +:1037C00000100000000000000000000000000000E9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C000000000000000200000000206B000100010 +:1038D00000380000E0000C87050000F041004205C0 +:1038E000C4880E0FE26C4014E24E2CC0B6104E1786 +:1038F00000308C80030000000000000000002083E6 +:1039000063380CDFEC6210856338000652382008FB +:10391000E040348141403C87254290AF0381401410 +:10392000E034085604400007E00008200284000745 +:10393000E038082003940007E00808200394000002 +:10394000E0080800009400000000000000000000F3 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A3000000000080000000000000000000000007E +:103A40000000000000000000000001008C004002A7 +:103A500004003000AF140800280230448004000045 +:103A600008441002000134000090082020040000E7 +:103A700000C0002800081042000000000000000004 +:103A8000000000C300206408025230D08804000007 +:103A9000400081C2C02640021220A0000A0002009D +:103AA000000068282010000600000002002400002A +:103AB0000000002880C200000010000000440050F8 +:103AC00000040000208802000081000000000000C7 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB00000000000006000000000000000000000A5 +:103BC00000000000000000000000000000001000E5 +:103BD00000800C07E03010000000000000388EA8C4 +:103BE00000385410E9703242C2918CCEC14308B4FF +:103BF000D9880127EA482704006C80C0050000002E +:103C00000000000000001E8363001CC0E060108004 +:103C1000633800002248002880100CE7E1048E8CF5 +:103C2000E33120A1C3080E0400424C0600700007D7 +:103C3000E0300CC001700007E0780CC00100000209 +:103C400020080C8001380000602A080000300000C5 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D3000000000000000000000000007000000007C +:103D40000000000000000000000000000000000073 +:103D50000000000000102D0FD080000000000000C7 +:103D6000D090000460004A0044018ED4010200C0DB +:103D70000B4028805008102FC0120E0400380E8F00 +:103D800001000000000000000000A2E369391C8F60 +:103D9000F66600C071793C80231508C411383D8750 +:103DA000E10200C401020EA7D112060400B82D469C +:103DB00008F8800778112DC00BF8000770382DC067 +:103DC0000B04400AA4002D80013000006000400078 +:103DD00000300000000000000000000000000000B3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000001000000000000000E2 +:103ED000000000000000000000000000000024427C +:103EE0000000204024400440204001000A0C00480B +:103EF00000402C280C0082202040080A00200121CC +:103F0000000000000102000000200000000002008C +:103F100050000C00000440011084022250023A00BC +:103F200034440040002C200050004A222042020469 +:103F300008000A20040218082001020000000020E6 +:103F400000800000002002000002020000220000A9 +:103F50000022000000220000000200000002000019 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:10404000000000000000000000000000000100006F +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:1040700008089054905000040C000E0E7000440C80 +:104080009000000000000000000000700000000030 +:104090000000A00000000400000000001000000A62 +:1040A0003009000010000D0000006040000E00000C +:1040B000000EB6005C090C0E00003804B000B00021 +:1040C000000C000000A0100000000000A00500008F +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E000000000000000200000002000000004107B +:1041F00000000800045400100010343004001C308B +:104200003030000C00000818000000000C0800000E +:1042100000100000000000000000000000042A0858 +:104220000034001820040400040400300000305062 +:1042300000502434300800080A0004200404000060 +:10424000300C0400000F00100E2080000010000E43 +:10425000000C0400002C000000380000003C0000AE +:10426000000C0000000C0000000000000000000036 +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:104360000000000000000004000000000000000049 +:10437000000000000000020000000000000000003B +:10438000001000000000000000000000000000001D +:10439000000000000000000000000000000000001D +:1043A0000000000000000004000402000000000003 +:1043B00000000000000C00000000200000000000D1 +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000001000000DC +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000100002000AB +:1044F000000000000000000000000C0000000000B0 +:10450000000000000090000000000000000000001B +:10451000000000000000000000000000000000009B +:104520000080000004000000000000000001000006 +:1045300000000000040000000002000000004080B5 +:10454000000000000000000020000000000000004B +:10455000000000000000000000000000000000005B +:104560000400000000300000000000000000000017 +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:104650000000000202000000000000000000000056 +:10466000000000000000000000000000000000004A +:10467000000020000000000000000000000000001A +:10468000000000000000000000200000000000000A +:10469000000000000000000000000000000000001A +:1046A00000000000000004000400000024000008D6 +:1046B00000000000000000000410000000000008DE +:1046C0000000820100000000000000002000000047 +:1046D00000000400000004000000000000000000D2 +:1046E00000000000000004000020000000000000A6 +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000100100000000C8 +:1047E00000000000000000000000000000000000C9 +:1047F0000000000000000000000000000000200099 +:10480000FFFB01801B5F07041300FCFFFF660F071F +:10481000F0F8FFFD1B000000000000000000BFF7E3 +:1048200010B4BFFFAFFA5DCDE7BF01060AF87F7F86 +:10483000FD225553426969EDB7AD6F003F2AFEFD79 +:104840004169F7C437045E7F1348BFFBEFFDA3FF48 +:10485000F2DD026CEFEF86DC15EFED9E87FFF7FBD4 +:10486000FA5CD37DEFFBFFF7FFCEF3FEBFC5681EFA +:10487000FFFFFFFFFFFF000000000000000000003E +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:1049500000000000000000000C000000000000014A +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:10498000000000000C0000201E0090241E0000000B +:104990000C0000000C0000201E00000000000000C1 +:1049A00000002040810220408102204081022040FE +:1049B000810200201E002040810220408102000070 +:1049C0000C002040810248000C2000000C00204018 +:1049D000810220408102204081022040810220404B +:1049E000810220408102204081022040810220403B +:1049F00081022040840200008C02000000000000C0 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD0000000000000000000000000000C000000CA +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B0000000000000000000000000000000048005D +:104B10000020480000200000000048000020002085 +:104B200012000000000048800020D084002048804F +:104B30000020D084002048800020D084002048003D +:104B400000200000000048800020D0840020488021 +:104B50000020D084002048800020D084002048809D +:104B60000020D084002048800020D0840020000055 +:104B700000000000000048800020D08400200000D9 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000FFFF26 +:104C900051F3FF7FFFCC0C040000FF7D0F07F1AF45 +:104CA00000703FA73505000000000F0F5F0A553365 +:104CB0005533555577445533BB11FF00774477443E +:104CC000330F470F55337707AF2333333F303F0C54 +:104CD0000F335555DD11553347473333AF051B1B94 +:104CE000F505FF00BB111B1BAF05FF0053533F0C25 +:104CF0003F0CFFFFFFFF00000000FF00FF00FF0070 +:104D0000FF00FFFFFFFF00000000000000000000A8 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E00000000000000000000000000000000800919 +:104E100000008000000400300000402000408008B6 +:104E200000008000002203000402000024000000B3 +:104E30000001C02000C600000001C02000000400E6 +:104E40000001C020001600000000402000004000CB +:104E50000001402000C0440000018000000260000A +:104E60000001C020008260000001C02000446400F6 +:104E70000001C02000C600000000C020000020008B +:104E80000001402000800000000000000000000041 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000800000000001000400280000000064 +:104FA000001080000000000100001100000000005F +:104FB000000044008000000100E260008000000169 +:104FC00000E24020800000010022000000000000FC +:104FD00000C060008000000000C0400080000001B0 +:104FE000002244008000000100E260008000000117 +:104FF00000E240008000000100E20000000000012B +:1050000000E260008000000000C00000000000001E +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:105110000000000000000000000000000000A000EF +:105120002040E01000000002080000000400000021 +:10513000C4000000C000000000800000C8000000A3 +:105140000004130000004400C80000404640001066 +:1051500000000000000001000000C8000200024240 +:1051600000C820024002000000CD02000000000440 +:105170000093000000020040B300000000000003A4 +:105180000003C000C000000420C8000000000000B0 +:1051900000000300C000000000000000000000004C +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000080000010205E +:1052A00020100000002000000020018020001000DD +:1052B00000000000000000000100000000040000E9 +:1052C00000000800000000000000000000000020B6 +:1052D000042008040000000000002000000000007E +:1052E000020002C0080808800980200000000200B7 +:1052F00000000000000400080080004000080000DA +:105300000000008000800900010000000000000093 +:10531000000000000000020001000000000000008A +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:105410000000000000000000000000000000802FDD +:105420001C283480E3700E0702C01C80029000C567 +:105430007548300E21910C0000000020000080C251 +:105440002931AD8A013000C221BC218001305203D4 +:1054500020281280A1B8224219C03480F160404F48 +:1054600020101C94E2EA0003F4300000E288410FAF +:10547000F4101C804131002410101C40C88842EFF9 +:10548000F5081C09A230400FF4004A400888084F74 +:1054900000081600000000000000000000000000EE +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:1055800020000000000000000000000000000000FB +:10559000000000000000000000000000000000000B +:1055A0000000008703AC0C100D945214E038018405 +:1055B000473260D51700201B25480D000000000E63 +:1055C0000000068223304CA603B44632203A00A0E5 +:1055D00003B406902BAA0CA009304252033808A04D +:1055E0002310060723122ED024604613E0303C60BF +:1055F00002000607E6903E80E138828C91913E00E1 +:1056000000010007E2083E5044390007E0000800AE +:1056100000002240060800C0040000000000000056 +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000080000A2 +:105700000000000008000000000000000000000091 +:105710000000000000000000000000000000000089 +:105720000000000000000000000002004000220015 +:105730000001050806020D020A00000882040200AA +:1057400000000000000010030D380100100022C00E +:1057500010000000148110481C6010000500044077 +:105760008C07020918520300971A00008281106802 +:10577000019820003010082880C224A2300834008C +:10578000204024000800408000B8240008080028B9 +:10579000800600500004040000B800000000000073 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A000000000000000000000008028302C1010D4 +:1058B000010C1844004014E701680805E3438048E0 +:1058C000E0481600000000040000004220005120C3 +:1058D00003948EADD031885644400E08C30028088A +:1058E0004010671082A8A254E4004817832C20C0FF +:1058F00000102002E4881C0CA0320007E8301C00D5 +:10590000E000401488421CA853B588CFF5311C0034 +:105910000110000220083E800138800000300000A5 +:105920000100000000000000000000000000000076 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000200000000000000000000076 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000472 +:105A3000700140804610464C1832102001148645F3 +:105A400001100006F612220000000E27000080025E +:105A5000283910004112004551522914024020C734 +:105A6000593116C6019802405108100042C216E78B +:105A7000110C3480061000427939804608340687BC +:105A800070401C5E0889008410381C8441302087D7 +:105A900071101C5E0998000220001C800130000774 +:105AA00000100000080000000000000000000000DE +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000008000000000000095 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000020000800CD +:105BB0000000202C040028002408400C0C084000A1 +:105BC00000003009002C080008000000000102005D +:105BD000000400001000000141001018000018002F +:105BE000000C014018440008300452043034000016 +:105BF00004000028100200443488004000420208DB +:105C000040040040200008201404102040008000C0 +:105C1000100400242244102000480002200000004C +:105C2000000200002000020000020000010000004D +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D100000000000000000000C00008000000000F7 +:105D2000500000000000000000090000000000001A +:105D300000000400000000040000000100040C0446 +:105D400004000000000029000D0000009450000035 +:105D5000000E000000700000000000000000102095 +:105D600090000C00000C0000140000043000100033 +:105D70000010000009000900200000B0300C400EA7 +:105D800060601D08090E0050000A0C003008000079 +:105D9000700010000000000000000000000440003F +:105DA00000000000000040000000000000000000B3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000100000001 +:105EA00000000000000000000000000000000000F2 +:105EB0000000000020000E00000020280C008000E0 +:105EC0002800114C4C003000000030080018000081 +:105ED000000000000008080040000000000000581A +:105EE00008000000000000000E000C000E3400004E +:105EF00020043004002450004C000E00005C0030F0 +:105F00002C30000000248400300400100000003019 +:105F1000040500003000B000000400343C340030C0 +:105F20000030000030000000000C000030000000D5 +:105F30000004000008000000000000000000000055 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000800000000000068 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000010000040 +:106050000000000000000000000000000000000040 +:10606000000000000000000000040000000000002C +:106070000000200000000000000000000000000000 +:10608000000000000000A000000000002000000050 +:106090000000000000000000000000000000000000 +:1060A0000000000000000000000000002040000090 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D000009000000000000000000000000000002F +:1061E00000000000000000000000000000010000AE +:1061F000000000000000000000000000800000001F +:10620000000000000000000000006000200000000E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:1062300080200000000000000000000000000000BE +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000006000001D +:10632000010000000000000000000000000000006C +:106330000008000000000000000000000000000055 +:10634000000000000000000000000000000000004D +:10635000000000000020000000000000000000001D +:10636000000000000000000000000000000000002D +:1063700000000000000000000000100000000020ED +:10638000000000000000000000000000000081018B +:1063900020000000100000000000000000000000CD +:1063A00000000000000000000000040000000000E9 +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000FFFFFFFF0000F0 +:1064B00000000000000000000000000000000000DC +:1064C000000000000000000000000100770AFFFF4C +:1064D0000A0A0000000003007F00000000007F089F +:1064E0007F7F00000000A7D25AFF2F0D9F068F7FED +:1064F000F2FAA7FB89869AF610ADFFBF9EDCF2F593 +:10650000DA7C13FD0002523CEFDFADBF3FFF007DA0 +:1065100087E9BF04AF05FDA3E0D3FFFF0F0F0F0F07 +:106520000F0FFFD5FF077A7C07E8D5D3CD53CF7F78 +:106530002FFF3CFC1A9C000000006DF0FF2F0000B4 +:106540000000EDA3E0C3FFFD7EEF000000000000AF +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000C0000000000000000008004DA +:106630000C0000000000000000000000000000004E +:10664000000000000000000000000000000000202A +:106650001E0000000C000000000000000C00000004 +:10666000000090040C00000000002040810248005F +:106670000C202040810220408102204081022040E5 +:10668000810220408102204081022040810220407E +:1066900081022040810248000C202040810220C05D +:1066A0008422D0848C22204081022040810220401C +:1066B0008102204081022040810200000000204031 +:1066C0008102000000002040810220408102000081 +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000C00000000000000DD +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000020120058A072A9DFA472B95F80E7 +:1067E00070B9DF8470B95F8070B1000000004800AC +:1067F000002048800020D084002048800020D084E1 +:106800000020480000200000000048000020900404 +:10681000000048800020D084002048800020D084E0 +:10682000002048800020D084002048800020D084B0 +:10683000002048800020D0840020000000000000DC +:106840000000000000000000000048800020D0840C +:106850000020000000000000000000000000000018 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000044080B33770F3FFF44A5 +:1069600033774F4F555F33777575FF305544FFFFD1 +:10697000FFFFFFF77B7B555535355F50AF05FF00B7 +:10698000F505F505BB11BB0BDF57136133493FF527 +:10699000F553CFC3FFF333331D1DF5050F330F0F31 +:1069A0004747BB11CF033333BB11772277443333CF +:1069B0007744BB11BB11FF003F30F3033F0CFFFFD7 +:1069C000FFFF00000000FFFFFFFF00000000333367 +:1069D0000F33353577440000000000000000000050 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB0000000000000000000000000000000402076 +:106AC0000040000000000000000000000000000086 +:106AD000000000000000000000003000040340201F +:106AE000A8501000040300008800B20904038000CD +:106AF000042300000000800000000000000180006E +:106B0000000400000001C020008200000000C0203E +:106B1000004460000001C020402040000001C0206F +:106B200000444400000180000000E0030001C02098 +:106B3000000060000001C020000064000001C020CF +:106B400000440000000040200080000000004020C1 +:106B5000000024000001C0200082000000000000AE +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000002034 +:106C40000000000000400000000000000000000004 +:106C500000000000000000000000000000004120D3 +:106C60008000000040005100800000002800D1009A +:106C70008000000128000000000000010022440004 +:106C800080000001002260008000000100E200009E +:106C90000000000100E2600080000001009260003E +:106CA0008000000100E24000800000010022C400DA +:106CB0008000000100C060008000000100E2400090 +:106CC0008000000100E200000000000000C00000A1 +:106CD0000000000000C040008000000100E2000051 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000300000000000000000000000000C0 +:106DD00000000000000000000000000000000000B3 +:106DE000000080C8C8C0808144EA81D3C0C0C0858B +:106DF0008013C8C8A0C0C40380A300000000C00363 +:106E0000C1000000000400C8000040130080000022 +:106E100000000400000200000520C8000000DBCCD8 +:106E2000010A4000138000000000010000930000F0 +:106E3000000000024043134002000213000500005E +:106E4000000000130100000000000040C00000002E +:106E50000000000000000002C0000000130000005D +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000002000000000000000000021 +:106F50000000000000000000000000000000000031 +:106F60000000000000008000002080030000A0025C +:106F700080208002800400000002000880020000DF +:106F80000020018021000000000000000000090036 +:106F900000040000000020211482040220000008E8 +:106FA00000200000208001000004000008002008EC +:106FB00000040000000000800148004002000200C0 +:106FC0000000000000002000200000000800004039 +:106FD000010800000000000800000080010000001F +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000468000020007D1 +:1070F000E900000004B02C0040720C00F408080401 +:1071000000000000623C1000C0000043217A0058DB +:10711000CA01084361BC2D8102624E9FF7102D64A5 +:10712000AAC80C8411102D0EE060006411BC5244FA +:10713000BAC8808710BCC006B000204401B80D005A +:10714000000080122809000000004F8FF7081C0182 +:10715000C036800200801C000100400F00000C407F +:107160000A00810228091404000200000000000047 +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:107260000000000000000000000000000000B087E7 +:107270002010284002001C8001081C00C09100A0C2 +:1072800001301C085010000060001C00C8020023E0 +:107290002038084020092C8364380CD004602687ED +:1072A000E1504C40A8424CB489560C27E2600004DF +:1072B00082381040F0400047823A0C8C01840EA0C6 +:1072C00000B812400400068223880010040007A7BB +:1072D000E1083E00003000020038022003000007F1 +:1072E0000000014000000E22208836202284000089 +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F00000002000051500002000B000841050019E +:107400000408400021D01904000E00004281180039 +:107410001446003C412114141289403CC103000071 +:107420004652850020080100148143289002800202 +:107430002A52081461250002061001239602042135 +:107440000404000409240100000011C100601801B7 +:1074500000C30128801A00A02020000100000400C1 +:107460000000002800000000000008012240240263 +:107470000481000000000000000000000000000087 +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:1075700000000000000001188E419489E100000025 +:1075800088010C06C00C324066300C0E0070000002 +:10759000E3300C0020080804000014C04160000320 +:1075A000630832C840604C37EA321554E4400C247A +:1075B00088008CCC00606C15C012140040000CA731 +:1075C00081BC08D0E03803181A110180C500860A72 +:1075D00032010890AD3208248AB03E6002C44015E2 +:1075E00000300000010000040030006002006095DF +:1075F000C7BE1C90453100000000000000000000E4 +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000E0400082DC083 +:107700000502000000000306D010004080000000C9 +:10771000D00000E0790101002000200484305016E0 +:107720000261002360841086C66400477036142FFF +:10773000E2401C0410004E84066408255030561E9A +:1077400004040043111001040430208500280016B1 +:1077500010011692200A2984A130008411A81C006F +:107760000240000500801C400900000400802C003D +:107770000200084550081C80C130000000000000D5 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000400040000000044044 +:1078800000080000008004400000200008400C02B6 +:107890000010000008080000000040004000201018 +:1078A00010001C5000100418101180800004000803 +:1078B000404A088000080424041C8C20002000207A +:1078C0002421000400200004025448080C844222B1 +:1078D00014240880001480028482002050080420B0 +:1078E00040321400000420020022400420441020F2 +:1078F0002404210000040000000000000004000037 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000208040000080006020000000058 +:107A1000000D047E0030090200600000000000003C +:107A2000000008102860183990300018281D9000B8 +:107A30007070601800486C00B07800BE0018040038 +:107A400000009096000A090A000A00000E000408CF +:107A50000800000A280004009A00500E00000000F0 +:107A6000300C400009200000A00000000000500081 +:107A700000000900000009000000000000000000F4 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B80001000300000000C000100000001300C303B +:107B90000000200000200C0C00000000000000008D +:107BA0000070300020000B000B00003000000000CF +:107BB000010C1030000800D0000000310000040467 +:107BC0000C08140000000030303C00080A10000CC3 +:107BD0000C34300048380018012000300008300C08 +:107BE000283C00100E000830303C08000000300C2B +:107BF000003C100C3034003034781C0000080000C9 +:107C00000000000000040000000000000000000070 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000C00000000000088 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000001000000000000053 +:107D20000000000000000000002000000000000033 +:107D30000000800000000000000000008000000043 +:107D400040000000000000000000000200000000F1 +:107D50000000A00000000000000000000000000083 +:107D6000000000000000040000000000000000000F +:107D700000000000000000002000000000000000E3 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E6000000000000000000C000000000001000005 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E90000000000000000000000000000090000052 +:107EA00000000000000000000000000000000000D2 +:107EB0000000000000003000200000000000000072 +:107EC0002000000000000000000000000000000092 +:107ED0000000000000006000000000000000000042 +:107EE0000000000000000000000000000000000092 +:107EF0000000003000000000000000000000000052 +:107F000000000000000000000000000080000000F1 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE00000000000000000000000004401008200CA +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000868 +:1080100000000000000000000081000000000000DF +:108020000020000000000000000000000000000030 +:108030000010000000000000000000002000000010 +:108040000000000000000008000000000000000028 +:1080500000000000000000000000C0000000000060 +:108060000000000000000000000000000000080008 +:1080700000000000000000200000000000000000E0 +:1080800010000000000000000000000000000000E0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A0000000000000000000000000000000BFFC14 +:1081B000103DCFC3031696FE1AFFFFFFFFFFFFD748 +:1081C000F27ABBBE8B867F07E47B73FEC112FFE6AB +:1081D0009FFFE093E953855D57FF33F53305A5F322 +:1081E000A7E3611E183D107C18F8270F0F33F97AAA +:1081F000FFFBFF8F9FFED7FEDEBD5D865753FFFF5F +:10820000FFFF00799F92BFED62EA2DFF6C1E000018 +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F0000C00000000000000000000000000000072 +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:108330000000204081022040810220408102000094 +:108340008C02204081022040810220408102204096 +:1083500081022040810220408102204081024800A9 +:108360000C202040810220408102204081024800F0 +:108370000C202040810220408102204081022040C8 +:10838000810200008C0220408102204081022040B6 +:10839000810200000000000000000000000000005A +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000040000000000000000000000F8 +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000048800020D0840020488098 +:1084C0000020D084002048800020D08400204880F4 +:1084D0000020D084002048800020D08400204880E4 +:1084E0000020D084002048800020D08400204880D4 +:1084F0000020D084002048800020D08400204880C4 +:108500000020D084002048800020D08400204880B3 +:108510000020D084002000000000000000000000C7 +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:1086300000000000000000000000333377222727ED +:108640005F0A5555BB111B1B77223333333333334A +:10865000333333337744F3033F0CFF003333333387 +:108660003333333335357744F30333332727330F2D +:10867000774433333535AF051B1B3333F3033F30BA +:108680003F30FF00330F3F0C77443333F303F303E2 +:108690003F0CFF00DD1147473535000000000000AA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B0000000000000000000000000000000240095 +:1087C0000001C020008200000001402000400000A5 +:1087D0000001C02000C604000001C02000C6400007 +:1087E0000001C020008A40000001402000C0440079 +:1087F0000001C02000C66000000140200040600071 +:108800000001C020004464000001C02000002000DE +:1088100000018000000020000001C0200082000054 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:10894000000040008000000100E2440080000000C0 +:1089500000C060008000000100E2400080000001D3 +:1089600000E2440080000001008A600080000000F6 +:1089700000C040008000000100E2440080000000D0 +:1089800000C060008000000100E2400080000001A3 +:1089900000E244008000000100226000800000012D +:1089A00000E20000000000000000000000000000E5 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC000000000000000000000C800000044004456 +:108AD0000004000020CC0000000000001300000093 +:108AE0000000C84000000200000500C8C8000000E7 +:108AF000A0000000C800000000000000C845000001 +:108B0000C800000000000204C800000000000000CF +:108B1000C802010000000002C8000004400000007C +:108B2000C80000004000000000000000000000003D +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000040004000000800000000001004000078 +:108C600000000004000000400000020000000000BE +:108C700000080000000800000000000C00000000D8 +:108C80000040000000000010000002000000000092 +:108C900008000000008020000000008000000000AC +:108CA00001000800000000000100000000000000BA +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC0000000000000000000000000000000200281 +:108DD00020304A00E000800400BC16000002400F72 +:108DE000F44A31800370406FF5AC0000E110880058 +:108DF000F4282D400A40005470082C00007000C375 +:108E000063101C560888004711301489016001A3C3 +:108E100060BC144008600DA770084A1E0240015053 +:108E200061080000E0446C0FF4083540C888000079 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F500000004E3220320810040000840038000067 +:108F600020000007E00810D005700087E3282210D9 +:108F700005100000E06C0C4000484094E34C0020D9 +:108F800002F4800B70911D000000800F00B0218062 +:108F900001B6828B7139150810698F0FF2090840EC +:108FA000C04A06807189080000410F07E0081400DC +:108FB0004800000000000000000000000000000069 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000868901A24519C461141CD +:1090E0000C2040F114000840200000500046100001 +:1090F000212000008A5204690004005000892000E9 +:109100004105000224002840044003010A10002801 +:10911000416200A020002800090002000008002889 +:10912000213800A000082000094002020820012880 +:1091300080BA2401080000000000000000000000C8 +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000607E0001C40C5 +:10926000A0484814030002C020004E93632E004023 +:1092700000485E936B2E6EC000600E84F3712A80EE +:10928000010042156BB80D90C5608E8FF1B1118051 +:1092900001300922E2026000A010860FFBA914A091 +:1092A00003B42EC26416080008000700FA810C00FF +:1092B00000B406822F301C000110000000000000E6 +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D00000000000000000000000000000002E87D8 +:1093E00070041C0AA24000C401B0148822002EE3BD +:1093F000612C210002402EA360300C86066420E41C +:109400007128088001001665E430119E01E8089774 +:1094100071B0108855342E0220081246B89A088779 +:1094200070B056800130008260084A40C8880C80C5 +:10943000703001000030004221081C44D99A00001D +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000001000000000000001000002A +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:10956000000000000000800000040010080042001D +:109570004030000010101800040400201050280093 +:10958000008C0420102410000042242024004C44AD +:10959000402811049144440A400928482102192016 +:1095A000042021049940000021002120218204018F +:1095B000010821000122004080002000000000027C +:1095C0000004000000000000000000000000000097 +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A000000000000000000000000020000000009A +:1096B00000300000000A0000000000000000000070 +:1096C00000000090000000000000000000000E00FC +:1096D0000000000000000C0000000000000070000E +:1096E000000000000000000000000000000000304A +:1096F00074007C0008164009101D2400B0BD004015 +:10970000000008000D9C300010501900000E4800A9 +:109710007C90007A000C20000000500870080C407B +:10972000A00024000DB03902080036000D00000032 +:109730000000400D000409400000007000000940D6 +:1097400000007000000009000D0000000000A000F3 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:10982000000000000000000000000000000C0080AC +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000001000000000007 +:1098600000000000000000000000000000003000C8 +:10987000000400000E0001002B00000000000000AA +:1098800078080E00703000000014880000200000EE +:10989000011C192E3500103C000008041838180C63 +:1098A000300C3E303C0C08300800AC0428308A00F4 +:1098B0003C0038303C3C0C0C080038007C3C00304C +:1098C000200030000000000800040000000000003C +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000020000047 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000020000000000A000A4 +:109A20000000000000000000000000000000000036 +:109A30000000040000000000000000000000000022 +:109A400000000000200000000000000000000000F6 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B400000003000000000000000000000000000E5 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000020000000C5 +:109B800000800080200000000000000000000000B5 +:109B9000000000000000000020000000080000009D +:109BA0000000600000000000000000000000000055 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000002000000000000000000074 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00002000000000000000200000000000000013 +:109D10000000000000000000000000002000000023 +:109D20000000000000000101000000000000200011 +:109D3000000000000000000000000800000000001B +:109D40000000000000000000000000001000000003 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E70000000000000000000000000000000AEEF45 +:109E800018EFB7FBFB96AEDEC316D76EFBFF6EC3B3 +:109E90000006E47D57D9FFE210FCFCF585417BFB11 +:109EA000DFFFFFDFFBF61DF70AE8E7FFC128EFA0A1 +:109EB000FFA710794165FFFFFFFF4E9F57FEE7D1D7 +:109EC000EF9FFFCA80EEEFD367F1FFFFFFFFF2BB0A +:109ED0005DFB00000000000000000000000000002A +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB0000000000000000000000000000C00000095 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000204081022040810220408102204047 +:10A0100081022040810220408102204081022040B4 +:10A0200081022040810220408102204081022040A4 +:10A030008102204081022040810200008C022040E9 +:10A0400081022040810220408102204081020000E4 +:10A050008C0220408102000000000000000000008F +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000040000000000000000000000000000000B +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A180000000000000000000000048800020D08493 +:10A19000002048800020D084002000000000000043 +:10A1A000000048800020D084002048800020D08417 +:10A1B000002048800020D084002048800020D084E7 +:10A1C000002048800020D084002048800020D084D7 +:10A1D000002048800020D084002048800020D084C7 +:10A1E000002000000000000000000000000000004F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000FFFFFFFF000000003333EB +:10A3100033555F0A5F0A55553355AF057722516FA4 +:10A320001200972F124833330F33DD113355FF00DE +:10A330000F337744CF03FF005F0ACF037722FF007C +:10A340001B1B1D1D3F30FF00FF00FF00FF00FF0033 +:10A350001B1B3F0CF5053333CF03330F4747333314 +:10A360005F503F30AF05000000000000000000001B +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A48000000000000000000000000000000080004C +:10A49000000000000001C020008200000001C02078 +:10A4A000004400000000C020004440000001C02023 +:10A4B000004440000001C020004444000001C020CE +:10A4C0000044600000014020004060000001C02006 +:10A4D000008264000001C020008220000001800092 +:10A4E0000002200000010000000000000000000049 +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A6100000000001002244008000000100E2600010 +:10A620008000000100E200000000000100E24400A0 +:10A630008000000100E260008000000100E24000B4 +:10A640008000000100E244008000000000C06000C3 +:10A650008000000100E240008000000100E24400B0 +:10A660008000000100226000800000000000000067 +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000050003000000000000B1 +:10A7A00013000100001300800000C000C200C303BA +:10A7B000040500000000C805400000000000C802B9 +:10A7C000A000000000C800020000201300000000EC +:10A7D00000000000C800000000050000C8000002E2 +:10A7E000000000134000400500000000C800000009 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A9100000000000000000000004000000800000B3 +:10A9200000000000000030000000000400002103CF +:10A93000030003824000000000000000010000004E +:10A9400020100080000000000000009000000000C7 +:10A9500000000000000410000000000000000000E3 +:10A960000000008000000000010001000000000065 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA90000000000000000000000000006000000056 +:10AAA0000040404FF5300C80A260406FF510080068 +:10AAB0000240226000001C882370815558111C0838 +:10AAC00001106C8FF5520044E8888C04F49400085F +:10AAD0000664522F00B40844C8004E8FF5B40880B5 +:10AAE0000130420FF48C1C1EC64400C01BB5000987 +:10AAF000C040008000847018400100000000000089 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC200060003C0000480827E0300DC040690C87F8 +:10AC3000E3540940D048020002009DC6D1780E8539 +:10AC4000C3541D0001180387E8100100000016A47A +:10AC5000E91000404060000702300100F8000DA735 +:10AC6000E930099005300027E0083E40B8402E004A +:10AC700080301C0040C52E80050010002000000020 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000C0030100000C9000013802A167 +:10ADB0001E181000010402A1400824944A0102A6B2 +:10ADC00020890981020403A240080128840202525A +:10ADD000800402012004180209A10000028002522E +:10ADE000000002002000020000C305800280000075 +:10ADF0000330810022803000180000800000000035 +:10AE00002000000000000000000000000000000022 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000600008000008A00A07 +:10AF300030011E800130200362301004C0001C83E9 +:10AF400061004853AC42882DD3311500C11008C4AC +:10AF5000843C0880C1384014CA1214C000308C8F61 +:10AF60000588008011004014803E089005300803D9 +:10AF700060883E8001310CA0C1321C0000000140FD +:10AF80000040400000010000000000000000000040 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A0000000000000000000000000006000000040 +:10B0B0000000020220B840840534401BE450D24412 +:10B0C000C8894ECBE5004A0AA240028551141440BB +:10B0D000499A008410344A80013200A4513456C584 +:10B0E0005A30014700300A960100000410344A80AB +:10B0F0000130408BE5301C81C534024050340000E3 +:10B1000040880E80000801060000000000000000DA +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000080000000000001004000010400C000096 +:10B240000080000040000050000404000248380064 +:10B250002440042C0C4C2000002000320000240468 +:10B26000444C024000840400440000024042400478 +:10B2700080804800400A2400002000004024800014 +:10B28000400020002004000000000000000000003A +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B0000000000D040000000000003000B0061086 +:10B3C0007A0000B0900D0000700000300042064688 +:10B3D000000C000E501900B00400000000B0000086 +:10B3E0000000000A0D04720E000A02B00D00425A5D +:10B3F000000600000D0000000004000000004000F6 +:10B4000000000000007000000000000000000000CC +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400008000A0030040000001000000000002085 +:10B55000000804000430000008900C2C0C3410008B +:10B560000010002C00003C0838380C300034080073 +:10B570003C00000C001C000C10301000300C0C00C3 +:10B58000002070003008100030001000100400008F +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D0000000000000004000000000000000040026 +:10B6E00020000000000000000000A0020000040094 +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000100200000000000C7 +:10B8600000000100800000000000000000006004F3 +:10B8700000000000000000000000000000000000C8 +:10B8800000000100000000000000000000000000B7 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F000000002000000080000000000000000003D +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB0000000000000000000000001081080000009C +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB50000000BDF318FF000000000000000000001E +:10BB6000FF00FF00FF00BF103535875F1F0EFFFF8E +:10BB7000FFFF74C0EFFFC3FF58FAFFFFFFFFFD1A7E +:10BB8000F4BDFFFFFFFFF7FDFDBB701E526D00000F +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000C40000000000081E7 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000002040810200000000000081 +:10BCE000000020C08422D0848C2248000C20002038 +:10BCF0001E0000008C0220408102204081020000D2 +:10BD00008C022040810200008C02204081022040F1 +:10BD100081020000000000000000000000000000A0 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE000000000000000000000000000004400000EE +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000004800002048800020D08400204820A6 +:10BE700012200000000048800020D08400204800EC +:10BE800000200020120048800020D0840020000004 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD00000000000000000000000FFFFFFFF000065 +:10BFE0000000FFFFFFFF0F1B1B27555555555555EB +:10BFF0005555FDCFFD00FFFFFFFFFF00FF00FF00D5 +:10C00000FF007545550F00223331FF00FF00FF0090 +:10C01000FF00FFFFFFFF00000000FFFFFFFF000029 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000008000000E000000000000000004003D +:10C170000001C02000C081020402800008244000A9 +:10C180000001800000040000000140200041600028 +:10C1900000014020008000000000C02000000000DE +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C290000000000000000000001800000000000086 +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000100020000000000004B +:10C2F0000000C010800000010090830000000001D9 +:10C300002000600080000001000640008000000066 +:10C31000409044008000000000C0000000000001C8 +:10C3200000E200000000000000000000000000002B +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C4100000000000000000000000000000400000DC +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C46000000000000000A000000000000003000029 +:10C470000000C00000C0000040000013004300C0E6 +:10C480000000C40000005300200040000080400075 +:10C490002080200000040000C800200000000000F0 +:10C4A000C000C08000400000C0000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F0000080000000000100004000000100000079 +:10C60000004880218040000000410100000001003E +:10C610000004010000020000000000000000000013 +:10C6200000000000010001040040000001000000C3 +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C77000F4000100003000D003042C002000000D64 +:10C7800000BC0A000000000820808C5802B02EE097 +:10C79000F53000000044028201905C81F100626F7C +:10C7A00000301D000600400FF4001CC00AE8000025 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0002000000000000000000000000000000058 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F00000000000E0001400003000800300000091 +:10C9000020000000003810E0000010058038010110 +:10C9100001000F80E3741C00004048320A388C90FC +:10C92000050002070274004000000007E0001CC080 +:10C930000060000000000000000000000000000097 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000080000086 +:10CA300000000000080000000000000000000000EE +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA70000000000000000000200400000042000050 +:10CA80000820000028000000002050411404101865 +:10CA9000D0020520002000802024100000A194284E +:10CAA00008100854000004A800000800010000005D +:10CAB0000004185000040000000000000000000006 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF000000000000000000000000000803A20005B +:10CC0000006000000B380200E0000010000C32C091 +:10CC1000000863040034140001380000C00A3C001E +:10CC200000300004080C0286D101000400542200E8 +:10CC30000100401530313E40004800000000000077 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD30000000000000200000E000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD80001000000000E8008000321000F0020003F4 +:10CD9000003010000400020300000021081400000D +:10CDA00050300000003000440000158501000004F0 +:10CDB00000081C400900000520001C000240000083 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000002000000000000052 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF000000000000000000000000000010000020F1 +:10CF10000008408000504040204040000130401058 +:10CF20005020002000200080403820100080008029 +:10CF300000000000000000004002000000000000AF +:10CF40004000000000000010000000000000000091 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D040000000000000000000000000500000000090 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D0900008000000000002000010009008080000D6 +:10D0A000703E0010360A09000DB00000502000004C +:10D0B000007900B00006720A000008050006007C36 +:10D0C0000D0042000000000000B0000000B00D00A4 +:10D0D0000000000000000D00000000000000000043 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C0000000000000000000000000000000000A55 +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000001000000000000001D +:10D21000000000000100000000000030003030106D +:10D2200021111000002010003000003000300030CC +:10D23000103030000030003000000000000001001D +:10D2400000040001000000000000000000000000D9 +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000200000000000000000000000000CB +:10D36000000000000000000000000080000000003D +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000010000000000009C +:10D39000000000000000000000000000000000008D +:10D3A0000000000000000000000004020030000047 +:10D3B000000000000000A0000000200000000000AD +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000100000000000000000000000000003C +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000400000000000001C +:10D4D0000000000000000C00000000000000000040 +:10D4E000000000000000000000000000000000300C +:10D4F000000000000000000000000000000000002C +:10D500000000000000000000000000000004000017 +:10D51000000000000000000000000000010000000A +:10D5200000000000000000000000000000000100FA +:10D5300000B00080000000000000600C00008000CF +:10D54000802000000000000000000000000000003B +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D64000000000000000000000000000004000009A +:10D650000000000080030000000000000000000047 +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000002000000000006A +:10D6A000000000000000000000000000000000007A +:10D6B00000000000002000000000000000008204C4 +:10D6C000000000000020000000000000000000003A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D00000000000000010810800000000000000B0 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D950000000000000000000000000810000000046 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F0000000000000000000000800000000000012 +:10E700000800000000000000000000000000000001 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA0000002000006800000000000000000000007E +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000800000000000000000000005 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED10000000000000009000000000000000000063 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F31000000000000000000000600000000000008D +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040003F7 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000048000000000000000000000000000050 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000010000000000000000000000000006 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000080000000000000000000000000000002 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE00000000000004800000000000000000000B9 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A00000000000000D000000000000000000001D +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000080000000000000000000000000000018 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000004000000000000000000000A7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A10000000000000800000000000000000000036 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000001000000000000055 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000001000000000000025 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB00000000000000000000000005005000000BC +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:103130000000000000280000A000000000000000C7 +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000020000000025 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B00000000000000000000000000000000000C99 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E00000000000000000000000000000000008022 +:104E1000080000000000000000000000000000008A +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000008000000000000000000000000091 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000001000000000000000D2 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000005000000010 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000048000000080000A2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000008000000000000000000000000000058 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:10849000000000000000000000800000000000005C +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:108610000000000000000000000000000048000012 +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B8200000480000000000000000000000000000D0 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A000000000000000000008000000000000008F +:10B9B0000000000000000000008000000000000007 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000100000014 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000080000000000000000000000000000082 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000480000000000000000000039 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E590000000000000000000003800000000000043 +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA000000000000000000000080000000000005D +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000030000000000000000000000000000022 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000004000000B6 +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000100000000000000000000000000000028 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040004F6 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:1034600000000000000000000000000000001081CB +:103470000800000000000000000000000000000044 +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F000000000810000000000000000000000004A +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000002000000000000000000000007E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:104390000000000000000000080000000000000015 +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:104510000000000000880000000000000000000013 +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:1046900000000000000000000020000028000000D2 +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:1048700000000000000000000000000000800000B8 +:1048800000000000000000000000008000000000A8 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A000000000000000000000000000000000788F +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000001000000000000000801AD +:104A0000000100000000000D010000000000008017 +:104A10000000000A0000000E00000000000000007E +:104A20000000000000000000000000000000000086 +:104A30000000000000000100000000000000000075 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000100000000000000000000000074 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B800000000100003000000000000000000000F4 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD0000000000000000080000000000000000054 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000100000000042 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB0000060000000000000000000000000000091 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000B40A0C000180A3 +:1052C000000000500C000180000000C0040000003D +:1052D00004000000040000000400000004000000BE +:1052E00004000000040000000400000004000000AE +:1052F000040000000400000004000000040000009E +:10530000040000000400000004000000040000008D +:10531000040000000400000004000000040000007D +:10532000040000000400000004000000040000006D +:10533000040000000400000004000000040000005D +:10534000040000000400000004000000040000004D +:10535000040000000400000004000000040000003D +:10536000040000000400000004000000040000002D +:10537000040000000400000004000000040000001D +:10538000040000000400000004000000040000000D +:1053900004000000040000000400000004000000FD +:1053A00004000000040000000400000004000000ED +:1053B00004000000040000000400000004000000DD +:1053C00004000000040000000400000004000000CD +:1053D00004000000040000000400000004000000BD +:1053E00004000000040000000400000004000000AD +:1053F000040000000400000004000000040000009D +:10540000040000000400000004000000040000008C +:10541000040000000400000004000000040000007C +:10542000040000000400000004000000040000006C +:10543000040000000400000004000000040000005C +:10544000040000000400000004000000040000004C +:105450000C000180000000A00C000580000000008E +:105460000C0000800000FAEA0C000180000000B08F +:10547000040000000400000004000000040000001C +:00000001FF diff --git a/Cursor/hds/.hdlsidedata/_cursorcircuit_entity.vhg._fpf b/Cursor/hds/.hdlsidedata/_cursorcircuit_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_cursorcircuit_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_cursorcircuit_struct.vhg._fpf b/Cursor/hds/.hdlsidedata/_cursorcircuit_struct.vhg._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_cursorcircuit_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/Cursor/hds/.hdlsidedata/_lcddisplay_entity.vhg._fpf b/Cursor/hds/.hdlsidedata/_lcddisplay_entity.vhg._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_lcddisplay_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/Cursor/hds/.hdlsidedata/_lcddisplay_fsm.vhg._fpf b/Cursor/hds/.hdlsidedata/_lcddisplay_fsm.vhg._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_lcddisplay_fsm.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/Cursor/hds/_cursorcircuit._epf b/Cursor/hds/_cursorcircuit._epf new file mode 100644 index 0000000..ad4dfe3 --- /dev/null +++ b/Cursor/hds/_cursorcircuit._epf @@ -0,0 +1,3 @@ +TOP_MARKER atom 1 +DEFAULT_ARCHITECTURE atom studentVersion +DEFAULT_FILE atom cursor@circuit/student@version.bd diff --git a/Cursor/hds/cursor@circuit/student@version.bd b/Cursor/hds/cursor@circuit/student@version.bd new file mode 100644 index 0000000..6102de8 --- /dev/null +++ b/Cursor/hds/cursor@circuit/student@version.bd @@ -0,0 +1,4837 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\student@version.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\student@version.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "studentVersion" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursorCircuit" +) +(vvPair +variable "date" +value "13.06.2019" +) +(vvPair +variable "day" +value "Thu" +) +(vvPair +variable "day_long" +value "Thursday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "cursorCircuit" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "student@version.bd" +) +(vvPair +variable "f_logical" +value "studentVersion.bd" +) +(vvPair +variable "f_noext" +value "student@version" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "13.06.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "13:07:15" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "D:\\Users\\Syslo\\Chronometer\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../Cursor/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "D:\\Users\\Syslo\\Chronometer\\Synthesis" +) +(vvPair +variable "mm" +value "06" +) +(vvPair +variable "module_name" +value "cursorCircuit" +) +(vvPair +variable "month" +value "Jun" +) +(vvPair +variable "month_long" +value "June" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\student@version.bd" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursorCircuit\\studentVersion.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME/bin/lin64" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "student@version" +) +(vvPair +variable "this_file_logical" +value "studentVersion" +) +(vvPair +variable "time" +value "13:07:15" +) +(vvPair +variable "unit" +value "cursorCircuit" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "studentVersion" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 41,0 +optionalChildren [ +*1 (Net +uid 209,0 +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 1,0 +) +declText (MLText +uid 210,0 +va (VaSet +isHidden 1 +) +xt "26000,1000,37800,2200" +st "reset : std_ulogic +" +) +) +*2 (Net +uid 217,0 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +declText (MLText +uid 218,0 +va (VaSet +isHidden 1 +) +xt "26000,-2600,37900,-1400" +st "clock : std_ulogic +" +) +) +*3 (Grouping +uid 812,0 +optionalChildren [ +*4 (CommentText +uid 814,0 +shape (Rectangle +uid 815,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "152000,144000,171000,146000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 816,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "152200,144400,167600,145600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 817,0 +shape (Rectangle +uid 818,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "120000,144000,146000,146000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 819,0 +va (VaSet +fg "32768,0,0" +font "Verdana,12,1" +) +xt "126150,144300,139850,145700" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 820,0 +shape (Rectangle +uid 821,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "125000,150000,146000,152000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 822,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "125200,150400,143300,151600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 823,0 +shape (Rectangle +uid 824,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "146000,144000,152000,146000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 825,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "146200,144400,150900,145600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 826,0 +shape (Rectangle +uid 827,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "125000,146000,146000,148000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 828,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "125200,146400,140400,147600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 829,0 +shape (Rectangle +uid 830,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "120000,146000,125000,148000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 831,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "120200,146400,123600,147600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 832,0 +shape (Rectangle +uid 833,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "120000,148000,125000,150000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 834,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "120200,148400,123600,149600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 835,0 +shape (Rectangle +uid 836,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "146000,146000,171000,152000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 837,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "146200,146200,160300,147400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*12 (CommentText +uid 838,0 +shape (Rectangle +uid 839,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "125000,148000,146000,150000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 840,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "125200,148400,145800,149600" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 841,0 +shape (Rectangle +uid 842,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "120000,150000,125000,152000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 843,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "120200,150400,124500,151600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 813,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "120000,144000,171000,152000" +) +oxt "13000,22000,64000,30000" +) +*14 (PortIoIn +uid 1991,0 +shape (CompositeShape +uid 1992,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1993,0 +sl 0 +ro 270 +xt "42000,39625,43500,40375" +) +(Line +uid 1994,0 +sl 0 +ro 270 +xt "43500,40000,44000,40000" +pts [ +"43500,40000" +"44000,40000" +] +) +] +) +tg (WTG +uid 1995,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1996,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "35900,39300,41000,40700" +st "restart" +ju 2 +blo "41000,40500" +tm "WireNameMgr" +) +s (Text +uid 1997,0 +va (VaSet +) +xt "35900,40700,35900,40700" +ju 2 +blo "35900,40700" +tm "SignalTypeMgr" +) +) +) +*15 (Net +uid 2004,0 +decl (Decl +n "restart" +t "std_uLogic" +o 9 +suid 3,0 +) +declText (MLText +uid 2005,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-17000,5800,-7400,6800" +st "restart : std_uLogic +" +) +) +*16 (PortIoIn +uid 2308,0 +shape (CompositeShape +uid 2309,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2310,0 +sl 0 +ro 270 +xt "42000,65625,43500,66375" +) +(Line +uid 2311,0 +sl 0 +ro 270 +xt "43500,66000,44000,66000" +pts [ +"43500,66000" +"44000,66000" +] +) +] +) +tg (WTG +uid 2312,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2313,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "34300,65300,41000,66700" +st "testMode" +ju 2 +blo "41000,66500" +tm "WireNameMgr" +) +s (Text +uid 2314,0 +va (VaSet +font "Verdana,12,0" +) +xt "34300,66700,34300,66700" +ju 2 +blo "34300,66700" +tm "SignalTypeMgr" +) +) +) +*17 (Net +uid 2321,0 +decl (Decl +n "testMode" +t "std_uLogic" +o 12 +suid 4,0 +) +declText (MLText +uid 2322,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-17000,5800,-6600,6800" +st "testMode : std_uLogic +" +) +) +*18 (PortIoOut +uid 2942,0 +shape (CompositeShape +uid 2943,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2944,0 +sl 0 +ro 270 +xt "160500,-9375,162000,-8625" +) +(Line +uid 2945,0 +sl 0 +ro 270 +xt "160000,-9000,160500,-9000" +pts [ +"160000,-9000" +"160500,-9000" +] +) +] +) +tg (WTG +uid 2946,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2947,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "163000,-9700,182600,-8300" +st "testOut : (1 TO testLineNb)" +blo "163000,-8500" +tm "WireNameMgr" +) +s (Text +uid 2948,0 +va (VaSet +font "Verdana,12,0" +) +xt "163000,-8300,163000,-8300" +blo "163000,-8300" +tm "SignalTypeMgr" +) +) +) +*19 (Net +uid 2955,0 +decl (Decl +n "testOut" +t "std_uLogic_vector" +b "(1 TO testLineNb)" +o 21 +suid 5,0 +) +declText (MLText +uid 2956,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,800,20600,1800" +st "testOut : std_uLogic_vector(1 TO testLineNb) +" +) +) +*20 (PortIoIn +uid 3259,0 +shape (CompositeShape +uid 3260,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 3261,0 +sl 0 +ro 270 +xt "42000,35625,43500,36375" +) +(Line +uid 3262,0 +sl 0 +ro 270 +xt "43500,36000,44000,36000" +pts [ +"43500,36000" +"44000,36000" +] +) +] +) +tg (WTG +uid 3263,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3264,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "35100,35300,41000,36700" +st "sensor1" +ju 2 +blo "41000,36500" +tm "WireNameMgr" +) +s (Text +uid 3265,0 +va (VaSet +font "Verdana,12,0" +) +xt "35100,36700,35100,36700" +ju 2 +blo "35100,36700" +tm "SignalTypeMgr" +) +) +) +*21 (Net +uid 3272,0 +decl (Decl +n "sensor1" +t "std_uLogic" +o 10 +suid 6,0 +) +declText (MLText +uid 3273,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,800,10100,1800" +st "sensor1 : std_uLogic +" +) +) +*22 (PortIoIn +uid 3274,0 +shape (CompositeShape +uid 3275,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 3276,0 +sl 0 +ro 270 +xt "42000,33625,43500,34375" +) +(Line +uid 3277,0 +sl 0 +ro 270 +xt "43500,34000,44000,34000" +pts [ +"43500,34000" +"44000,34000" +] +) +] +) +tg (WTG +uid 3278,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3279,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "35100,33300,41000,34700" +st "sensor2" +ju 2 +blo "41000,34500" +tm "WireNameMgr" +) +s (Text +uid 3280,0 +va (VaSet +font "Verdana,12,0" +) +xt "35100,34700,35100,34700" +ju 2 +blo "35100,34700" +tm "SignalTypeMgr" +) +) +) +*23 (Net +uid 3287,0 +decl (Decl +n "sensor2" +t "std_uLogic" +o 11 +suid 7,0 +) +declText (MLText +uid 3288,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,800,10100,1800" +st "sensor2 : std_uLogic +" +) +) +*24 (PortIoOut +uid 3517,0 +shape (CompositeShape +uid 3518,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 3519,0 +sl 0 +ro 270 +xt "140500,59625,142000,60375" +) +(Line +uid 3520,0 +sl 0 +ro 270 +xt "140000,60000,140500,60000" +pts [ +"140000,60000" +"140500,60000" +] +) +] +) +tg (WTG +uid 3521,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3522,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "143000,59300,149300,60700" +st "motorOn" +blo "143000,60500" +tm "WireNameMgr" +) +s (Text +uid 3523,0 +va (VaSet +font "Verdana,12,0" +) +xt "143000,60700,143000,60700" +blo "143000,60700" +tm "SignalTypeMgr" +) +) +) +*25 (Net +uid 3530,0 +decl (Decl +n "motorOn" +t "std_uLogic" +o 18 +suid 10,0 +) +declText (MLText +uid 3531,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,800,10500,1800" +st "motorOn : std_uLogic +" +) +) +*26 (PortIoOut +uid 4255,0 +shape (CompositeShape +uid 4256,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4257,0 +sl 0 +ro 270 +xt "140500,67625,142000,68375" +) +(Line +uid 4258,0 +sl 0 +ro 270 +xt "140000,68000,140500,68000" +pts [ +"140000,68000" +"140500,68000" +] +) +] +) +tg (WTG +uid 4259,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4260,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "143000,67300,147200,68700" +st "side1" +blo "143000,68500" +tm "WireNameMgr" +) +s (Text +uid 4261,0 +va (VaSet +font "Verdana,12,0" +) +xt "143000,68700,143000,68700" +blo "143000,68700" +tm "SignalTypeMgr" +) +) +) +*27 (Net +uid 4268,0 +decl (Decl +n "side1" +t "std_uLogic" +o 19 +suid 12,0 +) +declText (MLText +uid 4269,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,800,9700,1800" +st "side1 : std_uLogic +" +) +) +*28 (PortIoOut +uid 4270,0 +shape (CompositeShape +uid 4271,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4272,0 +sl 0 +ro 270 +xt "140500,65625,142000,66375" +) +(Line +uid 4273,0 +sl 0 +ro 270 +xt "140000,66000,140500,66000" +pts [ +"140000,66000" +"140500,66000" +] +) +] +) +tg (WTG +uid 4274,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4275,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "143000,65300,147200,66700" +st "side2" +blo "143000,66500" +tm "WireNameMgr" +) +s (Text +uid 4276,0 +va (VaSet +font "Verdana,12,0" +) +xt "143000,66700,143000,66700" +blo "143000,66700" +tm "SignalTypeMgr" +) +) +) +*29 (Net +uid 4283,0 +decl (Decl +n "side2" +t "std_uLogic" +o 20 +suid 13,0 +) +declText (MLText +uid 4284,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,800,9700,1800" +st "side2 : std_uLogic +" +) +) +*30 (PortIoIn +uid 4528,0 +shape (CompositeShape +uid 4529,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4530,0 +sl 0 +ro 270 +xt "42000,9625,43500,10375" +) +(Line +uid 4531,0 +sl 0 +ro 270 +xt "43500,10000,44000,10000" +pts [ +"43500,10000" +"44000,10000" +] +) +] +) +tg (WTG +uid 4532,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4533,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "34300,9300,41000,10700" +st "encoderA" +ju 2 +blo "41000,10500" +tm "WireNameMgr" +) +s (Text +uid 4534,0 +va (VaSet +font "Verdana,12,0" +) +xt "34300,10700,34300,10700" +ju 2 +blo "34300,10700" +tm "SignalTypeMgr" +) +) +) +*31 (Net +uid 4541,0 +decl (Decl +n "encoderA" +t "std_uLogic" +o 3 +suid 14,0 +) +declText (MLText +uid 4542,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,800,10400,1800" +st "encoderA : std_uLogic +" +) +) +*32 (PortIoIn +uid 4543,0 +shape (CompositeShape +uid 4544,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4545,0 +sl 0 +ro 270 +xt "42000,11625,43500,12375" +) +(Line +uid 4546,0 +sl 0 +ro 270 +xt "43500,12000,44000,12000" +pts [ +"43500,12000" +"44000,12000" +] +) +] +) +tg (WTG +uid 4547,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4548,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "34300,11300,41000,12700" +st "encoderB" +ju 2 +blo "41000,12500" +tm "WireNameMgr" +) +s (Text +uid 4549,0 +va (VaSet +font "Verdana,12,0" +) +xt "34300,12700,34300,12700" +ju 2 +blo "34300,12700" +tm "SignalTypeMgr" +) +) +) +*33 (Net +uid 4556,0 +decl (Decl +n "encoderB" +t "std_uLogic" +o 4 +suid 15,0 +) +declText (MLText +uid 4557,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,800,10400,1800" +st "encoderB : std_uLogic +" +) +) +*34 (PortIoIn +uid 4558,0 +shape (CompositeShape +uid 4559,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4560,0 +sl 0 +ro 270 +xt "42000,13625,43500,14375" +) +(Line +uid 4561,0 +sl 0 +ro 270 +xt "43500,14000,44000,14000" +pts [ +"43500,14000" +"44000,14000" +] +) +] +) +tg (WTG +uid 4562,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4563,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "34600,13300,41000,14700" +st "encoderI" +ju 2 +blo "41000,14500" +tm "WireNameMgr" +) +s (Text +uid 4564,0 +va (VaSet +font "Verdana,12,0" +) +xt "34600,14700,34600,14700" +ju 2 +blo "34600,14700" +tm "SignalTypeMgr" +) +) +) +*35 (Net +uid 4571,0 +decl (Decl +n "encoderI" +t "std_uLogic" +o 5 +suid 16,0 +) +declText (MLText +uid 4572,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,800,10200,1800" +st "encoderI : std_uLogic +" +) +) +*36 (PortIoIn +uid 4573,0 +shape (CompositeShape +uid 4574,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4575,0 +sl 0 +ro 270 +xt "42000,41625,43500,42375" +) +(Line +uid 4576,0 +sl 0 +ro 270 +xt "43500,42000,44000,42000" +pts [ +"43500,42000" +"44000,42000" +] +) +] +) +tg (WTG +uid 4577,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4578,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "37800,41300,41000,42700" +st "go1" +ju 2 +blo "41000,42500" +tm "WireNameMgr" +) +s (Text +uid 4579,0 +va (VaSet +font "Verdana,12,0" +) +xt "37800,42700,37800,42700" +ju 2 +blo "37800,42700" +tm "SignalTypeMgr" +) +) +) +*37 (Net +uid 4586,0 +decl (Decl +n "go1" +t "std_uLogic" +o 6 +suid 17,0 +) +declText (MLText +uid 4587,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,800,9700,1800" +st "go1 : std_uLogic +" +) +) +*38 (PortIoIn +uid 4588,0 +shape (CompositeShape +uid 4589,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4590,0 +sl 0 +ro 270 +xt "42000,43625,43500,44375" +) +(Line +uid 4591,0 +sl 0 +ro 270 +xt "43500,44000,44000,44000" +pts [ +"43500,44000" +"44000,44000" +] +) +] +) +tg (WTG +uid 4592,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4593,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "37800,43300,41000,44700" +st "go2" +ju 2 +blo "41000,44500" +tm "WireNameMgr" +) +s (Text +uid 4594,0 +va (VaSet +font "Verdana,12,0" +) +xt "37800,44700,37800,44700" +ju 2 +blo "37800,44700" +tm "SignalTypeMgr" +) +) +) +*39 (Net +uid 4601,0 +decl (Decl +n "go2" +t "std_uLogic" +o 7 +suid 18,0 +) +declText (MLText +uid 4602,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,800,9700,1800" +st "go2 : std_uLogic +" +) +) +*40 (PortIoIn +uid 7303,0 +shape (CompositeShape +uid 7304,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 7305,0 +sl 0 +ro 270 +xt "42000,45625,43500,46375" +) +(Line +uid 7306,0 +sl 0 +ro 270 +xt "43500,46000,44000,46000" +pts [ +"43500,46000" +"44000,46000" +] +) +] +) +tg (WTG +uid 7307,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7308,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "35200,45500,41000,46900" +st "button4" +ju 2 +blo "41000,46700" +tm "WireNameMgr" +) +s (Text +uid 7309,0 +va (VaSet +font "Verdana,12,0" +) +xt "35200,46900,35200,46900" +ju 2 +blo "35200,46900" +tm "SignalTypeMgr" +) +) +) +*41 (Net +uid 7316,0 +decl (Decl +n "button4" +t "std_uLogic" +o 1 +suid 47,0 +) +declText (MLText +uid 7317,0 +va (VaSet +isHidden 1 +) +xt "0,-23800,12800,-22600" +st "button4 : std_uLogic +" +) +) +*42 (PortIoIn +uid 8032,0 +shape (CompositeShape +uid 8033,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 8034,0 +sl 0 +ro 270 +xt "42000,17625,43500,18375" +) +(Line +uid 8035,0 +sl 0 +ro 270 +xt "43500,18000,44000,18000" +pts [ +"43500,18000" +"44000,18000" +] +) +] +) +tg (WTG +uid 8036,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 8037,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "37200,17300,41000,18700" +st "clock" +ju 2 +blo "41000,18500" +tm "WireNameMgr" +) +s (Text +uid 8038,0 +va (VaSet +font "Verdana,12,0" +) +xt "37200,18700,37200,18700" +ju 2 +blo "37200,18700" +tm "SignalTypeMgr" +) +) +) +*43 (PortIoIn +uid 8039,0 +shape (CompositeShape +uid 8040,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 8041,0 +sl 0 +ro 270 +xt "42000,19625,43500,20375" +) +(Line +uid 8042,0 +sl 0 +ro 270 +xt "43500,20000,44000,20000" +pts [ +"43500,20000" +"44000,20000" +] +) +] +) +tg (WTG +uid 8043,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 8044,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "36900,19300,41000,20700" +st "reset" +ju 2 +blo "41000,20500" +tm "WireNameMgr" +) +s (Text +uid 8045,0 +va (VaSet +font "Verdana,12,0" +) +xt "36900,20700,36900,20700" +ju 2 +blo "36900,20700" +tm "SignalTypeMgr" +) +) +) +*44 (Net +uid 9949,0 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 14 +suid 68,0 +) +declText (MLText +uid 9950,0 +va (VaSet +isHidden 1 +) +xt "0,400,12600,1600" +st "CS1_n : std_ulogic +" +) +) +*45 (Net +uid 9957,0 +decl (Decl +n "SCL" +t "std_ulogic" +o 16 +suid 69,0 +) +declText (MLText +uid 9958,0 +va (VaSet +isHidden 1 +) +xt "0,400,11900,1600" +st "SCL : std_ulogic +" +) +) +*46 (Net +uid 9965,0 +decl (Decl +n "SI" +t "std_ulogic" +o 17 +suid 70,0 +) +declText (MLText +uid 9966,0 +va (VaSet +isHidden 1 +) +xt "0,400,11300,1600" +st "SI : std_ulogic +" +) +) +*47 (Net +uid 9973,0 +decl (Decl +n "A0" +t "std_ulogic" +o 13 +suid 71,0 +) +declText (MLText +uid 9974,0 +va (VaSet +isHidden 1 +) +xt "0,400,11600,1600" +st "A0 : std_ulogic +" +) +) +*48 (Net +uid 9981,0 +decl (Decl +n "RST_n" +t "std_ulogic" +o 15 +suid 72,0 +) +declText (MLText +uid 9982,0 +va (VaSet +isHidden 1 +) +xt "0,400,12500,1600" +st "RST_n : std_ulogic +" +) +) +*49 (PortIoOut +uid 9989,0 +shape (CompositeShape +uid 9990,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 9991,0 +sl 0 +ro 270 +xt "140500,98625,142000,99375" +) +(Line +uid 9992,0 +sl 0 +ro 270 +xt "140000,99000,140500,99000" +pts [ +"140000,99000" +"140500,99000" +] +) +] +) +tg (WTG +uid 9993,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9994,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "143000,98300,147900,99700" +st "CS1_n" +blo "143000,99500" +tm "WireNameMgr" +) +s (Text +uid 9995,0 +va (VaSet +font "Verdana,12,0" +) +xt "143000,99700,143000,99700" +blo "143000,99700" +tm "SignalTypeMgr" +) +) +) +*50 (PortIoOut +uid 9996,0 +shape (CompositeShape +uid 9997,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 9998,0 +sl 0 +ro 270 +xt "140500,100625,142000,101375" +) +(Line +uid 9999,0 +sl 0 +ro 270 +xt "140000,101000,140500,101000" +pts [ +"140000,101000" +"140500,101000" +] +) +] +) +tg (WTG +uid 10000,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10001,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "143000,100300,146200,101700" +st "SCL" +blo "143000,101500" +tm "WireNameMgr" +) +s (Text +uid 10002,0 +va (VaSet +font "Verdana,12,0" +) +xt "143000,101700,143000,101700" +blo "143000,101700" +tm "SignalTypeMgr" +) +) +) +*51 (PortIoOut +uid 10003,0 +shape (CompositeShape +uid 10004,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 10005,0 +sl 0 +ro 270 +xt "140500,102625,142000,103375" +) +(Line +uid 10006,0 +sl 0 +ro 270 +xt "140000,103000,140500,103000" +pts [ +"140000,103000" +"140500,103000" +] +) +] +) +tg (WTG +uid 10007,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10008,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "143000,102300,145100,103700" +st "SI" +blo "143000,103500" +tm "WireNameMgr" +) +s (Text +uid 10009,0 +va (VaSet +font "Verdana,12,0" +) +xt "143000,103700,143000,103700" +blo "143000,103700" +tm "SignalTypeMgr" +) +) +) +*52 (PortIoOut +uid 10010,0 +shape (CompositeShape +uid 10011,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 10012,0 +sl 0 +ro 270 +xt "140500,104625,142000,105375" +) +(Line +uid 10013,0 +sl 0 +ro 270 +xt "140000,105000,140500,105000" +pts [ +"140000,105000" +"140500,105000" +] +) +] +) +tg (WTG +uid 10014,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10015,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "143000,104300,145400,105700" +st "A0" +blo "143000,105500" +tm "WireNameMgr" +) +s (Text +uid 10016,0 +va (VaSet +font "Verdana,12,0" +) +xt "143000,105700,143000,105700" +blo "143000,105700" +tm "SignalTypeMgr" +) +) +) +*53 (PortIoOut +uid 10017,0 +shape (CompositeShape +uid 10018,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 10019,0 +sl 0 +ro 270 +xt "140500,106625,142000,107375" +) +(Line +uid 10020,0 +sl 0 +ro 270 +xt "140000,107000,140500,107000" +pts [ +"140000,107000" +"140500,107000" +] +) +] +) +tg (WTG +uid 10021,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10022,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "143000,106300,147700,107700" +st "RST_n" +blo "143000,107500" +tm "WireNameMgr" +) +s (Text +uid 10023,0 +va (VaSet +font "Verdana,12,0" +) +xt "143000,107700,143000,107700" +blo "143000,107700" +tm "SignalTypeMgr" +) +) +) +*54 (Wire +uid 2282,0 +shape (OrthoPolyLine +uid 2283,0 +va (VaSet +vasetType 3 +) +xt "44000,40000,52000,40000" +pts [ +"44000,40000" +"52000,40000" +] +) +start &14 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2288,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2289,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,38600,48900,39900" +st "restart" +blo "44000,39600" +tm "WireNameMgr" +) +) +on &15 +) +*55 (Wire +uid 2315,0 +shape (OrthoPolyLine +uid 2316,0 +va (VaSet +vasetType 3 +) +xt "44000,66000,51250,66000" +pts [ +"44000,66000" +"51250,66000" +] +) +start &16 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2319,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2320,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,64600,49600,65900" +st "testMode" +blo "44000,65600" +tm "WireNameMgr" +) +) +on &17 +) +*56 (Wire +uid 2949,0 +shape (OrthoPolyLine +uid 2950,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "152000,-9000,160000,-9000" +pts [ +"152000,-9000" +"160000,-9000" +] +) +end &18 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2953,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2954,0 +va (VaSet +font "Verdana,12,0" +) +xt "154000,-10400,158900,-9100" +st "testOut" +blo "154000,-9400" +tm "WireNameMgr" +) +) +on &19 +) +*57 (Wire +uid 3266,0 +shape (OrthoPolyLine +uid 3267,0 +va (VaSet +vasetType 3 +) +xt "44000,36000,52000,36000" +pts [ +"44000,36000" +"52000,36000" +] +) +start &20 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3270,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3271,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,34600,48900,35900" +st "sensor1" +blo "44000,35600" +tm "WireNameMgr" +) +) +on &21 +) +*58 (Wire +uid 3281,0 +shape (OrthoPolyLine +uid 3282,0 +va (VaSet +vasetType 3 +) +xt "44000,34000,52000,34000" +pts [ +"44000,34000" +"52000,34000" +] +) +start &22 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3285,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3286,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,32600,48900,33900" +st "sensor2" +blo "44000,33600" +tm "WireNameMgr" +) +) +on &23 +) +*59 (Wire +uid 4262,0 +shape (OrthoPolyLine +uid 4263,0 +va (VaSet +vasetType 3 +) +xt "132750,68000,140000,68000" +pts [ +"132750,68000" +"140000,68000" +] +) +end &26 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4266,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4267,0 +va (VaSet +font "Verdana,12,0" +) +xt "136000,66600,139500,67900" +st "side1" +blo "136000,67600" +tm "WireNameMgr" +) +) +on &27 +) +*60 (Wire +uid 4277,0 +shape (OrthoPolyLine +uid 4278,0 +va (VaSet +vasetType 3 +) +xt "132750,66000,140000,66000" +pts [ +"132750,66000" +"140000,66000" +] +) +end &28 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4281,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4282,0 +va (VaSet +font "Verdana,12,0" +) +xt "136000,64600,139500,65900" +st "side2" +blo "136000,65600" +tm "WireNameMgr" +) +) +on &29 +) +*61 (Wire +uid 4535,0 +shape (OrthoPolyLine +uid 4536,0 +va (VaSet +vasetType 3 +) +xt "44000,10000,51250,10000" +pts [ +"44000,10000" +"51250,10000" +] +) +start &30 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4539,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4540,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,8600,49600,9900" +st "encoderA" +blo "44000,9600" +tm "WireNameMgr" +) +) +on &31 +) +*62 (Wire +uid 4550,0 +shape (OrthoPolyLine +uid 4551,0 +va (VaSet +vasetType 3 +) +xt "44000,12000,51250,12000" +pts [ +"44000,12000" +"51250,12000" +] +) +start &32 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4554,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4555,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,10600,49600,11900" +st "encoderB" +blo "44000,11600" +tm "WireNameMgr" +) +) +on &33 +) +*63 (Wire +uid 4565,0 +shape (OrthoPolyLine +uid 4566,0 +va (VaSet +vasetType 3 +) +xt "44000,14000,51250,14000" +pts [ +"44000,14000" +"51250,14000" +] +) +start &34 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4569,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4570,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,12600,49600,13900" +st "encoderI" +blo "44000,13600" +tm "WireNameMgr" +) +) +on &35 +) +*64 (Wire +uid 4580,0 +shape (OrthoPolyLine +uid 4581,0 +va (VaSet +vasetType 3 +) +xt "44000,42000,52000,42000" +pts [ +"44000,42000" +"52000,42000" +] +) +start &36 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4584,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4585,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,40600,46100,41900" +st "go1" +blo "44000,41600" +tm "WireNameMgr" +) +) +on &37 +) +*65 (Wire +uid 4595,0 +shape (OrthoPolyLine +uid 4596,0 +va (VaSet +vasetType 3 +) +xt "44000,44000,52000,44000" +pts [ +"44000,44000" +"52000,44000" +] +) +start &38 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4599,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4600,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,42600,46100,43900" +st "go2" +blo "44000,43600" +tm "WireNameMgr" +) +) +on &39 +) +*66 (Wire +uid 4978,0 +shape (OrthoPolyLine +uid 4979,0 +va (VaSet +vasetType 3 +) +xt "44000,20000,51250,20000" +pts [ +"44000,20000" +"51250,20000" +] +) +start &43 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4984,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4985,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,18600,47500,19900" +st "reset" +blo "44000,19600" +tm "WireNameMgr" +) +) +on &1 +) +*67 (Wire +uid 4986,0 +shape (OrthoPolyLine +uid 4987,0 +va (VaSet +vasetType 3 +) +xt "44000,18000,51250,18000" +pts [ +"51250,18000" +"44000,18000" +] +) +end &42 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4992,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4993,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,16600,47500,17900" +st "clock" +blo "44000,17600" +tm "WireNameMgr" +) +) +on &2 +) +*68 (Wire +uid 6102,0 +shape (OrthoPolyLine +uid 6103,0 +va (VaSet +vasetType 3 +) +xt "133000,60000,140000,60000" +pts [ +"133000,60000" +"140000,60000" +] +) +end &24 +es 0 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6104,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6105,0 +va (VaSet +font "Verdana,12,0" +) +xt "135000,58600,139900,59900" +st "motorOn" +blo "135000,59600" +tm "WireNameMgr" +) +) +on &25 +) +*69 (Wire +uid 7310,0 +shape (OrthoPolyLine +uid 7311,0 +va (VaSet +vasetType 3 +) +xt "44000,46000,52000,46000" +pts [ +"44000,46000" +"52000,46000" +] +) +start &40 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7314,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7315,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,44600,48900,45900" +st "button4" +blo "44000,45600" +tm "WireNameMgr" +) +) +on &41 +) +*70 (Wire +uid 9951,0 +shape (OrthoPolyLine +uid 9952,0 +va (VaSet +vasetType 3 +) +xt "132750,99000,140000,99000" +pts [ +"132750,99000" +"140000,99000" +] +) +end &49 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 9955,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9956,0 +va (VaSet +font "Verdana,12,0" +) +xt "137000,97600,140500,98900" +st "CS1_n" +blo "137000,98600" +tm "WireNameMgr" +) +) +on &44 +) +*71 (Wire +uid 9959,0 +shape (OrthoPolyLine +uid 9960,0 +va (VaSet +vasetType 3 +) +xt "132750,101000,140000,101000" +pts [ +"132750,101000" +"140000,101000" +] +) +end &50 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 9963,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9964,0 +va (VaSet +font "Verdana,12,0" +) +xt "137000,99600,139100,100900" +st "SCL" +blo "137000,100600" +tm "WireNameMgr" +) +) +on &45 +) +*72 (Wire +uid 9967,0 +shape (OrthoPolyLine +uid 9968,0 +va (VaSet +vasetType 3 +) +xt "132750,103000,140000,103000" +pts [ +"132750,103000" +"140000,103000" +] +) +end &51 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 9971,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9972,0 +va (VaSet +font "Verdana,12,0" +) +xt "138000,101600,139400,102900" +st "SI" +blo "138000,102600" +tm "WireNameMgr" +) +) +on &46 +) +*73 (Wire +uid 9975,0 +shape (OrthoPolyLine +uid 9976,0 +va (VaSet +vasetType 3 +) +xt "132750,105000,140000,105000" +pts [ +"132750,105000" +"140000,105000" +] +) +end &52 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 9979,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9980,0 +va (VaSet +font "Verdana,12,0" +) +xt "138000,103600,139400,104900" +st "A0" +blo "138000,104600" +tm "WireNameMgr" +) +) +on &47 +) +*74 (Wire +uid 9983,0 +shape (OrthoPolyLine +uid 9984,0 +va (VaSet +vasetType 3 +) +xt "132750,107000,140000,107000" +pts [ +"132750,107000" +"140000,107000" +] +) +end &53 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 9987,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9988,0 +va (VaSet +font "Verdana,12,0" +) +xt "137000,105600,140500,106900" +st "RST_n" +blo "137000,106600" +tm "WireNameMgr" +) +) +on &48 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *75 (PackageList +uid 42,0 +stg "VerticalLayoutStrategy" +textVec [ +*76 (Text +uid 573,0 +va (VaSet +font "Verdana,8,1" +) +xt "24000,-12000,30500,-11100" +st "Package List" +blo "24000,-11300" +) +*77 (MLText +uid 574,0 +va (VaSet +) +xt "24000,-11000,41500,-7400" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 45,0 +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "20000,0,32000,1000" +st "Compiler Directives" +blo "20000,800" +) +*79 (Text +uid 47,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "20000,1400,33800,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*80 (MLText +uid 48,0 +va (VaSet +isHidden 1 +) +xt "20000,2800,32100,5200" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*81 (Text +uid 49,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "20000,5600,34400,6600" +st "Post-module directives:" +blo "20000,6400" +) +*82 (MLText +uid 50,0 +va (VaSet +isHidden 1 +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*83 (Text +uid 51,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "20000,7200,33800,8200" +st "End-module directives:" +blo "20000,8000" +) +*84 (MLText +uid 52,0 +va (VaSet +isHidden 1 +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "502,73,1646,952" +viewArea "22700,26900,175367,147733" +cachedDiagramExtent "-17000,-23800,182600,152000" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\ipp://ippsion.hevs.ch\\PREA309_HPLJ3005DN,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +scale 50 +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "24000,-12000" +lastUid 11367,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +va (VaSet +) +xt "2100,3000,6700,4200" +st "" +blo "2100,4000" +tm "BdLibraryNameMgr" +) +*86 (Text +va (VaSet +) +xt "2100,4200,6200,5400" +st "" +blo "2100,5200" +tm "BlkNameMgr" +) +*87 (Text +va (VaSet +) +xt "2100,5400,3300,6600" +st "I0" +blo "2100,6400" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "2100,13000,2100,13000" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*88 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*89 (Text +va (VaSet +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*90 (Text +va (VaSet +) +xt "-100,5000,500,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*91 (Text +va (VaSet +) +xt "900,3000,3200,4000" +st "Library" +blo "900,3800" +tm "BdLibraryNameMgr" +) +*92 (Text +va (VaSet +) +xt "900,4000,6400,5000" +st "SaComponent" +blo "900,4800" +tm "CptNameMgr" +) +*93 (Text +va (VaSet +) +xt "900,5000,1500,6000" +st "I0" +blo "900,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6100,1000,-6100,1000" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-100,0,8100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*94 (Text +va (VaSet +) +xt "400,3000,2700,4000" +st "Library" +blo "400,3800" +) +*95 (Text +va (VaSet +) +xt "400,4000,6500,5000" +st "VhdlComponent" +blo "400,4800" +) +*96 (Text +va (VaSet +) +xt "400,5000,1000,6000" +st "I0" +blo "400,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6600,1000,-6600,1000" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*97 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*98 (Text +va (VaSet +) +xt "-100,4000,7000,5000" +st "VerilogComponent" +blo "-100,4800" +) +*99 (Text +va (VaSet +) +xt "-100,5000,500,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*100 (Text +va (VaSet +) +xt "3300,3700,4500,4700" +st "eb1" +blo "3300,4500" +tm "HdlTextNameMgr" +) +*101 (Text +va (VaSet +) +xt "3300,4700,3700,5700" +st "1" +blo "3300,5500" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-350,-600,250,400" +st "G" +blo "-350,200" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "Verdana,12,0" +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "Verdana,12,0" +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "Verdana,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "Verdana,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,18500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1650" +) +num (Text +va (VaSet +) +xt "300,250,700,1250" +st "1" +blo "300,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*102 (Text +va (VaSet +font "Verdana,8,1" +) +xt "13200,20000,21100,21000" +st "Frame Declarations" +blo "13200,20800" +) +*103 (MLText +va (VaSet +) +xt "13200,21000,13200,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,11000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1650" +) +num (Text +va (VaSet +) +xt "300,250,700,1250" +st "1" +blo "300,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*104 (Text +va (VaSet +font "Verdana,8,1" +) +xt "13200,20000,21100,21000" +st "Frame Declarations" +blo "13200,20800" +) +*105 (MLText +va (VaSet +) +xt "13200,21000,13200,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "24000,-5600,30500,-4700" +st "Declarations" +blo "24000,-4900" +) +portLabel (Text +uid 3,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "24000,-4100,27000,-3200" +st "Ports:" +blo "24000,-3400" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "24000,-4700,28500,-3800" +st "Pre User:" +blo "24000,-4000" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "26000,-3800,67100,1000" +st "constant positionBitNb: positive := 18; +constant relativePositionBitNb: positive := pwmBitNb + slopeShiftBitNb + 1; +constant minAmplitude: positive := integer(0.25 * 2.0**pwmBitNb); +constant lcdAsciiBitNb: positive := 7;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "24000,-4100,32500,-3200" +st "Diagram Signals:" +blo "24000,-3400" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "24000,-5600,29500,-4700" +st "Post User:" +blo "24000,-4900" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "24000,-5600,24000,-5600" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 72,0 +usingSuid 1 +emptyRow *106 (LEmptyRow +) +uid 5714,0 +optionalChildren [ +*107 (RefLabelRowHdr +) +*108 (TitleRowHdr +) +*109 (FilterRowHdr +) +*110 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*111 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*112 (GroupColHdr +tm "GroupColHdrMgr" +) +*113 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*114 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*115 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*116 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*117 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*118 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*119 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 1,0 +) +) +uid 5659,0 +) +*120 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 5661,0 +) +*121 (LeafLogPort +port (LogicalPort +decl (Decl +n "restart" +t "std_uLogic" +o 9 +suid 3,0 +) +) +uid 5663,0 +) +*122 (LeafLogPort +port (LogicalPort +decl (Decl +n "testMode" +t "std_uLogic" +o 12 +suid 4,0 +) +) +uid 5665,0 +) +*123 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_uLogic_vector" +b "(1 TO testLineNb)" +o 21 +suid 5,0 +) +) +uid 5667,0 +) +*124 (LeafLogPort +port (LogicalPort +decl (Decl +n "sensor1" +t "std_uLogic" +o 10 +suid 6,0 +) +) +uid 5669,0 +) +*125 (LeafLogPort +port (LogicalPort +decl (Decl +n "sensor2" +t "std_uLogic" +o 11 +suid 7,0 +) +) +uid 5671,0 +) +*126 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 18 +suid 10,0 +) +) +uid 5677,0 +) +*127 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "side1" +t "std_uLogic" +o 19 +suid 12,0 +) +) +uid 5681,0 +) +*128 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "side2" +t "std_uLogic" +o 20 +suid 13,0 +) +) +uid 5683,0 +) +*129 (LeafLogPort +port (LogicalPort +decl (Decl +n "encoderA" +t "std_uLogic" +o 3 +suid 14,0 +) +) +uid 5685,0 +) +*130 (LeafLogPort +port (LogicalPort +decl (Decl +n "encoderB" +t "std_uLogic" +o 4 +suid 15,0 +) +) +uid 5687,0 +) +*131 (LeafLogPort +port (LogicalPort +decl (Decl +n "encoderI" +t "std_uLogic" +o 5 +suid 16,0 +) +) +uid 5689,0 +) +*132 (LeafLogPort +port (LogicalPort +decl (Decl +n "go1" +t "std_uLogic" +o 6 +suid 17,0 +) +) +uid 5691,0 +) +*133 (LeafLogPort +port (LogicalPort +decl (Decl +n "go2" +t "std_uLogic" +o 7 +suid 18,0 +) +) +uid 5693,0 +) +*134 (LeafLogPort +port (LogicalPort +decl (Decl +n "button4" +t "std_uLogic" +o 1 +suid 47,0 +) +) +uid 7302,0 +) +*135 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 14 +suid 68,0 +) +) +uid 10024,0 +) +*136 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 16 +suid 69,0 +) +) +uid 10026,0 +) +*137 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 17 +suid 70,0 +) +) +uid 10028,0 +) +*138 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 13 +suid 71,0 +) +) +uid 10030,0 +) +*139 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 15 +suid 72,0 +) +) +uid 10032,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 5727,0 +optionalChildren [ +*140 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *141 (MRCItem +litem &106 +pos 21 +dimension 20 +) +uid 5729,0 +optionalChildren [ +*142 (MRCItem +litem &107 +pos 0 +dimension 20 +uid 5730,0 +) +*143 (MRCItem +litem &108 +pos 1 +dimension 23 +uid 5731,0 +) +*144 (MRCItem +litem &109 +pos 2 +hidden 1 +dimension 20 +uid 5732,0 +) +*145 (MRCItem +litem &119 +pos 0 +dimension 20 +uid 5660,0 +) +*146 (MRCItem +litem &120 +pos 1 +dimension 20 +uid 5662,0 +) +*147 (MRCItem +litem &121 +pos 2 +dimension 20 +uid 5664,0 +) +*148 (MRCItem +litem &122 +pos 3 +dimension 20 +uid 5666,0 +) +*149 (MRCItem +litem &123 +pos 4 +dimension 20 +uid 5668,0 +) +*150 (MRCItem +litem &124 +pos 5 +dimension 20 +uid 5670,0 +) +*151 (MRCItem +litem &125 +pos 6 +dimension 20 +uid 5672,0 +) +*152 (MRCItem +litem &126 +pos 7 +dimension 20 +uid 5678,0 +) +*153 (MRCItem +litem &127 +pos 8 +dimension 20 +uid 5682,0 +) +*154 (MRCItem +litem &128 +pos 9 +dimension 20 +uid 5684,0 +) +*155 (MRCItem +litem &129 +pos 10 +dimension 20 +uid 5686,0 +) +*156 (MRCItem +litem &130 +pos 11 +dimension 20 +uid 5688,0 +) +*157 (MRCItem +litem &131 +pos 12 +dimension 20 +uid 5690,0 +) +*158 (MRCItem +litem &132 +pos 13 +dimension 20 +uid 5692,0 +) +*159 (MRCItem +litem &133 +pos 14 +dimension 20 +uid 5694,0 +) +*160 (MRCItem +litem &134 +pos 15 +dimension 20 +uid 7301,0 +) +*161 (MRCItem +litem &135 +pos 16 +dimension 20 +uid 10025,0 +) +*162 (MRCItem +litem &136 +pos 17 +dimension 20 +uid 10027,0 +) +*163 (MRCItem +litem &137 +pos 18 +dimension 20 +uid 10029,0 +) +*164 (MRCItem +litem &138 +pos 19 +dimension 20 +uid 10031,0 +) +*165 (MRCItem +litem &139 +pos 20 +dimension 20 +uid 10033,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 5733,0 +optionalChildren [ +*166 (MRCItem +litem &110 +pos 0 +dimension 20 +uid 5734,0 +) +*167 (MRCItem +litem &112 +pos 1 +dimension 50 +uid 5735,0 +) +*168 (MRCItem +litem &113 +pos 2 +dimension 100 +uid 5736,0 +) +*169 (MRCItem +litem &114 +pos 3 +dimension 50 +uid 5737,0 +) +*170 (MRCItem +litem &115 +pos 4 +dimension 100 +uid 5738,0 +) +*171 (MRCItem +litem &116 +pos 5 +dimension 100 +uid 5739,0 +) +*172 (MRCItem +litem &117 +pos 6 +dimension 50 +uid 5740,0 +) +*173 (MRCItem +litem &118 +pos 7 +dimension 80 +uid 5741,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 5728,0 +vaOverrides [ +] +) +] +) +uid 5713,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *174 (LEmptyRow +) +uid 5743,0 +optionalChildren [ +*175 (RefLabelRowHdr +) +*176 (TitleRowHdr +) +*177 (FilterRowHdr +) +*178 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*179 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*180 (GroupColHdr +tm "GroupColHdrMgr" +) +*181 (NameColHdr +tm "GenericNameColHdrMgr" +) +*182 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*183 (InitColHdr +tm "GenericValueColHdrMgr" +) +*184 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*185 (EolColHdr +tm "GenericEolColHdrMgr" +) +*186 (LogGeneric +generic (GiElement +name "position1" +type "positive" +value "32000" +) +uid 7215,0 +) +*187 (LogGeneric +generic (GiElement +name "position2" +type "positive" +value "64000" +) +uid 7217,0 +) +*188 (LogGeneric +generic (GiElement +name "testLineNb" +type "positive" +value "16" +) +uid 7816,0 +) +*189 (LogGeneric +generic (GiElement +name "position0" +type "positive" +value "128" +) +uid 8607,0 +) +*190 (LogGeneric +generic (GiElement +name "slopeShiftBitNb" +type "positive" +value "6" +) +uid 10209,0 +) +*191 (LogGeneric +generic (GiElement +name "pwmBitNb" +type "positive" +value "8" +) +uid 10538,0 +) +] +) +pdm (PhysicalDM +uid 5755,0 +optionalChildren [ +*192 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *193 (MRCItem +litem &174 +pos 6 +dimension 20 +) +uid 5757,0 +optionalChildren [ +*194 (MRCItem +litem &175 +pos 0 +dimension 20 +uid 5758,0 +) +*195 (MRCItem +litem &176 +pos 1 +dimension 23 +uid 5759,0 +) +*196 (MRCItem +litem &177 +pos 2 +hidden 1 +dimension 20 +uid 5760,0 +) +*197 (MRCItem +litem &186 +pos 1 +dimension 20 +uid 7214,0 +) +*198 (MRCItem +litem &187 +pos 2 +dimension 20 +uid 7216,0 +) +*199 (MRCItem +litem &188 +pos 5 +dimension 20 +uid 7815,0 +) +*200 (MRCItem +litem &189 +pos 0 +dimension 20 +uid 8606,0 +) +*201 (MRCItem +litem &190 +pos 3 +dimension 20 +uid 10208,0 +) +*202 (MRCItem +litem &191 +pos 4 +dimension 20 +uid 10537,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 5761,0 +optionalChildren [ +*203 (MRCItem +litem &178 +pos 0 +dimension 20 +uid 5762,0 +) +*204 (MRCItem +litem &180 +pos 1 +dimension 50 +uid 5763,0 +) +*205 (MRCItem +litem &181 +pos 2 +dimension 100 +uid 5764,0 +) +*206 (MRCItem +litem &182 +pos 3 +dimension 100 +uid 5765,0 +) +*207 (MRCItem +litem &183 +pos 4 +dimension 50 +uid 5766,0 +) +*208 (MRCItem +litem &184 +pos 5 +dimension 50 +uid 5767,0 +) +*209 (MRCItem +litem &185 +pos 6 +dimension 80 +uid 5768,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 5756,0 +vaOverrides [ +] +) +] +) +uid 5742,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Cursor/hds/cursor@circuit/symbol.sb b/Cursor/hds/cursor@circuit/symbol.sb new file mode 100644 index 0000000..349adde --- /dev/null +++ b/Cursor/hds/cursor@circuit/symbol.sb @@ -0,0 +1,2949 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2021,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 324,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 18 +suid 12,0 +) +) +uid 325,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "encoderA" +t "std_uLogic" +o 3 +suid 13,0 +) +) +uid 326,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "encoderB" +t "std_uLogic" +o 4 +suid 14,0 +) +) +uid 327,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "encoderI" +t "std_uLogic" +o 5 +suid 15,0 +) +) +uid 328,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "button4" +t "std_uLogic" +o 1 +suid 16,0 +) +) +uid 329,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 2,0 +) +) +uid 330,0 +) +*8 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 331,0 +) +*9 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "side1" +t "std_uLogic" +o 19 +suid 3,0 +) +) +uid 332,0 +) +*10 (LogPort +port (LogicalPort +decl (Decl +n "restart" +t "std_uLogic" +o 9 +suid 4,0 +) +) +uid 333,0 +) +*11 (LogPort +port (LogicalPort +decl (Decl +n "go2" +t "std_uLogic" +o 7 +suid 5,0 +) +) +uid 334,0 +) +*12 (LogPort +port (LogicalPort +decl (Decl +n "sensor1" +t "std_uLogic" +o 10 +suid 6,0 +) +) +uid 335,0 +) +*13 (LogPort +port (LogicalPort +decl (Decl +n "testMode" +t "std_uLogic" +o 12 +suid 7,0 +) +) +uid 336,0 +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_uLogic_vector" +b "(1 TO testLineNb)" +o 21 +suid 8,0 +) +) +uid 337,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "go1" +t "std_uLogic" +o 6 +suid 9,0 +) +) +uid 338,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "side2" +t "std_uLogic" +o 20 +suid 10,0 +) +) +uid 339,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "sensor2" +t "std_uLogic" +o 11 +suid 11,0 +) +) +uid 340,0 +) +*18 (RefLabelRowHdr +) +*19 (TitleRowHdr +) +*20 (FilterRowHdr +) +*21 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*22 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*23 (GroupColHdr +tm "GroupColHdrMgr" +) +*24 (NameColHdr +tm "NameColHdrMgr" +) +*25 (ModeColHdr +tm "ModeColHdrMgr" +) +*26 (TypeColHdr +tm "TypeColHdrMgr" +) +*27 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*28 (InitColHdr +tm "InitColHdrMgr" +) +*29 (EolColHdr +tm "EolColHdrMgr" +) +*30 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 14 +suid 2017,0 +) +) +uid 759,0 +) +*31 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 16 +suid 2018,0 +) +) +uid 761,0 +) +*32 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 17 +suid 2019,0 +) +) +uid 763,0 +) +*33 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 13 +suid 2020,0 +) +) +uid 765,0 +) +*34 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 15 +suid 2021,0 +) +) +uid 767,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 341,0 +optionalChildren [ +*35 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *36 (MRCItem +litem &1 +pos 21 +dimension 20 +) +uid 254,0 +optionalChildren [ +*37 (MRCItem +litem &18 +pos 0 +dimension 20 +uid 257,0 +) +*38 (MRCItem +litem &19 +pos 1 +dimension 23 +uid 259,0 +) +*39 (MRCItem +litem &20 +pos 2 +hidden 1 +dimension 20 +uid 261,0 +) +*40 (MRCItem +litem &2 +pos 7 +dimension 20 +uid 280,0 +) +*41 (MRCItem +litem &3 +pos 10 +dimension 20 +uid 281,0 +) +*42 (MRCItem +litem &4 +pos 11 +dimension 20 +uid 282,0 +) +*43 (MRCItem +litem &5 +pos 12 +dimension 20 +uid 283,0 +) +*44 (MRCItem +litem &6 +pos 15 +dimension 20 +uid 284,0 +) +*45 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 285,0 +) +*46 (MRCItem +litem &8 +pos 1 +dimension 20 +uid 286,0 +) +*47 (MRCItem +litem &9 +pos 8 +dimension 20 +uid 287,0 +) +*48 (MRCItem +litem &10 +pos 2 +dimension 20 +uid 288,0 +) +*49 (MRCItem +litem &11 +pos 14 +dimension 20 +uid 289,0 +) +*50 (MRCItem +litem &12 +pos 5 +dimension 20 +uid 290,0 +) +*51 (MRCItem +litem &13 +pos 3 +dimension 20 +uid 291,0 +) +*52 (MRCItem +litem &14 +pos 4 +dimension 20 +uid 292,0 +) +*53 (MRCItem +litem &15 +pos 13 +dimension 20 +uid 293,0 +) +*54 (MRCItem +litem &16 +pos 9 +dimension 20 +uid 294,0 +) +*55 (MRCItem +litem &17 +pos 6 +dimension 20 +uid 295,0 +) +*56 (MRCItem +litem &30 +pos 16 +dimension 20 +uid 758,0 +) +*57 (MRCItem +litem &31 +pos 17 +dimension 20 +uid 760,0 +) +*58 (MRCItem +litem &32 +pos 18 +dimension 20 +uid 762,0 +) +*59 (MRCItem +litem &33 +pos 19 +dimension 20 +uid 764,0 +) +*60 (MRCItem +litem &34 +pos 20 +dimension 20 +uid 766,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 255,0 +optionalChildren [ +*61 (MRCItem +litem &21 +pos 0 +dimension 20 +uid 263,0 +) +*62 (MRCItem +litem &23 +pos 1 +dimension 50 +uid 267,0 +) +*63 (MRCItem +litem &24 +pos 2 +dimension 100 +uid 269,0 +) +*64 (MRCItem +litem &25 +pos 3 +dimension 50 +uid 271,0 +) +*65 (MRCItem +litem &26 +pos 4 +dimension 100 +uid 273,0 +) +*66 (MRCItem +litem &27 +pos 5 +dimension 100 +uid 275,0 +) +*67 (MRCItem +litem &28 +pos 6 +dimension 50 +uid 277,0 +) +*68 (MRCItem +litem &29 +pos 7 +dimension 80 +uid 279,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 253,0 +vaOverrides [ +] +) +] +) +uid 323,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *69 (LEmptyRow +) +uid 343,0 +optionalChildren [ +*70 (RefLabelRowHdr +) +*71 (TitleRowHdr +) +*72 (FilterRowHdr +) +*73 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*74 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*75 (GroupColHdr +tm "GroupColHdrMgr" +) +*76 (NameColHdr +tm "GenericNameColHdrMgr" +) +*77 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*78 (InitColHdr +tm "GenericValueColHdrMgr" +) +*79 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*80 (EolColHdr +tm "GenericEolColHdrMgr" +) +*81 (LogGeneric +generic (GiElement +name "testLineNb" +type "positive" +value "16" +) +uid 321,0 +) +*82 (LogGeneric +generic (GiElement +name "position1" +type "positive" +value "32000" +) +uid 460,0 +) +*83 (LogGeneric +generic (GiElement +name "position2" +type "positive" +value "64000" +) +uid 462,0 +) +*84 (LogGeneric +generic (GiElement +name "position0" +type "positive" +value "128" +) +uid 733,0 +) +*85 (LogGeneric +generic (GiElement +name "slopeShiftBitNb" +type "positive" +value "6" +) +uid 844,0 +) +*86 (LogGeneric +generic (GiElement +name "pwmBitNb" +type "positive" +value "8" +) +uid 869,0 +) +] +) +pdm (PhysicalDM +uid 344,0 +optionalChildren [ +*87 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *88 (MRCItem +litem &69 +pos 6 +dimension 20 +) +uid 297,0 +optionalChildren [ +*89 (MRCItem +litem &70 +pos 0 +dimension 20 +uid 300,0 +) +*90 (MRCItem +litem &71 +pos 1 +dimension 23 +uid 302,0 +) +*91 (MRCItem +litem &72 +pos 2 +hidden 1 +dimension 20 +uid 304,0 +) +*92 (MRCItem +litem &81 +pos 5 +dimension 20 +uid 322,0 +) +*93 (MRCItem +litem &82 +pos 1 +dimension 20 +uid 461,0 +) +*94 (MRCItem +litem &83 +pos 2 +dimension 20 +uid 463,0 +) +*95 (MRCItem +litem &84 +pos 0 +dimension 20 +uid 734,0 +) +*96 (MRCItem +litem &85 +pos 3 +dimension 20 +uid 845,0 +) +*97 (MRCItem +litem &86 +pos 4 +dimension 20 +uid 870,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 298,0 +optionalChildren [ +*98 (MRCItem +litem &73 +pos 0 +dimension 20 +uid 306,0 +) +*99 (MRCItem +litem &75 +pos 1 +dimension 50 +uid 310,0 +) +*100 (MRCItem +litem &76 +pos 2 +dimension 100 +uid 312,0 +) +*101 (MRCItem +litem &77 +pos 3 +dimension 100 +uid 314,0 +) +*102 (MRCItem +litem &78 +pos 4 +dimension 50 +uid 316,0 +) +*103 (MRCItem +litem &79 +pos 5 +dimension 50 +uid 318,0 +) +*104 (MRCItem +litem &80 +pos 6 +dimension 80 +uid 320,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 296,0 +vaOverrides [ +] +) +] +) +uid 342,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursorCircuit" +) +(vvPair +variable "date" +value "13.06.2019" +) +(vvPair +variable "day" +value "Thu" +) +(vvPair +variable "day_long" +value "Thursday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "cursorCircuit" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "13.06.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "13:05:02" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "D:\\Users\\Syslo\\Chronometer\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../Cursor/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "D:\\Users\\Syslo\\Chronometer\\Synthesis" +) +(vvPair +variable "mm" +value "06" +) +(vvPair +variable "module_name" +value "cursorCircuit" +) +(vvPair +variable "month" +value "Jun" +) +(vvPair +variable "month_long" +value "June" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursorCircuit\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:05:02" +) +(vvPair +variable "unit" +value "cursorCircuit" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*105 (SymbolBody +uid 8,0 +optionalChildren [ +*106 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 53,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,29625,40000,30375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,29300,44800,30700" +st "clock" +blo "41000,30500" +tm "CptPortNameMgr" +) +s (Text +uid 717,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,30700,41000,30700" +blo "41000,30700" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,12700,19000,13500" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*107 (CptPort +uid 62,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 63,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,31625,40000,32375" +) +tg (CPTG +uid 64,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 65,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,31300,45100,32700" +st "reset" +blo "41000,32500" +tm "CptPortNameMgr" +) +s (Text +uid 718,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,32700,41000,32700" +blo "41000,32700" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 66,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,17500,19000,18300" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 2,0 +) +) +) +*108 (CptPort +uid 105,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 106,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,7625,56750,8375" +) +tg (CPTG +uid 107,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 108,0 +va (VaSet +font "Verdana,12,0" +) +xt "50800,7400,55000,8800" +st "side1" +ju 2 +blo "55000,8600" +tm "CptPortNameMgr" +) +s (Text +uid 719,0 +va (VaSet +font "Verdana,12,0" +) +xt "55000,8800,55000,8800" +ju 2 +blo "55000,8800" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 109,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,26300,19000,27100" +st "side1 : OUT std_uLogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "side1" +t "std_uLogic" +o 19 +suid 3,0 +) +) +) +*109 (CptPort +uid 131,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 132,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,5625,40000,6375" +) +tg (CPTG +uid 133,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 134,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,5300,46100,6700" +st "restart" +blo "41000,6500" +tm "CptPortNameMgr" +) +s (Text +uid 720,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,6700,41000,6700" +blo "41000,6700" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 135,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,18300,19000,19100" +st "restart : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "restart" +t "std_uLogic" +o 9 +suid 4,0 +) +) +) +*110 (CptPort +uid 174,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 175,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,9625,40000,10375" +) +tg (CPTG +uid 176,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 177,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,9300,44200,10700" +st "go2" +blo "41000,10500" +tm "CptPortNameMgr" +) +s (Text +uid 721,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,10700,41000,10700" +blo "41000,10700" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 178,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,16700,19000,17500" +st "go2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "go2" +t "std_uLogic" +o 7 +suid 5,0 +) +) +) +*111 (CptPort +uid 194,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 199,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,13625,56750,14375" +) +tg (CPTG +uid 196,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 197,0 +va (VaSet +font "Verdana,12,0" +) +xt "49100,13400,55000,14800" +st "sensor1" +ju 2 +blo "55000,14600" +tm "CptPortNameMgr" +) +s (Text +uid 722,0 +va (VaSet +font "Verdana,12,0" +) +xt "55000,14800,55000,14800" +ju 2 +blo "55000,14800" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 198,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,19100,19000,19900" +st "sensor1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "sensor1" +t "std_uLogic" +o 10 +suid 6,0 +) +) +) +*112 (CptPort +uid 200,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 201,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,27625,40000,28375" +) +tg (CPTG +uid 202,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 203,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,27300,47700,28700" +st "testMode" +blo "41000,28500" +tm "CptPortNameMgr" +) +s (Text +uid 723,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,28700,41000,28700" +blo "41000,28700" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 204,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,20700,19000,21500" +st "testMode : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "testMode" +t "std_uLogic" +o 12 +suid 7,0 +) +) +) +*113 (CptPort +uid 205,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 206,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "47625,1250,48375,2000" +) +tg (CPTG +uid 207,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 208,0 +va (VaSet +font "Verdana,12,0" +) +xt "45000,3000,50600,4400" +st "testOut" +ju 2 +blo "50600,4200" +tm "CptPortNameMgr" +) +s (Text +uid 724,0 +va (VaSet +font "Verdana,12,0" +) +xt "50600,4400,50600,4400" +ju 2 +blo "48500,900" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 209,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,27900,30500,28700" +st "testOut : OUT std_uLogic_vector (1 TO testLineNb)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_uLogic_vector" +b "(1 TO testLineNb)" +o 21 +suid 8,0 +) +) +) +*114 (CptPort +uid 210,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 211,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,7625,40000,8375" +) +tg (CPTG +uid 212,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 213,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,7300,44200,8700" +st "go1" +blo "41000,8500" +tm "CptPortNameMgr" +) +s (Text +uid 725,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,8700,41000,8700" +blo "41000,8700" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 214,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,15900,19000,16700" +st "go1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "go1" +t "std_uLogic" +o 6 +suid 9,0 +) +) +) +*115 (CptPort +uid 215,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 216,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,9625,56750,10375" +) +tg (CPTG +uid 217,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 218,0 +va (VaSet +font "Verdana,12,0" +) +xt "50800,9400,55000,10800" +st "side2" +ju 2 +blo "55000,10600" +tm "CptPortNameMgr" +) +s (Text +uid 726,0 +va (VaSet +font "Verdana,12,0" +) +xt "55000,10800,55000,10800" +ju 2 +blo "55000,10800" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 219,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,27100,19000,27900" +st "side2 : OUT std_uLogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "side2" +t "std_uLogic" +o 20 +suid 10,0 +) +) +) +*116 (CptPort +uid 220,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 221,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,15625,56750,16375" +) +tg (CPTG +uid 222,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 223,0 +va (VaSet +font "Verdana,12,0" +) +xt "49100,15300,55000,16700" +st "sensor2" +ju 2 +blo "55000,16500" +tm "CptPortNameMgr" +) +s (Text +uid 727,0 +va (VaSet +font "Verdana,12,0" +) +xt "55000,16700,55000,16700" +ju 2 +blo "55000,16700" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 224,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,19900,19000,20700" +st "sensor2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "sensor2" +t "std_uLogic" +o 11 +suid 11,0 +) +) +) +*117 (CptPort +uid 225,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 226,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,5625,56750,6375" +) +tg (CPTG +uid 227,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 228,0 +va (VaSet +font "Verdana,12,0" +) +xt "48700,5400,55000,6800" +st "motorOn" +ju 2 +blo "55000,6600" +tm "CptPortNameMgr" +) +s (Text +uid 728,0 +va (VaSet +font "Verdana,12,0" +) +xt "55000,6800,55000,6800" +ju 2 +blo "55000,6800" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 229,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,25500,19000,26300" +st "motorOn : OUT std_uLogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 18 +suid 12,0 +) +) +) +*118 (CptPort +uid 230,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 250,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,19625,56750,20375" +) +tg (CPTG +uid 232,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 233,0 +va (VaSet +font "Verdana,12,0" +) +xt "48300,19400,55000,20800" +st "encoderA" +ju 2 +blo "55000,20600" +tm "CptPortNameMgr" +) +s (Text +uid 729,0 +va (VaSet +font "Verdana,12,0" +) +xt "55000,20800,55000,20800" +ju 2 +blo "55000,20800" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 234,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,13500,19000,14300" +st "encoderA : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "encoderA" +t "std_uLogic" +o 3 +suid 13,0 +) +) +) +*119 (CptPort +uid 235,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 251,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,21625,56750,22375" +) +tg (CPTG +uid 237,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 238,0 +va (VaSet +font "Verdana,12,0" +) +xt "48300,21400,55000,22800" +st "encoderB" +ju 2 +blo "55000,22600" +tm "CptPortNameMgr" +) +s (Text +uid 730,0 +va (VaSet +font "Verdana,12,0" +) +xt "55000,22800,55000,22800" +ju 2 +blo "55000,22800" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 239,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,14300,19000,15100" +st "encoderB : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "encoderB" +t "std_uLogic" +o 4 +suid 14,0 +) +) +) +*120 (CptPort +uid 240,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 252,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,23625,56750,24375" +) +tg (CPTG +uid 242,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 243,0 +va (VaSet +font "Verdana,12,0" +) +xt "48600,23400,55000,24800" +st "encoderI" +ju 2 +blo "55000,24600" +tm "CptPortNameMgr" +) +s (Text +uid 731,0 +va (VaSet +font "Verdana,12,0" +) +xt "55000,24800,55000,24800" +ju 2 +blo "55000,24800" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 244,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,15100,19000,15900" +st "encoderI : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "encoderI" +t "std_uLogic" +o 5 +suid 15,0 +) +) +) +*121 (CptPort +uid 245,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 246,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,11625,40000,12375" +) +tg (CPTG +uid 247,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 248,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,11300,46800,12700" +st "button4" +blo "41000,12500" +tm "CptPortNameMgr" +) +s (Text +uid 732,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,12700,41000,12700" +blo "41000,12700" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 249,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,11900,19000,12700" +st "button4 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "button4" +t "std_uLogic" +o 1 +suid 16,0 +) +) +) +*122 (CptPort +uid 768,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 769,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,15625,40000,16375" +) +tg (CPTG +uid 770,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 771,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,15300,45900,16700" +st "CS1_n" +blo "41000,16500" +tm "CptPortNameMgr" +) +s (Text +uid 772,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,16700,41000,16700" +blo "41000,16700" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 773,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,22300,19000,23100" +st "CS1_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 14 +suid 2017,0 +) +) +) +*123 (CptPort +uid 774,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 775,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,17625,40000,18375" +) +tg (CPTG +uid 776,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 777,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,17300,44200,18700" +st "SCL" +blo "41000,18500" +tm "CptPortNameMgr" +) +s (Text +uid 778,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,18700,41000,18700" +blo "41000,18700" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 779,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,23900,19000,24700" +st "SCL : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 16 +suid 2018,0 +) +) +) +*124 (CptPort +uid 780,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 781,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,19625,40000,20375" +) +tg (CPTG +uid 782,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 783,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,19300,43100,20700" +st "SI" +blo "41000,20500" +tm "CptPortNameMgr" +) +s (Text +uid 784,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,20700,41000,20700" +blo "41000,20700" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 785,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,24700,19000,25500" +st "SI : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 17 +suid 2019,0 +) +) +) +*125 (CptPort +uid 786,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 787,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,21625,40000,22375" +) +tg (CPTG +uid 788,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 789,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,21300,43400,22700" +st "A0" +blo "41000,22500" +tm "CptPortNameMgr" +) +s (Text +uid 790,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,22700,41000,22700" +blo "41000,22700" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 791,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,21500,19000,22300" +st "A0 : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 13 +suid 2020,0 +) +) +) +*126 (CptPort +uid 792,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 793,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,23625,40000,24375" +) +tg (CPTG +uid 794,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 795,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,23300,45700,24700" +st "RST_n" +blo "41000,24500" +tm "CptPortNameMgr" +) +s (Text +uid 796,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,24700,41000,24700" +blo "41000,24700" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 797,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,23100,19000,23900" +st "RST_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 15 +suid 2021,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "40000,2000,56000,34000" +) +oxt "15000,6000,47000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "40100,33700,43800,34700" +st "Cursor" +blo "40100,34500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "40100,34700,47400,35700" +st "cursorCircuit" +blo "40100,35500" +) +) +gi *127 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "40000,37200,57500,43600" +st "Generic Declarations + +position0 positive 128 +position1 positive 32000 +position2 positive 64000 +slopeShiftBitNb positive 6 +pwmBitNb positive 8 +testLineNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "position0" +type "positive" +value "128" +) +(GiElement +name "position1" +type "positive" +value "32000" +) +(GiElement +name "position2" +type "positive" +value "64000" +) +(GiElement +name "slopeShiftBitNb" +type "positive" +value "6" +) +(GiElement +name "pwmBitNb" +type "positive" +value "8" +) +(GiElement +name "testLineNb" +type "positive" +value "16" +) +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +disp 1 +sTC 0 +) +) +*128 (Grouping +uid 136,0 +optionalChildren [ +*129 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 140,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*130 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 143,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*131 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 146,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*132 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 149,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*133 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 152,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*134 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 155,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*135 (CommentText +uid 156,0 +shape (Rectangle +uid 157,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 158,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*136 (CommentText +uid 159,0 +shape (Rectangle +uid 160,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 161,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*137 (CommentText +uid 162,0 +shape (Rectangle +uid 163,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 164,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*138 (CommentText +uid 165,0 +shape (Rectangle +uid 166,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 167,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 137,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *139 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*140 (Text +uid 103,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6900,1000" +st "Package List" +blo "0,800" +) +*141 (MLText +uid 104,0 +va (VaSet +) +xt "0,1000,16900,4600" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "61,37,1386,886" +viewArea "-1100,-1100,76320,50350" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "650,1100,4350,2100" +st "Panel0" +blo "650,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,47000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "29100,14700,34100,15700" +st "" +blo "29100,15500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "29100,15700,32700,16700" +st "" +blo "29100,16500" +) +) +gi *142 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *143 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9900,7000,10900" +st "Declarations" +blo "0,10700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,10900,3400,11900" +st "Ports:" +blo "0,11700" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,28700,3000,29700" +st "User:" +blo "0,29500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,9900,7600,10900" +st "Internal User:" +blo "0,10700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,29700,2000,29700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,9900,0,9900" +tm "SyDeclarativeTextMgr" +) +) +lastUid 893,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Cursor/hds/lcd@display/fsm.sm b/Cursor/hds/lcd@display/fsm.sm new file mode 100644 index 0000000..f5109be --- /dev/null +++ b/Cursor/hds/lcd@display/fsm.sm @@ -0,0 +1,6594 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +machine (Machine +name "csm" +children [ +(Machine +name "csm" +children [ +] +stateSignalName "current_state" +) +] +) +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (StateMachine +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\lcd@display\\fsm.sm.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\lcd@display\\fsm.sm.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "fsm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\lcd@display" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\lcdDisplay" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdDisplay" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "fsm.sm" +) +(vvPair +variable "f_logical" +value "fsm.sm" +) +(vvPair +variable "f_noext" +value "fsm" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "08:13:13" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "lcdDisplay" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\lcd@display\\fsm.sm" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\lcdDisplay\\fsm.sm" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "sm" +) +(vvPair +variable "this_file" +value "fsm" +) +(vvPair +variable "this_file_logical" +value "fsm" +) +(vvPair +variable "time" +value "08:13:13" +) +(vvPair +variable "unit" +value "lcdDisplay" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "fsm" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +uid 556,0 +optionalChildren [ +*1 (ConcurrentSM +uid 1,0 +topDiagram (StateDiagram +LanguageMgr "None" +uid 2,0 +optionalChildren [ +*2 (State +uid 39,0 +shape (Circle +uid 40,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "9977,10977,16023,17023" +radius 3023 +) +name (Text +uid 41,0 +va (VaSet +font "Verdana,10,1" +) +xt "11750,13400,14250,14600" +st "init" +ju 0 +blo "13000,14400" +tm "ONodeName" +) +wait (TextAssociate +uid 42,0 +ps "CenterOffsetStrategy" +text (Text +uid 43,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "13000,14300,17100,15500" +st "wait 2" +blo "13000,15300" +tm "SmWaitText" +) +) +encoding (Text +uid 44,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "13000,15000,13000,15000" +blo "13000,15000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 47,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 48,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "850,16100,33150,18300" +) +autoResize 1 +tline (Line +uid 49,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "950,16000,33050,16000" +pts [ +"950,16000" +"33050,16000" +] +) +bline (Line +uid 50,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "950,16100,33050,16100" +pts [ +"950,16100" +"33050,16100" +] +) +ttri (Triangle +uid 51,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "500,15625,850,15975" +) +btri (Triangle +uid 52,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "500,13825,850,14175" +) +entryActions (MLText +uid 53,0 +va (VaSet +) +xt "950,15800,950,15800" +tm "Actions" +) +inActions (MLText +uid 54,0 +va (VaSet +) +xt "950,16200,41150,18600" +st "ascii <= std_ulogic_vector(to_unsigned(character'pos(can), ascii'length)); +send <= not busy;" +tm "Actions" +) +exitActions (MLText +uid 55,0 +va (VaSet +) +xt "13000,14000,13000,14000" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 45,0 +ps "CenterOffsetStrategy" +text (MLText +uid 46,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "10950,15000,17050,16000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*3 (SmClockPoint +uid 56,0 +shape (CompositeShape +uid 57,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 58,0 +sl 0 +ro 270 +xt "76875,4500,79125,5500" +) +(OrthoPolyLine +uid 59,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "77775,4700,78374,5300" +pts [ +"77775,5300" +"78074,5300" +"78074,4700" +"78374,4700" +] +) +(Arc2D +pts [ +"77384,5153" +"77129,4847" +"77384,4847" +] +uid 60,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "77056,4800,77384,5199" +) +] +) +name (TextAssociate +uid 61,0 +ps "CenterOffsetStrategy" +text (Text +uid 62,0 +va (VaSet +) +xt "73875,4500,76375,5500" +st "clock" +ju 2 +blo "76375,5300" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +uid 63,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 64,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "79125,4300,96425,5700" +) +autoResize 1 +cond (MLText +uid 65,0 +va (VaSet +) +xt "79225,4400,96325,5600" +st "clock'EVENT AND clock = '1'" +tm "SmControlConditionMgr" +) +) +) +*4 (SmResetPoint +uid 66,0 +shape (CompositeShape +uid 67,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 68,0 +sl 0 +ro 270 +xt "77250,6500,79500,7500" +) +(OrthoPolyLine +uid 69,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "78150,6700,78749,7300" +pts [ +"78749,6700" +"78449,6700" +"78449,7300" +"78150,7300" +] +) +(Line +uid 70,0 +sl 0 +ro 270 +xt "77499,6825,77599,6875" +pts [ +"77499,6875" +"77599,6825" +] +) +(Line +uid 71,0 +sl 0 +ro 270 +xt "77499,6825,77499,7175" +pts [ +"77499,7175" +"77499,6825" +] +) +(Circle +uid 72,0 +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "78299,6850,78599,7150" +radius 150 +) +] +) +cond (SmControlCondition +uid 78,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 79,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "75800,4800,82600,6200" +) +autoResize 1 +cond (MLText +uid 80,0 +va (VaSet +isHidden 1 +) +xt "75900,4900,82500,6100" +st "reset = '1'" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +uid 75,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 76,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "79500,6011,81478,7989" +radius 989 +) +pr (Text +uid 77,0 +va (VaSet +isHidden 1 +) +xt "79989,6500,80989,7500" +st "1" +ju 0 +blo "80489,7300" +tm "TransitionPriority" +) +padding "200,200" +) +name (TextAssociate +uid 73,0 +ps "CenterOffsetStrategy" +text (Text +uid 74,0 +va (VaSet +) +xt "74750,6500,77250,7500" +st "reset" +ju 2 +blo "77250,7300" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +uid 81,0 +ps "CenterOffsetStrategy" +text (MLText +uid 82,0 +va (VaSet +isHidden 1 +) +xt "85125,11125,93725,12325" +st "< Automatic >" +tm "Actions" +) +) +level 1 +) +*5 (Link +uid 83,0 +shape (CompositeShape +uid 84,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 85,0 +sl 0 +ro 270 +xt "87500,6500,89750,7500" +) +(Line +uid 86,0 +sl 0 +ro 270 +xt "87000,7000,87500,7000" +pts [ +"87000,7000" +"87500,7000" +] +) +] +) +name (TextAssociate +uid 87,0 +ps "CenterOffsetStrategy" +text (Text +uid 88,0 +va (VaSet +font "Verdana,8,1" +) +xt "90250,6500,92450,7500" +st "init" +blo "90250,7300" +tm "LinkName" +) +) +) +*6 (SmRecoveryStatePoint +uid 89,0 +shape (CompositeShape +uid 90,0 +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +uid 91,0 +sl 0 +xt "77100,8100,78900,9900" +radius 900 +) +(Line +uid 92,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "77574,8574,78426,9426" +pts [ +"77574,9426" +"78426,8574" +] +) +(Line +uid 93,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "77574,8574,78426,9426" +pts [ +"78426,9426" +"77574,8574" +] +) +] +) +) +*7 (Link +uid 94,0 +shape (CompositeShape +uid 95,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 96,0 +sl 0 +ro 270 +xt "87500,8500,89750,9500" +) +(Line +uid 97,0 +sl 0 +ro 270 +xt "87000,9000,87500,9000" +pts [ +"87000,9000" +"87500,9000" +] +) +] +) +name (TextAssociate +uid 98,0 +ps "CenterOffsetStrategy" +text (Text +uid 99,0 +va (VaSet +font "Verdana,8,1" +) +xt "90250,8500,92450,9500" +st "init" +blo "90250,9300" +tm "LinkName" +) +) +) +*8 (Grouping +uid 173,0 +optionalChildren [ +*9 (CommentText +uid 175,0 +shape (Rectangle +uid 176,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,56000,97000,58000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 177,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "78200,56400,93600,57600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 178,0 +shape (Rectangle +uid 179,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,56000,72000,58000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 180,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "53250,56250,64750,57750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 181,0 +shape (Rectangle +uid 182,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,62000,72000,64000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 183,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,62400,67500,63600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*12 (CommentText +uid 184,0 +shape (Rectangle +uid 185,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,56000,78000,58000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 186,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,56400,76900,57600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 187,0 +shape (Rectangle +uid 188,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,58000,72000,60000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 189,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,58400,66400,59600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*14 (CommentText +uid 190,0 +shape (Rectangle +uid 191,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,58000,51000,60000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 192,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,58400,49600,59600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*15 (CommentText +uid 193,0 +shape (Rectangle +uid 194,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,60000,51000,62000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 195,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,60400,49600,61600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*16 (CommentText +uid 196,0 +shape (Rectangle +uid 197,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,58000,97000,64000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 198,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,58200,86300,59400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*17 (CommentText +uid 199,0 +shape (Rectangle +uid 200,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,60000,72000,62000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 201,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,60400,64600,61600" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*18 (CommentText +uid 202,0 +shape (Rectangle +uid 203,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,62000,51000,64000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 204,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,62400,50500,63600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 174,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "46000,56000,97000,64000" +) +oxt "13000,22000,64000,30000" +) +*19 (State +uid 205,0 +shape (Circle +uid 206,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "21977,10977,28023,17023" +radius 3023 +) +name (Text +uid 207,0 +va (VaSet +font "Verdana,10,1" +) +xt "23050,13400,26950,14600" +st "home" +ju 0 +blo "25000,14400" +tm "ONodeName" +) +wait (TextAssociate +uid 208,0 +ps "CenterOffsetStrategy" +text (Text +uid 209,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "25000,14300,29100,15500" +st "wait 2" +blo "25000,15300" +tm "SmWaitText" +) +) +encoding (Text +uid 210,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "25000,15000,25000,15000" +blo "25000,15000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 213,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 214,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "12950,16900,45050,19100" +) +autoResize 1 +tline (Line +uid 215,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "13050,16800,44950,16800" +pts [ +"13050,16800" +"44950,16800" +] +) +bline (Line +uid 216,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "13050,16900,44950,16900" +pts [ +"13050,16900" +"44950,16900" +] +) +ttri (Triangle +uid 217,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "12600,16425,12950,16775" +) +btri (Triangle +uid 218,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "12600,14625,12950,14975" +) +entryActions (MLText +uid 219,0 +va (VaSet +) +xt "13050,16600,13050,16600" +tm "Actions" +) +inActions (MLText +uid 220,0 +va (VaSet +) +xt "13050,17000,53050,19400" +st "ascii <= std_ulogic_vector(to_unsigned(character'pos(stx), ascii'length)); +send <= not busy;" +tm "Actions" +) +exitActions (MLText +uid 221,0 +va (VaSet +) +xt "25000,14800,25000,14800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 211,0 +ps "CenterOffsetStrategy" +text (MLText +uid 212,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "22950,15000,29050,16000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*20 (State +uid 222,0 +shape (Circle +uid 223,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "9977,22977,16023,29023" +radius 3023 +) +name (Text +uid 224,0 +va (VaSet +font "Verdana,10,1" +) +xt "12250,25400,13750,26600" +st "H" +ju 0 +blo "13000,26400" +tm "ONodeName" +) +wait (TextAssociate +uid 225,0 +ps "CenterOffsetStrategy" +text (Text +uid 226,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "13000,26300,17100,27500" +st "wait 2" +blo "13000,27300" +tm "SmWaitText" +) +) +encoding (Text +uid 227,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "13000,27000,13000,27000" +blo "13000,27000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 230,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 231,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "1050,28100,32950,30300" +) +autoResize 1 +tline (Line +uid 232,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "1150,28000,32850,28000" +pts [ +"1150,28000" +"32850,28000" +] +) +bline (Line +uid 233,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "1150,28100,32850,28100" +pts [ +"1150,28100" +"32850,28100" +] +) +ttri (Triangle +uid 234,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "700,27625,1050,27975" +) +btri (Triangle +uid 235,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "700,25825,1050,26175" +) +entryActions (MLText +uid 236,0 +va (VaSet +) +xt "1150,27800,1150,27800" +tm "Actions" +) +inActions (MLText +uid 237,0 +va (VaSet +) +xt "1150,28200,40950,30600" +st "ascii <= std_ulogic_vector(to_unsigned(character'pos('H'), ascii'length)); +send <= not busy;" +tm "Actions" +) +exitActions (MLText +uid 238,0 +va (VaSet +) +xt "13000,26000,13000,26000" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 228,0 +ps "CenterOffsetStrategy" +text (MLText +uid 229,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "10950,27000,17050,28000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*21 (State +uid 239,0 +shape (Circle +uid 240,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "21977,22977,28023,29023" +radius 3023 +) +name (Text +uid 241,0 +va (VaSet +font "Verdana,10,1" +) +xt "24300,25400,25700,26600" +st "e" +ju 0 +blo "25000,26400" +tm "ONodeName" +) +wait (TextAssociate +uid 242,0 +ps "CenterOffsetStrategy" +text (Text +uid 243,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "25000,26300,29100,27500" +st "wait 2" +blo "25000,27300" +tm "SmWaitText" +) +) +encoding (Text +uid 244,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "25000,27000,25000,27000" +blo "25000,27000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 247,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 248,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "13100,28900,44900,31100" +) +autoResize 1 +tline (Line +uid 249,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "13200,28800,44800,28800" +pts [ +"13200,28800" +"44800,28800" +] +) +bline (Line +uid 250,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "13200,28900,44800,28900" +pts [ +"13200,28900" +"44800,28900" +] +) +ttri (Triangle +uid 251,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "12750,28425,13100,28775" +) +btri (Triangle +uid 252,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "12750,26625,13100,26975" +) +entryActions (MLText +uid 253,0 +va (VaSet +) +xt "13200,28600,13200,28600" +tm "Actions" +) +inActions (MLText +uid 254,0 +va (VaSet +) +xt "13200,29000,52800,31400" +st "ascii <= std_ulogic_vector(to_unsigned(character'pos('e'), ascii'length)); +send <= not busy;" +tm "Actions" +) +exitActions (MLText +uid 255,0 +va (VaSet +) +xt "25000,26800,25000,26800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 245,0 +ps "CenterOffsetStrategy" +text (MLText +uid 246,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "22950,27000,29050,28000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*22 (State +uid 286,0 +shape (Circle +uid 287,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "33977,22977,40023,29023" +radius 3023 +) +name (Text +uid 288,0 +va (VaSet +font "Verdana,10,1" +) +xt "36500,25400,37500,26600" +st "l" +ju 0 +blo "37000,26400" +tm "ONodeName" +) +wait (TextAssociate +uid 289,0 +ps "CenterOffsetStrategy" +text (Text +uid 290,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "37000,26300,41100,27500" +st "wait 2" +blo "37000,27300" +tm "SmWaitText" +) +) +encoding (Text +uid 291,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "37000,27000,37000,27000" +blo "37000,27000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 294,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 295,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "25250,29900,56750,32100" +) +autoResize 1 +tline (Line +uid 296,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "25350,29800,56650,29800" +pts [ +"25350,29800" +"56650,29800" +] +) +bline (Line +uid 297,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "25350,29900,56650,29900" +pts [ +"25350,29900" +"56650,29900" +] +) +ttri (Triangle +uid 298,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "24900,29425,25250,29775" +) +btri (Triangle +uid 299,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "24900,27625,25250,27975" +) +entryActions (MLText +uid 300,0 +va (VaSet +) +xt "25350,29600,25350,29600" +tm "Actions" +) +inActions (MLText +uid 301,0 +va (VaSet +) +xt "25350,30000,64650,32400" +st "ascii <= std_ulogic_vector(to_unsigned(character'pos('l'), ascii'length)); +send <= not busy;" +tm "Actions" +) +exitActions (MLText +uid 302,0 +va (VaSet +) +xt "37000,27800,37000,27800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 292,0 +ps "CenterOffsetStrategy" +text (MLText +uid 293,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "34950,27000,41050,28000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*23 (State +uid 303,0 +shape (Circle +uid 304,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "45977,22977,52023,29023" +radius 3023 +) +name (Text +uid 305,0 +va (VaSet +font "Verdana,10,1" +) +xt "48150,25400,49850,26600" +st "l2" +ju 0 +blo "49000,26400" +tm "ONodeName" +) +wait (TextAssociate +uid 306,0 +ps "CenterOffsetStrategy" +text (Text +uid 307,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "49000,26300,53100,27500" +st "wait 2" +blo "49000,27300" +tm "SmWaitText" +) +) +encoding (Text +uid 308,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "49000,27000,49000,27000" +blo "49000,27000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 311,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 312,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "37250,28100,68750,30300" +) +autoResize 1 +tline (Line +uid 313,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "37350,28000,68650,28000" +pts [ +"37350,28000" +"68650,28000" +] +) +bline (Line +uid 314,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "37350,28100,68650,28100" +pts [ +"37350,28100" +"68650,28100" +] +) +ttri (Triangle +uid 315,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "36900,27625,37250,27975" +) +btri (Triangle +uid 316,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "36900,25825,37250,26175" +) +entryActions (MLText +uid 317,0 +va (VaSet +) +xt "37350,27800,37350,27800" +tm "Actions" +) +inActions (MLText +uid 318,0 +va (VaSet +) +xt "37350,28200,76650,30600" +st "ascii <= std_ulogic_vector(to_unsigned(character'pos('l'), ascii'length)); +send <= not busy;" +tm "Actions" +) +exitActions (MLText +uid 319,0 +va (VaSet +) +xt "49000,26000,49000,26000" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 309,0 +ps "CenterOffsetStrategy" +text (MLText +uid 310,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "46950,27000,53050,28000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*24 (State +uid 330,0 +shape (Circle +uid 331,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "57977,22977,64023,29023" +radius 3023 +) +name (Text +uid 332,0 +va (VaSet +font "Verdana,10,1" +) +xt "60300,25400,61700,26600" +st "o" +ju 0 +blo "61000,26400" +tm "ONodeName" +) +wait (TextAssociate +uid 333,0 +ps "CenterOffsetStrategy" +text (Text +uid 334,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "61000,26300,65100,27500" +st "wait 2" +blo "61000,27300" +tm "SmWaitText" +) +) +encoding (Text +uid 335,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "61000,27000,61000,27000" +blo "61000,27000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 338,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 339,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "49100,28900,80900,31100" +) +autoResize 1 +tline (Line +uid 340,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "49200,28800,80800,28800" +pts [ +"49200,28800" +"80800,28800" +] +) +bline (Line +uid 341,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "49200,28900,80800,28900" +pts [ +"49200,28900" +"80800,28900" +] +) +ttri (Triangle +uid 342,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "48750,28425,49100,28775" +) +btri (Triangle +uid 343,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "48750,26625,49100,26975" +) +entryActions (MLText +uid 344,0 +va (VaSet +) +xt "49200,28600,49200,28600" +tm "Actions" +) +inActions (MLText +uid 345,0 +va (VaSet +) +xt "49200,29000,88800,31400" +st "ascii <= std_ulogic_vector(to_unsigned(character'pos('o'), ascii'length)); +send <= not busy;" +tm "Actions" +) +exitActions (MLText +uid 346,0 +va (VaSet +) +xt "61000,26800,61000,26800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 336,0 +ps "CenterOffsetStrategy" +text (MLText +uid 337,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "58950,27000,65050,28000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*25 (State +uid 347,0 +shape (Circle +uid 348,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "69977,22977,76023,29023" +radius 3023 +) +name (Text +uid 349,0 +va (VaSet +font "Verdana,10,1" +) +xt "72100,25400,73900,26600" +st "cr" +ju 0 +blo "73000,26400" +tm "ONodeName" +) +wait (TextAssociate +uid 350,0 +ps "CenterOffsetStrategy" +text (Text +uid 351,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "73000,26300,77100,27500" +st "wait 2" +blo "73000,27300" +tm "SmWaitText" +) +) +encoding (Text +uid 352,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "73000,27000,73000,27000" +blo "73000,27000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 355,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 356,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "61200,29900,92800,32100" +) +autoResize 1 +tline (Line +uid 357,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "61300,29800,92700,29800" +pts [ +"61300,29800" +"92700,29800" +] +) +bline (Line +uid 358,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "61300,29900,92700,29900" +pts [ +"61300,29900" +"92700,29900" +] +) +ttri (Triangle +uid 359,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "60850,29425,61200,29775" +) +btri (Triangle +uid 360,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "60850,27625,61200,27975" +) +entryActions (MLText +uid 361,0 +va (VaSet +) +xt "61300,29600,61300,29600" +tm "Actions" +) +inActions (MLText +uid 362,0 +va (VaSet +) +xt "61300,30000,100700,32400" +st "ascii <= std_ulogic_vector(to_unsigned(character'pos(cr), ascii'length)); +send <= not busy;" +tm "Actions" +) +exitActions (MLText +uid 363,0 +va (VaSet +) +xt "73000,27800,73000,27800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 353,0 +ps "CenterOffsetStrategy" +text (MLText +uid 354,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "70950,27000,77050,28000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*26 (State +uid 394,0 +shape (Circle +uid 395,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "81977,22977,88023,29023" +radius 3023 +) +name (Text +uid 396,0 +va (VaSet +font "Verdana,10,1" +) +xt "84250,25400,85750,26600" +st "lf" +ju 0 +blo "85000,26400" +tm "ONodeName" +) +wait (TextAssociate +uid 397,0 +ps "CenterOffsetStrategy" +text (Text +uid 398,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "85000,26300,89100,27500" +st "wait 2" +blo "85000,27300" +tm "SmWaitText" +) +) +encoding (Text +uid 399,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "85000,27000,85000,27000" +blo "85000,27000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 402,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 403,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "73300,28100,104700,30300" +) +autoResize 1 +tline (Line +uid 404,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "73400,28000,104600,28000" +pts [ +"73400,28000" +"104600,28000" +] +) +bline (Line +uid 405,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "73400,28100,104600,28100" +pts [ +"73400,28100" +"104600,28100" +] +) +ttri (Triangle +uid 406,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "72950,27625,73300,27975" +) +btri (Triangle +uid 407,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "72950,25825,73300,26175" +) +entryActions (MLText +uid 408,0 +va (VaSet +) +xt "73400,27800,73400,27800" +tm "Actions" +) +inActions (MLText +uid 409,0 +va (VaSet +) +xt "73400,28200,112400,30600" +st "ascii <= std_ulogic_vector(to_unsigned(character'pos(lf), ascii'length)); +send <= not busy;" +tm "Actions" +) +exitActions (MLText +uid 410,0 +va (VaSet +) +xt "85000,26000,85000,26000" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 400,0 +ps "CenterOffsetStrategy" +text (MLText +uid 401,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "82950,27000,89050,28000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*27 (State +uid 421,0 +shape (Circle +uid 422,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "9977,34977,16023,41023" +radius 3023 +) +name (Text +uid 423,0 +va (VaSet +font "Verdana,10,1" +) +xt "12050,37400,13950,38600" +st "W" +ju 0 +blo "13000,38400" +tm "ONodeName" +) +wait (TextAssociate +uid 424,0 +ps "CenterOffsetStrategy" +text (Text +uid 425,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "13000,38300,17100,39500" +st "wait 2" +blo "13000,39300" +tm "SmWaitText" +) +) +encoding (Text +uid 426,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "13000,39000,13000,39000" +blo "13000,39000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 429,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 430,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "950,40100,33050,42300" +) +autoResize 1 +tline (Line +uid 431,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "1050,40000,32950,40000" +pts [ +"1050,40000" +"32950,40000" +] +) +bline (Line +uid 432,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "1050,40100,32950,40100" +pts [ +"1050,40100" +"32950,40100" +] +) +ttri (Triangle +uid 433,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "600,39625,950,39975" +) +btri (Triangle +uid 434,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "600,37825,950,38175" +) +entryActions (MLText +uid 435,0 +va (VaSet +) +xt "1050,39800,1050,39800" +tm "Actions" +) +inActions (MLText +uid 436,0 +va (VaSet +) +xt "1050,40200,40950,42600" +st "ascii <= std_ulogic_vector(to_unsigned(character'pos('W'), ascii'length)); +send <= not busy;" +tm "Actions" +) +exitActions (MLText +uid 437,0 +va (VaSet +) +xt "13000,38000,13000,38000" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 427,0 +ps "CenterOffsetStrategy" +text (MLText +uid 428,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "10950,39000,17050,40000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*28 (State +uid 438,0 +shape (Circle +uid 439,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "21977,34977,28023,41023" +radius 3023 +) +name (Text +uid 440,0 +va (VaSet +font "Verdana,10,1" +) +xt "23950,37400,26050,38600" +st "o2" +ju 0 +blo "25000,38400" +tm "ONodeName" +) +wait (TextAssociate +uid 441,0 +ps "CenterOffsetStrategy" +text (Text +uid 442,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "25000,38300,29100,39500" +st "wait 2" +blo "25000,39300" +tm "SmWaitText" +) +) +encoding (Text +uid 443,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "25000,39000,25000,39000" +blo "25000,39000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 446,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 447,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "13100,40900,44900,43100" +) +autoResize 1 +tline (Line +uid 448,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "13200,40800,44800,40800" +pts [ +"13200,40800" +"44800,40800" +] +) +bline (Line +uid 449,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "13200,40900,44800,40900" +pts [ +"13200,40900" +"44800,40900" +] +) +ttri (Triangle +uid 450,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "12750,40425,13100,40775" +) +btri (Triangle +uid 451,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "12750,38625,13100,38975" +) +entryActions (MLText +uid 452,0 +va (VaSet +) +xt "13200,40600,13200,40600" +tm "Actions" +) +inActions (MLText +uid 453,0 +va (VaSet +) +xt "13200,41000,52800,43400" +st "ascii <= std_ulogic_vector(to_unsigned(character'pos('o'), ascii'length)); +send <= not busy;" +tm "Actions" +) +exitActions (MLText +uid 454,0 +va (VaSet +) +xt "25000,38800,25000,38800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 444,0 +ps "CenterOffsetStrategy" +text (MLText +uid 445,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "22950,39000,29050,40000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*29 (State +uid 455,0 +shape (Circle +uid 456,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "33977,34977,40023,41023" +radius 3023 +) +name (Text +uid 457,0 +va (VaSet +font "Verdana,10,1" +) +xt "36400,37400,37600,38600" +st "r" +ju 0 +blo "37000,38400" +tm "ONodeName" +) +wait (TextAssociate +uid 458,0 +ps "CenterOffsetStrategy" +text (Text +uid 459,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "37000,38300,41100,39500" +st "wait 2" +blo "37000,39300" +tm "SmWaitText" +) +) +encoding (Text +uid 460,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "37000,39000,37000,39000" +blo "37000,39000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 463,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 464,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "25200,41900,56800,44100" +) +autoResize 1 +tline (Line +uid 465,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "25300,41800,56700,41800" +pts [ +"25300,41800" +"56700,41800" +] +) +bline (Line +uid 466,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "25300,41900,56700,41900" +pts [ +"25300,41900" +"56700,41900" +] +) +ttri (Triangle +uid 467,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "24850,41425,25200,41775" +) +btri (Triangle +uid 468,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "24850,39625,25200,39975" +) +entryActions (MLText +uid 469,0 +va (VaSet +) +xt "25300,41600,25300,41600" +tm "Actions" +) +inActions (MLText +uid 470,0 +va (VaSet +) +xt "25300,42000,64700,44400" +st "ascii <= std_ulogic_vector(to_unsigned(character'pos('r'), ascii'length)); +send <= not busy;" +tm "Actions" +) +exitActions (MLText +uid 471,0 +va (VaSet +) +xt "37000,39800,37000,39800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 461,0 +ps "CenterOffsetStrategy" +text (MLText +uid 462,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "34950,39000,41050,40000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*30 (State +uid 472,0 +shape (Circle +uid 473,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "45977,34977,52023,41023" +radius 3023 +) +name (Text +uid 474,0 +va (VaSet +font "Verdana,10,1" +) +xt "48150,37400,49850,38600" +st "l3" +ju 0 +blo "49000,38400" +tm "ONodeName" +) +wait (TextAssociate +uid 475,0 +ps "CenterOffsetStrategy" +text (Text +uid 476,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "49000,38300,53100,39500" +st "wait 2" +blo "49000,39300" +tm "SmWaitText" +) +) +encoding (Text +uid 477,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "49000,39000,49000,39000" +blo "49000,39000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 480,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 481,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "37250,40100,68750,42300" +) +autoResize 1 +tline (Line +uid 482,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "37350,40000,68650,40000" +pts [ +"37350,40000" +"68650,40000" +] +) +bline (Line +uid 483,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "37350,40100,68650,40100" +pts [ +"37350,40100" +"68650,40100" +] +) +ttri (Triangle +uid 484,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "36900,39625,37250,39975" +) +btri (Triangle +uid 485,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "36900,37825,37250,38175" +) +entryActions (MLText +uid 486,0 +va (VaSet +) +xt "37350,39800,37350,39800" +tm "Actions" +) +inActions (MLText +uid 487,0 +va (VaSet +) +xt "37350,40200,76650,42600" +st "ascii <= std_ulogic_vector(to_unsigned(character'pos('l'), ascii'length)); +send <= not busy;" +tm "Actions" +) +exitActions (MLText +uid 488,0 +va (VaSet +) +xt "49000,38000,49000,38000" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 478,0 +ps "CenterOffsetStrategy" +text (MLText +uid 479,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "46950,39000,53050,40000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*31 (State +uid 489,0 +shape (Circle +uid 490,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "57977,34977,64023,41023" +radius 3023 +) +name (Text +uid 491,0 +va (VaSet +font "Verdana,10,1" +) +xt "60300,37400,61700,38600" +st "d" +ju 0 +blo "61000,38400" +tm "ONodeName" +) +wait (TextAssociate +uid 492,0 +ps "CenterOffsetStrategy" +text (Text +uid 493,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "61000,38300,65100,39500" +st "wait 2" +blo "61000,39300" +tm "SmWaitText" +) +) +encoding (Text +uid 494,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "61000,39000,61000,39000" +blo "61000,39000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 497,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 498,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "49100,40900,80900,43100" +) +autoResize 1 +tline (Line +uid 499,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "49200,40800,80800,40800" +pts [ +"49200,40800" +"80800,40800" +] +) +bline (Line +uid 500,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "49200,40900,80800,40900" +pts [ +"49200,40900" +"80800,40900" +] +) +ttri (Triangle +uid 501,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "48750,40425,49100,40775" +) +btri (Triangle +uid 502,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "48750,38625,49100,38975" +) +entryActions (MLText +uid 503,0 +va (VaSet +) +xt "49200,40600,49200,40600" +tm "Actions" +) +inActions (MLText +uid 504,0 +va (VaSet +) +xt "49200,41000,88800,43400" +st "ascii <= std_ulogic_vector(to_unsigned(character'pos('d'), ascii'length)); +send <= not busy;" +tm "Actions" +) +exitActions (MLText +uid 505,0 +va (VaSet +) +xt "61000,38800,61000,38800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 495,0 +ps "CenterOffsetStrategy" +text (MLText +uid 496,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "58950,39000,65050,40000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*32 (State +uid 602,0 +shape (Circle +uid 603,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "9977,46977,16023,53023" +radius 3023 +) +name (Text +uid 604,0 +va (VaSet +font "Verdana,10,1" +) +xt "11650,49400,14350,50600" +st "idle" +ju 0 +blo "13000,50400" +tm "ONodeName" +) +wait (TextAssociate +uid 605,0 +ps "CenterOffsetStrategy" +text (Text +uid 606,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "13000,50300,17100,51500" +st "wait 2" +blo "13000,51300" +tm "SmWaitText" +) +) +encoding (Text +uid 607,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "13000,51000,13000,51000" +blo "13000,51000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 610,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 611,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "16900,53900,17100,54100" +) +autoResize 1 +tline (Line +uid 612,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "1200,52800,32800,52800" +pts [ +"1200,52800" +"32800,52800" +] +) +bline (Line +uid 613,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "1200,52900,32800,52900" +pts [ +"1200,52900" +"32800,52900" +] +) +ttri (Triangle +uid 614,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "12550,52425,12900,52775" +) +btri (Triangle +uid 615,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "12550,50625,12900,50975" +) +entryActions (MLText +uid 616,0 +va (VaSet +) +xt "1200,52600,1200,52600" +tm "Actions" +) +inActions (MLText +uid 617,0 +va (VaSet +) +xt "1200,53000,32800,55000" +tm "Actions" +) +exitActions (MLText +uid 618,0 +va (VaSet +) +xt "13000,50800,13000,50800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 608,0 +ps "CenterOffsetStrategy" +text (MLText +uid 609,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "10950,51000,17050,52000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*33 (Transition +uid 100,0 +shape (Spline +uid 101,0 +va (VaSet +vasetType 3 +) +xt "79500,7000,87000,7000" +pts [ +"79500,7000" +"87000,7000" +] +) +start &4 +end &5 +ss 0 +es 0 +cond "reset = '1'" +tb (TransitionBlock +uid 102,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 103,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "80900,6000,88500,8200" +) +autoResize 1 +lineShape (Line +uid 104,0 +va (VaSet +vasetType 3 +) +xt "81400,7600,88000,7600" +pts [ +"81400,7600" +"88000,7600" +] +) +condition (MLText +uid 105,0 +va (VaSet +) +xt "81400,6000,88000,7200" +st "reset = '1'" +tm "Condition" +) +actions (MLText +uid 106,0 +va (VaSet +isHidden 1 +) +xt "80400,8000,89000,9200" +st "< Automatic >" +tm "Actions" +) +) +tp (TransitionPriority +uid 107,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 108,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "79261,6011,81239,7989" +radius 989 +) +pr (Text +uid 109,0 +va (VaSet +isHidden 1 +) +xt "79750,6500,80750,7500" +st "1" +ju 0 +blo "80250,7300" +tm "TransitionPriority" +) +padding "200,200" +) +) +*34 (Transition +uid 110,0 +shape (Spline +uid 111,0 +va (VaSet +vasetType 3 +) +xt "78900,9000,87000,9000" +pts [ +"78900,9000" +"87000,9000" +] +) +start &6 +end &7 +ss 0 +es 0 +tb (TransitionBlock +uid 112,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 113,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "80400,8495,85500,9505" +) +autoResize 1 +lineShape (Line +uid 114,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "82950,9900,82950,9900" +pts [ +"82950,9900" +"82950,9900" +] +) +condition (MLText +uid 115,0 +va (VaSet +) +xt "80900,8500,85000,9500" +tm "Condition" +) +actions (MLText +uid 116,0 +va (VaSet +) +xt "82950,9900,82950,9900" +tm "Actions" +) +) +tp (TransitionPriority +uid 117,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 118,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "78721,8011,80699,9989" +radius 989 +) +pr (Text +uid 119,0 +va (VaSet +isHidden 1 +) +xt "79210,8500,80210,9500" +st "1" +ju 0 +blo "79710,9300" +tm "TransitionPriority" +) +padding "200,200" +) +) +*35 (Transition +uid 256,0 +shape (Spline +uid 257,0 +va (VaSet +vasetType 3 +) +xt "16023,14017,21977,14021" +pts [ +"16023,14017" +"21977,14021" +] +arrow 1 +) +start &2 +end &19 +cond "busy = '0'" +tb (TransitionBlock +uid 258,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 259,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "15950,12000,22050,14000" +) +autoResize 1 +lineShape (Line +uid 260,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "18500,13900,18500,13900" +pts [ +"18500,13900" +"18500,13900" +] +) +condition (MLText +uid 261,0 +va (VaSet +) +xt "16450,12500,22850,13700" +st "busy = '0'" +tm "Condition" +) +actions (MLText +uid 262,0 +va (VaSet +) +xt "19000,13900,19000,13900" +tm "Actions" +) +) +tp (TransitionPriority +uid 263,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 264,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "15629,13028,17607,15006" +radius 989 +) +pr (Text +uid 265,0 +va (VaSet +isHidden 1 +) +xt "16118,13517,17118,14517" +st "1" +ju 0 +blo "16618,14317" +tm "TransitionPriority" +) +padding "200,200" +) +) +*36 (Transition +uid 266,0 +shape (Spline +uid 267,0 +va (VaSet +vasetType 3 +) +xt "14825,15917,22662,23591" +pts [ +"22662,15917" +"14825,23591" +] +arrow 1 +) +start &19 +end &20 +cond "busy = '0'" +tb (TransitionBlock +uid 268,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 269,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "18243,19254,24343,21254" +) +autoResize 1 +lineShape (Line +uid 270,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "20793,21154,20793,21154" +pts [ +"20793,21154" +"20793,21154" +] +) +condition (MLText +uid 271,0 +va (VaSet +) +xt "18743,19754,25143,20954" +st "busy = '0'" +tm "Condition" +) +actions (MLText +uid 272,0 +va (VaSet +) +xt "21293,21154,21293,21154" +tm "Actions" +) +) +tp (TransitionPriority +uid 273,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 274,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "20889,15694,22867,17672" +radius 989 +) +pr (Text +uid 275,0 +va (VaSet +isHidden 1 +) +xt "21378,16183,22378,17183" +st "1" +ju 0 +blo "21878,16983" +tm "TransitionPriority" +) +padding "200,200" +) +) +*37 (Transition +uid 276,0 +shape (Spline +uid 277,0 +va (VaSet +vasetType 3 +) +xt "16023,25972,21977,25974" +pts [ +"16023,25974" +"21977,25972" +] +arrow 1 +) +start &20 +end &21 +cond "busy = '0'" +tb (TransitionBlock +uid 278,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 279,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "15950,24000,22050,26000" +) +autoResize 1 +lineShape (Line +uid 280,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "18500,25900,18500,25900" +pts [ +"18500,25900" +"18500,25900" +] +) +condition (MLText +uid 281,0 +va (VaSet +) +xt "16450,24500,22850,25700" +st "busy = '0'" +tm "Condition" +) +actions (MLText +uid 282,0 +va (VaSet +) +xt "19000,25900,19000,25900" +tm "Actions" +) +) +tp (TransitionPriority +uid 283,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 284,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "15629,24984,17607,26962" +radius 989 +) +pr (Text +uid 285,0 +va (VaSet +isHidden 1 +) +xt "16118,25473,17118,26473" +st "1" +ju 0 +blo "16618,26273" +tm "TransitionPriority" +) +padding "200,200" +) +) +*38 (Transition +uid 320,0 +shape (Spline +uid 321,0 +va (VaSet +vasetType 3 +) +xt "40023,25972,45977,25974" +pts [ +"40023,25974" +"45977,25972" +] +arrow 1 +) +start &22 +end &23 +cond "busy = '0'" +tb (TransitionBlock +uid 322,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 323,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "39950,24000,46050,26000" +) +autoResize 1 +lineShape (Line +uid 324,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "42500,25900,42500,25900" +pts [ +"42500,25900" +"42500,25900" +] +) +condition (MLText +uid 325,0 +va (VaSet +) +xt "40450,24500,46850,25700" +st "busy = '0'" +tm "Condition" +) +actions (MLText +uid 326,0 +va (VaSet +) +xt "43000,25900,43000,25900" +tm "Actions" +) +) +tp (TransitionPriority +uid 327,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 328,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "39629,24984,41607,26962" +radius 989 +) +pr (Text +uid 329,0 +va (VaSet +isHidden 1 +) +xt "40118,25473,41118,26473" +st "1" +ju 0 +blo "40618,26273" +tm "TransitionPriority" +) +padding "200,200" +) +) +*39 (Transition +uid 364,0 +shape (Spline +uid 365,0 +va (VaSet +vasetType 3 +) +xt "64023,25972,69977,25974" +pts [ +"64023,25974" +"69977,25972" +] +arrow 1 +) +start &24 +end &25 +cond "busy = '0'" +tb (TransitionBlock +uid 366,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 367,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "63950,24000,70050,26000" +) +autoResize 1 +lineShape (Line +uid 368,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "66500,25900,66500,25900" +pts [ +"66500,25900" +"66500,25900" +] +) +condition (MLText +uid 369,0 +va (VaSet +) +xt "64450,24500,70850,25700" +st "busy = '0'" +tm "Condition" +) +actions (MLText +uid 370,0 +va (VaSet +) +xt "67000,25900,67000,25900" +tm "Actions" +) +) +tp (TransitionPriority +uid 371,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 372,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "63629,24984,65607,26962" +radius 989 +) +pr (Text +uid 373,0 +va (VaSet +isHidden 1 +) +xt "64118,25473,65118,26473" +st "1" +ju 0 +blo "64618,26273" +tm "TransitionPriority" +) +padding "200,200" +) +) +*40 (Transition +uid 374,0 +shape (Spline +uid 375,0 +va (VaSet +vasetType 3 +) +xt "28023,25971,33977,25975" +pts [ +"28023,25975" +"33977,25971" +] +arrow 1 +) +start &21 +end &22 +cond "busy = '0'" +tb (TransitionBlock +uid 376,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 377,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "27950,24000,34050,26000" +) +autoResize 1 +lineShape (Line +uid 378,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "30500,25900,30500,25900" +pts [ +"30500,25900" +"30500,25900" +] +) +condition (MLText +uid 379,0 +va (VaSet +) +xt "28450,24500,34850,25700" +st "busy = '0'" +tm "Condition" +) +actions (MLText +uid 380,0 +va (VaSet +) +xt "31000,25900,31000,25900" +tm "Actions" +) +) +tp (TransitionPriority +uid 381,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 382,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "27629,24985,29607,26963" +radius 989 +) +pr (Text +uid 383,0 +va (VaSet +isHidden 1 +) +xt "28118,25474,29118,26474" +st "1" +ju 0 +blo "28618,26274" +tm "TransitionPriority" +) +padding "200,200" +) +) +*41 (Transition +uid 384,0 +shape (Spline +uid 385,0 +va (VaSet +vasetType 3 +) +xt "52023,26030,57977,26030" +pts [ +"52023,26030" +"57977,26030" +] +arrow 1 +) +start &23 +end &24 +cond "busy = '0'" +tb (TransitionBlock +uid 386,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 387,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "51950,24000,58050,26000" +) +autoResize 1 +lineShape (Line +uid 388,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "54500,25900,54500,25900" +pts [ +"54500,25900" +"54500,25900" +] +) +condition (MLText +uid 389,0 +va (VaSet +) +xt "52450,24500,58850,25700" +st "busy = '0'" +tm "Condition" +) +actions (MLText +uid 390,0 +va (VaSet +) +xt "55000,25900,55000,25900" +tm "Actions" +) +) +tp (TransitionPriority +uid 391,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 392,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "51629,25041,53607,27019" +radius 989 +) +pr (Text +uid 393,0 +va (VaSet +isHidden 1 +) +xt "52118,25530,53118,26530" +st "1" +ju 0 +blo "52618,26330" +tm "TransitionPriority" +) +padding "200,200" +) +) +*42 (Transition +uid 411,0 +shape (Spline +uid 412,0 +va (VaSet +vasetType 3 +) +xt "76023,25971,81977,25975" +pts [ +"76023,25975" +"81977,25971" +] +arrow 1 +) +start &25 +end &26 +cond "busy = '0'" +tb (TransitionBlock +uid 413,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 414,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "75950,24000,82050,26000" +) +autoResize 1 +lineShape (Line +uid 415,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "78500,25900,78500,25900" +pts [ +"78500,25900" +"78500,25900" +] +) +condition (MLText +uid 416,0 +va (VaSet +) +xt "76450,24500,82850,25700" +st "busy = '0'" +tm "Condition" +) +actions (MLText +uid 417,0 +va (VaSet +) +xt "79000,25900,79000,25900" +tm "Actions" +) +) +tp (TransitionPriority +uid 418,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 419,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "75629,24985,77607,26963" +radius 989 +) +pr (Text +uid 420,0 +va (VaSet +isHidden 1 +) +xt "76118,25474,77118,26474" +st "1" +ju 0 +blo "76618,26274" +tm "TransitionPriority" +) +padding "200,200" +) +) +*43 (Transition +uid 506,0 +shape (Spline +uid 507,0 +va (VaSet +vasetType 3 +) +xt "40023,37972,45977,37974" +pts [ +"40023,37974" +"45977,37972" +] +arrow 1 +) +start &29 +end &30 +cond "busy = '0'" +tb (TransitionBlock +uid 508,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 509,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "39950,36000,46050,38000" +) +autoResize 1 +lineShape (Line +uid 510,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "42500,37900,42500,37900" +pts [ +"42500,37900" +"42500,37900" +] +) +condition (MLText +uid 511,0 +va (VaSet +) +xt "40450,36500,46850,37700" +st "busy = '0'" +tm "Condition" +) +actions (MLText +uid 512,0 +va (VaSet +) +xt "43000,37900,43000,37900" +tm "Actions" +) +) +tp (TransitionPriority +uid 513,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 514,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "39629,36984,41607,38962" +radius 989 +) +pr (Text +uid 515,0 +va (VaSet +isHidden 1 +) +xt "40118,37473,41118,38473" +st "1" +ju 0 +blo "40618,38273" +tm "TransitionPriority" +) +padding "200,200" +) +) +*44 (Transition +uid 516,0 +shape (Spline +uid 517,0 +va (VaSet +vasetType 3 +) +xt "52023,38030,57977,38030" +pts [ +"52023,38030" +"57977,38030" +] +arrow 1 +) +start &30 +end &31 +cond "busy = '0'" +tb (TransitionBlock +uid 518,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 519,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "51950,36000,58050,38000" +) +autoResize 1 +lineShape (Line +uid 520,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "54500,37900,54500,37900" +pts [ +"54500,37900" +"54500,37900" +] +) +condition (MLText +uid 521,0 +va (VaSet +) +xt "52450,36500,58850,37700" +st "busy = '0'" +tm "Condition" +) +actions (MLText +uid 522,0 +va (VaSet +) +xt "55000,37900,55000,37900" +tm "Actions" +) +) +tp (TransitionPriority +uid 523,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 524,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "51629,37041,53607,39019" +radius 989 +) +pr (Text +uid 525,0 +va (VaSet +isHidden 1 +) +xt "52118,37530,53118,38530" +st "1" +ju 0 +blo "52618,38330" +tm "TransitionPriority" +) +padding "200,200" +) +) +*45 (Transition +uid 526,0 +shape (Spline +uid 527,0 +va (VaSet +vasetType 3 +) +xt "16023,37972,21977,37974" +pts [ +"16023,37974" +"21977,37972" +] +arrow 1 +) +start &27 +end &28 +cond "busy = '0'" +tb (TransitionBlock +uid 528,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 529,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "15950,36000,22050,38000" +) +autoResize 1 +lineShape (Line +uid 530,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "18500,37900,18500,37900" +pts [ +"18500,37900" +"18500,37900" +] +) +condition (MLText +uid 531,0 +va (VaSet +) +xt "16450,36500,22850,37700" +st "busy = '0'" +tm "Condition" +) +actions (MLText +uid 532,0 +va (VaSet +) +xt "19000,37900,19000,37900" +tm "Actions" +) +) +tp (TransitionPriority +uid 533,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 534,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "15629,36984,17607,38962" +radius 989 +) +pr (Text +uid 535,0 +va (VaSet +isHidden 1 +) +xt "16118,37473,17118,38473" +st "1" +ju 0 +blo "16618,38273" +tm "TransitionPriority" +) +padding "200,200" +) +) +*46 (Transition +uid 536,0 +shape (Spline +uid 537,0 +va (VaSet +vasetType 3 +) +xt "28023,37971,33977,37975" +pts [ +"28023,37975" +"33977,37971" +] +arrow 1 +) +start &28 +end &29 +cond "busy = '0'" +tb (TransitionBlock +uid 538,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 539,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "27950,36000,34050,38000" +) +autoResize 1 +lineShape (Line +uid 540,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "30500,37900,30500,37900" +pts [ +"30500,37900" +"30500,37900" +] +) +condition (MLText +uid 541,0 +va (VaSet +) +xt "28450,36500,34850,37700" +st "busy = '0'" +tm "Condition" +) +actions (MLText +uid 542,0 +va (VaSet +) +xt "31000,37900,31000,37900" +tm "Actions" +) +) +tp (TransitionPriority +uid 543,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 544,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "27629,36985,29607,38963" +radius 989 +) +pr (Text +uid 545,0 +va (VaSet +isHidden 1 +) +xt "28118,37474,29118,38474" +st "1" +ju 0 +blo "28618,38274" +tm "TransitionPriority" +) +padding "200,200" +) +) +*47 (Transition +uid 546,0 +shape (Spline +uid 547,0 +va (VaSet +vasetType 3 +) +xt "14613,27517,82385,35443" +pts [ +"82385,27517" +"75000,31000" +"24000,33000" +"14613,35443" +] +arrow 1 +) +start &26 +end &27 +cond "busy = '0'" +tb (TransitionBlock +uid 548,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 549,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "56950,32000,63050,34000" +) +autoResize 1 +lineShape (Line +uid 550,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "59500,33900,59500,33900" +pts [ +"59500,33900" +"59500,33900" +] +) +condition (MLText +uid 551,0 +va (VaSet +) +xt "57450,32500,63850,33700" +st "busy = '0'" +tm "Condition" +) +actions (MLText +uid 552,0 +va (VaSet +) +xt "60000,33900,60000,33900" +tm "Actions" +) +) +tp (TransitionPriority +uid 553,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 554,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "76031,29775,78009,31753" +radius 989 +) +pr (Text +uid 555,0 +va (VaSet +isHidden 1 +) +xt "76520,30264,77520,31264" +st "1" +ju 0 +blo "77020,31064" +tm "TransitionPriority" +) +padding "200,200" +) +) +*48 (Transition +uid 619,0 +shape (Spline +uid 620,0 +va (VaSet +vasetType 3 +) +xt "14978,40426,59196,47714" +pts [ +"59196,40426" +"54000,44000" +"37000,45000" +"20000,46000" +"14978,47714" +] +arrow 1 +) +start &31 +end &32 +cond "busy = '0'" +tb (TransitionBlock +uid 621,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 622,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "36500,44500,42600,46500" +) +autoResize 1 +lineShape (Line +uid 623,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "39050,46400,39050,46400" +pts [ +"39050,46400" +"39050,46400" +] +) +condition (MLText +uid 624,0 +va (VaSet +) +xt "37000,45000,43400,46200" +st "busy = '0'" +tm "Condition" +) +actions (MLText +uid 625,0 +va (VaSet +) +xt "39550,46400,39550,46400" +tm "Actions" +) +) +tp (TransitionPriority +uid 626,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 627,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "54798,42436,56776,44414" +radius 989 +) +pr (Text +uid 628,0 +va (VaSet +isHidden 1 +) +xt "55287,42925,56287,43925" +st "1" +ju 0 +blo "55787,43725" +tm "TransitionPriority" +) +padding "200,200" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *49 (PackageList +uid 27,0 +stg "VerticalLayoutStrategy" +textVec [ +*50 (Text +uid 28,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,3000,6900,4000" +st "Package List" +blo "0,3800" +) +*51 (MLText +uid 29,0 +va (VaSet +) +xt "0,4000,17500,7600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "SmPackageListTextMgr" +) +] +) +compDirBlock (MlTextGroup +uid 30,0 +stg "VerticalLayoutStrategy" +textVec [ +*52 (Text +uid 31,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*53 (Text +uid 32,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*54 (MLText +uid 33,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "SmCompilerDirectivesTextMgr" +) +*55 (Text +uid 34,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*56 (MLText +uid 35,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "SmCompilerDirectivesTextMgr" +) +*57 (Text +uid 36,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*58 (MLText +uid 37,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "SmCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "220,37,1427,890" +viewArea "-1489,-2447,106868,69828" +cachedDiagramExtent "0,-1000,104700,64000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,-2000" +isTopLevel 1 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,4700,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +localDecl *59 (SmLocalDecl +uid 3,0 +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "26400,-1000,40000,0" +st "Architecture Declarations" +blo "26400,-200" +) +*61 (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "26400,0,26400,0" +tm "LocalDeclTextMgr" +) +*62 (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "26400,0,40000,1000" +st "Pre Decls" +blo "26400,800" +) +*63 (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "26400,0,26400,0" +tm "LocalDeclTextMgr" +) +*64 (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "26400,1000,40000,2000" +st "Post Decls" +blo "26400,1800" +) +*65 (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "26400,2000,26400,2000" +tm "LocalDeclTextMgr" +) +] +) +processDecl *66 (SmProcessDecl +uid 6,0 +stg "VerticalLayoutStrategy" +textVec [ +*67 (Text +uid 7,0 +va (VaSet +font "Verdana,8,1" +) +xt "70200,-1000,81700,0" +st "Process Declarations" +blo "70200,-200" +) +*68 (Text +uid 8,0 +va (VaSet +font "Verdana,8,1" +) +xt "70200,0,79200,1000" +st "Clocked Process:" +blo "70200,800" +) +*69 (MLText +uid 9,0 +va (VaSet +font "Courier New,8,0" +) +xt "70200,-1000,70200,-1000" +tm "ProcessDeclTextMgr" +) +*70 (Text +uid 10,0 +va (VaSet +font "Verdana,8,1" +) +xt "70200,1000,78900,2000" +st "Output Process:" +blo "70200,1800" +) +*71 (MLText +uid 11,0 +va (VaSet +font "Courier New,8,0" +) +xt "70200,2000,70200,2000" +tm "ProcessDeclTextMgr" +) +] +associable 1 +) +defaultActions *72 (MlTextGroup +uid 12,0 +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +uid 13,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-1000,7900,0" +st "Global Actions" +blo "0,-200" +) +*74 (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6800,1000" +st "Pre Actions:" +blo "0,800" +) +*75 (MLText +uid 15,0 +va (VaSet +) +xt "0,-1000,0,-1000" +tm "Actions" +) +*76 (Text +uid 16,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,1000,7400,2000" +st "Post Actions:" +blo "0,1800" +) +*77 (MLText +uid 17,0 +va (VaSet +) +xt "0,2000,0,2000" +tm "Actions" +) +] +associable 1 +) +archConcurrentStatementBlock *78 (BiTextGroup +uid 18,0 +stg "VerticalLayoutStrategy" +first (Text +uid 19,0 +va (VaSet +font "Verdana,8,1" +) +xt "10900,-1000,23400,0" +st "Concurrent Statements" +blo "10900,-200" +) +second (MLText +uid 20,0 +va (VaSet +) +xt "10900,0,10900,0" +tm "ArchConcStmtTextMgr" +) +associable 1 +) +signalsGenStatus *79 (SmSignalGenStatus +uid 24,0 +stg "VerticalLayoutStrategy" +first (Text +uid 25,0 +va (VaSet +font "Verdana,8,1" +) +xt "43000,-1000,50400,0" +st "Signal Status" +blo "43000,-200" +) +second (MLText +uid 26,0 +va (VaSet +font "Courier New,8,0" +) +xt "43000,0,73000,2400" +st "SIGNAL MODE DEFAULT RESET SCHEME COMMENT +ascii OUT (others => '0') COMB +send OUT '0' COMB +" +tm "SmSignalsGenStatusTextMgr" +) +) +stateRegBlock *80 (BiTextGroup +uid 21,0 +stg "VerticalLayoutStrategy" +first (Text +uid 22,0 +va (VaSet +font "Verdana,8,1" +) +xt "53400,-1000,67200,0" +st "State Register Statements" +blo "53400,-200" +) +second (MLText +uid 23,0 +va (VaSet +) +xt "53400,0,53400,0" +tm "Actions" +) +associable 1 +) +) +genChar (SmGenChar +uid 38,0 +nextStateClocking 0 +) +encoding (Encoding +scheme 3 +encodingStyles [ +(pair +scheme 0 +style 0 +) +(pair +scheme 1 +style 1 +) +(pair +scheme 2 +style 0 +) +(pair +scheme 3 +style 0 +) +(pair +scheme 4 +style 0 +) +(pair +scheme 5 +style 0 +) +] +otherValues [ +(pair +scheme 0 +otherValue "" +) +(pair +scheme 1 +otherValue "" +) +(pair +scheme 2 +otherValue "" +) +(pair +scheme 3 +otherValue "" +) +(pair +scheme 4 +otherValue "" +) +(pair +scheme 5 +otherValue "" +) +] +attribute 0 +synSafe 0 +outputEncodedLocals 0 +useVerilogParameterRange 0 +radix 2 +) +stateOrder [ +&2 +&19 +&20 +&21 +&22 +&23 +&24 +&25 +&26 +&27 +&28 +&29 +&30 +&31 +&32 +] +name "csm" +) +] +lastUid 657,0 +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +emptyRow *81 (LEmptyRow +) +uid 133,0 +optionalChildren [ +*82 (RefLabelRowHdr +) +*83 (TitleRowHdr +) +*84 (FilterRowHdr +) +*85 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*86 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*87 (GroupColHdr +tm "GroupColHdrMgr" +) +*88 (NameColHdr +tm "SmNameColHdrMgr" +) +*89 (ModeColHdr +tm "SmModeColHdrMgr" +) +*90 (TypeColHdr +tm "SmTypeColHdrMgr" +) +*91 (BoundsColHdr +tm "SmBoundsColHdrMgr" +) +*92 (InitColHdr +tm "SmInitColHdrMgr" +) +*93 (ColumnHdr +tm "SmCategoryColHdrMgr" +) +*94 (ColumnHdr +tm "SmAssignColHdrMgr" +) +*95 (ColumnHdr +tm "SmExprColHdrMgr" +) +*96 (ColumnHdr +tm "SmSchemeColHdrMgr" +) +*97 (ColumnHdr +tm "SmDefValColHdrMgr" +) +*98 (ColumnHdr +tm "SmRstValColHdrMgr" +) +*99 (EolColHdr +tm "SmEolColHdrMgr" +) +*100 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 1 +) +) +uid 120,0 +cat 9 +expr "reset = '1'" +) +*101 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +uid 122,0 +cat 1 +expr "clock'EVENT AND clock = '1'" +) +*102 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 3 +) +) +uid 124,0 +scheme 0 +defVal "(others => '0')" +) +*103 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "send" +t "std_ulogic" +o 4 +) +) +uid 126,0 +scheme 0 +defVal "'0'" +) +*104 (LeafLogPort +port (LogicalPort +decl (Decl +n "busy" +t "std_ulogic" +o 5 +) +) +uid 128,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 152,0 +optionalChildren [ +*105 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *106 (MRCItem +litem &81 +pos 5 +dimension 20 +) +uid 154,0 +optionalChildren [ +*107 (MRCItem +litem &82 +pos 0 +dimension 20 +uid 155,0 +) +*108 (MRCItem +litem &83 +pos 1 +dimension 23 +uid 156,0 +) +*109 (MRCItem +litem &84 +pos 2 +hidden 1 +dimension 20 +uid 157,0 +) +*110 (MRCItem +litem &100 +pos 0 +dimension 20 +uid 121,0 +) +*111 (MRCItem +litem &101 +pos 1 +dimension 20 +uid 123,0 +) +*112 (MRCItem +litem &102 +pos 2 +dimension 20 +uid 125,0 +) +*113 (MRCItem +litem &103 +pos 3 +dimension 20 +uid 127,0 +) +*114 (MRCItem +litem &104 +pos 4 +dimension 20 +uid 129,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 158,0 +optionalChildren [ +*115 (MRCItem +litem &85 +pos 0 +dimension 20 +uid 159,0 +) +*116 (MRCItem +litem &87 +pos 1 +dimension 50 +uid 160,0 +) +*117 (MRCItem +litem &88 +pos 2 +dimension 70 +uid 161,0 +) +*118 (MRCItem +litem &89 +pos 3 +dimension 50 +uid 162,0 +) +*119 (MRCItem +litem &90 +pos 4 +dimension 80 +uid 163,0 +) +*120 (MRCItem +litem &91 +pos 5 +dimension 80 +uid 164,0 +) +*121 (MRCItem +litem &92 +pos 6 +dimension 40 +uid 165,0 +) +*122 (MRCItem +litem &93 +pos 7 +dimension 100 +uid 166,0 +) +*123 (MRCItem +litem &94 +pos 8 +dimension 60 +uid 167,0 +) +*124 (MRCItem +litem &95 +pos 9 +dimension 130 +uid 168,0 +) +*125 (MRCItem +litem &96 +pos 10 +dimension 56 +uid 169,0 +) +*126 (MRCItem +litem &97 +pos 11 +dimension 50 +uid 170,0 +) +*127 (MRCItem +litem &98 +pos 12 +dimension 50 +uid 171,0 +) +*128 (MRCItem +litem &99 +pos 13 +dimension 80 +uid 172,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 153,0 +vaOverrides [ +] +) +] +) +uid 132,0 +) +cdmCsm &1 +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *129 (LEmptyRow +) +uid 576,0 +optionalChildren [ +*130 (RefLabelRowHdr +) +*131 (TitleRowHdr +) +*132 (FilterRowHdr +) +*133 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*134 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*135 (GroupColHdr +tm "GroupColHdrMgr" +) +*136 (NameColHdr +tm "GenericNameColHdrMgr" +) +*137 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*138 (InitColHdr +tm "GenericValueColHdrMgr" +) +*139 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*140 (EolColHdr +tm "GenericEolColHdrMgr" +) +*141 (LogGeneric +generic (GiElement +name "asciiBitNb" +type "positive" +value "7" +) +uid 130,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 588,0 +optionalChildren [ +*142 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *143 (MRCItem +litem &129 +pos 1 +dimension 20 +) +uid 590,0 +optionalChildren [ +*144 (MRCItem +litem &130 +pos 0 +dimension 20 +uid 591,0 +) +*145 (MRCItem +litem &131 +pos 1 +dimension 23 +uid 592,0 +) +*146 (MRCItem +litem &132 +pos 2 +hidden 1 +dimension 20 +uid 593,0 +) +*147 (MRCItem +litem &141 +pos 0 +dimension 20 +uid 131,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 594,0 +optionalChildren [ +*148 (MRCItem +litem &133 +pos 0 +dimension 20 +uid 595,0 +) +*149 (MRCItem +litem &135 +pos 1 +dimension 50 +uid 596,0 +) +*150 (MRCItem +litem &136 +pos 2 +dimension 100 +uid 597,0 +) +*151 (MRCItem +litem &137 +pos 3 +dimension 100 +uid 598,0 +) +*152 (MRCItem +litem &138 +pos 4 +dimension 50 +uid 599,0 +) +*153 (MRCItem +litem &139 +pos 5 +dimension 50 +uid 600,0 +) +*154 (MRCItem +litem &140 +pos 6 +dimension 80 +uid 601,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 589,0 +vaOverrides [ +] +) +] +) +uid 575,0 +type 1 +) +signalSuffix "_int" +clockSuffix "_cld" +defaultState (State +shape (Circle +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-3023,-3023,3023,3023" +radius 3023 +) +name (Text +va (VaSet +font "Verdana,10,1" +) +xt "0,0,2000,1200" +st "s0" +ju 0 +blo "1000,1000" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "1000,900,5100,2100" +st "wait 2" +blo "1000,1900" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,8,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3900,3100,4100,3300" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +entryActions (MLText +va (VaSet +) +tm "Actions" +) +inActions (MLText +va (VaSet +) +tm "Actions" +) +exitActions (MLText +va (VaSet +) +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "-2050,1000,4050,2000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultWaitState (State +shape (CircleInOctagon +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +xt "-529,-529,6529,6529" +) +name (Text +va (VaSet +font "Verdana,10,1" +) +xt "0,0,2000,1200" +st "s0" +ju 0 +blo "1000,1000" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "1000,900,5100,2100" +st "wait 2" +blo "1000,1900" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,8,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8900,6100,9100,6300" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +entryActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +inActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +exitActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "-2050,1000,4050,2000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +isWait 1 +) +defaultCompositeState (CompositeState +shape (TripleCircle +va (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "Verdana,10,1" +) +xt "-1000,-600,1000,600" +st "s0" +ju 0 +blo "0,400" +tm "ONodeName" +) +childDiagram &0 +) +defaultJunction (Junction +shape (Diamond +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "-1300,-1300,2300,2300" +) +symbol (Text +va (VaSet +font "Verdana,10,1" +) +xt "-300,-100,1300,1100" +st "&" +ju 0 +blo "500,900" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "2000,1000,2000,1000" +blo "2000,1000" +tm "JunctionName" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "-2250,2000,3850,3000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultEntryPoint (EntryPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +] +) +) +defaultInterruptPoint (InterruptPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +(CustomPolygon +pts [ +"-625,1600" +"-625,1300" +"25,1425" +"-75,1150" +"1025,1350" +"200,1350" +"375,1600" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "-625,1150,1025,1600" +) +] +) +) +defaultLink (Link +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "2375,875,4875,1875" +st "Link" +blo "2375,1675" +tm "LinkName" +) +) +) +defaultExitPoint (ExitPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +) +defaultTransition (Transition +shape (Spline +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +arrow 1 +) +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-500,-500,4600,1500" +) +autoResize 1 +lineShape (Line +va (VaSet +vasetType 3 +isHidden 1 +) +xt "2050,1400,2050,1400" +pts [ +"2050,1400" +"2050,1400" +] +) +condition (MLText +va (VaSet +) +xt "0,0,5300,1200" +st "condition" +tm "Condition" +) +actions (MLText +va (VaSet +) +xt "2050,1800,2050,1800" +tm "Actions" +) +) +tp (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-989,-989,989,989" +radius 989 +) +pr (Text +va (VaSet +) +xt "-500,-500,500,500" +st "1" +ju 0 +blo "0,300" +tm "TransitionPriority" +) +padding "200,200" +) +) +defaultClk (SmClockPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"275,1425" +"574,1425" +"574,825" +"874,825" +] +) +(Arc2D +pts [ +"-116,1278" +"-371,972" +"-116,972" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-441,926,-116,1323" +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-2725,625,-1125,1625" +st "clk" +ju 2 +blo "-1125,1425" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,525,4225,1725" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,625,4825,1825" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultEnable (SmEnablePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Arc2D +pts [ +"-130,1263" +"-415,1064" +"-76,1064" +] +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-425,943,-76,1304" +) +(Line +sl 0 +ro 270 +xt "-415,1064,-106,1064" +pts [ +"-415,1064" +"-106,1064" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-4325,625,-1125,1625" +st "enable" +ju 2 +blo "-1125,1425" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,525,4225,1725" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,625,4825,1825" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultRst (SmResetPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-276,1000" +pts [ +"-376,1000" +"-276,950" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-376,1300" +pts [ +"-376,1300" +"-376,950" +] +) +(Circle +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "424,975,724,1275" +radius 150 +) +] +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-625,-975,1975,225" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "-525,-875,2575,325" +st "cond" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "1625,136,3603,2114" +radius 989 +) +pr (Text +va (VaSet +) +xt "2114,625,3114,1625" +st "1" +ju 0 +blo "2614,1425" +tm "TransitionPriority" +) +padding "200,200" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-2125,625,-625,1625" +st "rst" +ju 2 +blo "-625,1425" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +) +xt "4750,2625,13350,3825" +st "< Automatic >" +tm "Actions" +) +) +) +defaultRecStatePt (SmRecoveryStatePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +sl 0 +xt "-900,-900,900,900" +radius 900 +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"-426,426" +"426,-426" +] +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"426,426" +"-426,-426" +] +) +] +) +) +activeModelName "StateMachine" +LanguageMgr "Vhdl2008LangMgr" +) diff --git a/Cursor/hds/lcd@display/symbol.sb b/Cursor/hds/lcd@display/symbol.sb new file mode 100644 index 0000000..f6cf501 --- /dev/null +++ b/Cursor/hds/lcd@display/symbol.sb @@ -0,0 +1,1632 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 32,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 21,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 111,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 2,0 +) +) +uid 113,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 3 +suid 11,0 +) +) +uid 798,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "send" +t "std_ulogic" +o 4 +suid 21,0 +) +) +uid 1608,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "busy" +t "std_ulogic" +o 5 +suid 29,0 +) +) +uid 2616,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 34,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 36,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 37,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 38,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 39,0 +) +*24 (MRCItem +litem &14 +pos 1 +dimension 20 +uid 112,0 +) +*25 (MRCItem +litem &15 +pos 0 +dimension 20 +uid 114,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 797,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 1607,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 2615,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 40,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 41,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 42,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 43,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 44,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 45,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 46,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 47,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 48,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 35,0 +vaOverrides [ +] +) +] +) +uid 20,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 50,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "asciiBitNb" +type "positive" +value "7" +) +uid 805,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 62,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 1 +dimension 20 +) +uid 64,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 65,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 66,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 67,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 804,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 68,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 69,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 70,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 71,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 72,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 73,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 74,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 75,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 63,0 +vaOverrides [ +] +) +] +) +uid 49,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\lcd@display\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\lcd@display\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\lcd@display" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\lcdDisplay" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdDisplay" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "08:13:13" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../libs/SPI/concat" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../libs/SPI/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "lcdDisplay" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\lcd@display\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\lcdDisplay\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "08:13:13" +) +(vvPair +variable "unit" +value "lcdDisplay" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 19,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,23625,8000,24375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +) +xt "9000,23400,12400,24600" +st "clock" +blo "9000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,8600,-12500,9400" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*65 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,25625,8000,26375" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +) +xt "9000,25400,12300,26600" +st "reset" +blo "9000,26400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,7800,-12500,8600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 2,0 +) +) +) +*66 (CptPort +uid 799,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2896,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,19625,24750,20375" +) +tg (CPTG +uid 801,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 802,0 +va (VaSet +) +xt "19900,19400,23000,20600" +st "ascii" +ju 2 +blo "23000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 803,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,9400,3000,10200" +st "ascii : OUT std_ulogic_vector (asciiBitNb-1 downto 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 3 +suid 11,0 +) +) +) +*67 (CptPort +uid 1611,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2897,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,21625,24750,22375" +) +tg (CPTG +uid 1613,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1614,0 +va (VaSet +) +xt "19900,21400,23000,22600" +st "send" +ju 2 +blo "23000,22400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1615,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,10200,-12500,11000" +st "send : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "send" +t "std_ulogic" +o 4 +suid 21,0 +) +) +) +*68 (CptPort +uid 2617,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2898,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,23625,24750,24375" +) +tg (CPTG +uid 2619,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2620,0 +va (VaSet +) +xt "19900,23400,23000,24600" +st "busy" +ju 2 +blo "23000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2621,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,11000,-13500,11800" +st "busy : IN std_ulogic " +) +thePort (LogicalPort +decl (Decl +n "busy" +t "std_ulogic" +o 5 +suid 29,0 +) +) +) +] +shape (Rectangle +uid 148,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "8000,16000,24000,28000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "8600,27800,12300,29000" +st "Cursor" +blo "8600,28800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "8600,29000,14800,30200" +st "lcdDisplay" +blo "8600,30000" +) +) +gi *69 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "8000,31800,21000,34200" +st "Generic Declarations + +asciiBitNb positive 7 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "asciiBitNb" +type "positive" +value "7" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +*70 (Grouping +uid 2491,0 +optionalChildren [ +*71 (CommentText +uid 2493,0 +shape (Rectangle +uid 2494,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "24000,41000,43000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 2495,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "24200,41400,39600,42600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*72 (CommentText +uid 2496,0 +shape (Rectangle +uid 2497,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-8000,41000,18000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 2498,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "-750,41250,10750,42750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*73 (CommentText +uid 2499,0 +shape (Rectangle +uid 2500,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-3000,47000,18000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 2501,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-2800,47400,13500,48600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*74 (CommentText +uid 2502,0 +shape (Rectangle +uid 2503,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,41000,24000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 2504,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "18200,41400,22900,42600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*75 (CommentText +uid 2505,0 +shape (Rectangle +uid 2506,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-3000,43000,18000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 2507,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-2800,43400,12400,44600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*76 (CommentText +uid 2508,0 +shape (Rectangle +uid 2509,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-8000,43000,-3000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 2510,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-7800,43400,-4400,44600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 2511,0 +shape (Rectangle +uid 2512,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-8000,45000,-3000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 2513,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-7800,45400,-4400,46600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*78 (CommentText +uid 2514,0 +shape (Rectangle +uid 2515,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,43000,43000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 2516,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "18200,43200,32300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*79 (CommentText +uid 2517,0 +shape (Rectangle +uid 2518,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-3000,45000,18000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 2519,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-2800,45400,7100,46600" +st " + +%library/%unit/%view + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*80 (CommentText +uid 2520,0 +shape (Rectangle +uid 2521,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-8000,47000,-3000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 2522,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-7800,47400,-3500,48600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 2492,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "-8000,41000,43000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *81 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "-30000,0,-22400,1200" +st "Package List" +blo "-30000,1000" +) +*83 (MLText +uid 18,0 +va (VaSet +) +xt "-30000,1200,-12500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "152,35,1441,894" +viewArea "-31000,-1000,46040,50624" +cachedDiagramExtent "-30000,0,43000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-30000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22200,15000,27600,16200" +st "" +blo "22200,16000" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22200,16200,26100,17400" +st "" +blo "22200,17200" +) +) +gi *84 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *85 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "-30000,5400,-22600,6600" +st "Declarations" +blo "-30000,6400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "-30000,6600,-26300,7800" +st "Ports:" +blo "-30000,7600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "-30000,11800,-26800,13000" +st "User:" +blo "-30000,12800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-30000,5400,-21800,6600" +st "Internal User:" +blo "-30000,6400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,13000,-28000,13000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-30000,5400,-30000,5400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 2990,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Cursor_test/hdl/cursor_tester_test.vhd b/Cursor_test/hdl/cursor_tester_test.vhd new file mode 100644 index 0000000..48b9776 --- /dev/null +++ b/Cursor_test/hdl/cursor_tester_test.vhd @@ -0,0 +1,148 @@ +ARCHITECTURE test OF cursor_tester IS + + constant clockFrequency: real := 66.0E6; + constant clockPeriod: time := 1.0/clockFrequency * 1 sec; + signal sClock: std_uLogic := '1'; + + signal testMode_int: std_uLogic; + + constant buttonsPulseWidth : time := 100 us; + + constant pulsesPerTurn: integer := 2000; + constant pwmReadBitNb: positive :=8; + constant pwmLowpassAddBitNb: positive :=8; + constant voltageToSpeedBitNb: positive := 8; + signal side1Acc: unsigned(pwmReadBitNb+pwmLowpassAddBitNb-1 downto 0) := (others => '0'); + signal side2Acc: unsigned(pwmReadBitNb+pwmLowpassAddBitNb-1 downto 0) := (others => '0'); + signal side1M: unsigned(pwmReadBitNb-1 downto 0); + signal side2M: unsigned(pwmReadBitNb-1 downto 0); + signal position: signed(pwmReadBitNb+voltageToSpeedBitNb-1 downto 0) := (others => '0'); + signal stepCount: unsigned(1 downto 0); + +BEGIN + + ------------------------------------------------------------------------------ + -- clock and reset + -- + reset <= '1', '0' after 2*clockPeriod; + + sClock <= not sClock after clockPeriod/2; + clock <= transport sClock after clockPeriod*9/10; + + + ------------------------------------------------------------------------------ + -- test sequence + -- + process + begin + + testMode_int <= '1'; + + restart <= '0'; + go1 <= '0'; + go2 <= '0'; + button4 <= '0'; + + sensor1 <= '0'; + sensor2 <= '0'; + + wait for 0.1 ms; + + ---------------------------------------------------------------------------- + -- restart + restart <= '1', '0' after buttonsPulseWidth; + wait for 0.25 ms; + sensor1 <= '1', '0' after buttonsPulseWidth; + wait for 0.25 ms; + + ---------------------------------------------------------------------------- + -- advance to first stop point + go1 <= '1', '0' after buttonsPulseWidth; + wait for 2 ms; + + ---------------------------------------------------------------------------- + -- advance to second stop point + go2 <= '1', '0' after buttonsPulseWidth; + wait for 2 ms; + + ---------------------------------------------------------------------------- + -- go back to first stop point + go1 <= '1', '0' after buttonsPulseWidth; + wait for 2 ms; + + ---------------------------------------------------------------------------- + -- back to start with sensor reset + restart <= '1', '0' after buttonsPulseWidth; + wait for 0.5 ms; + sensor1 <= '1', '0' after buttonsPulseWidth; + wait for 0.5 ms; + + ---------------------------------------------------------------------------- + -- advance to second stop point + go2 <= '1', '0' after buttonsPulseWidth; + wait for 3 ms; + + ---------------------------------------------------------------------------- + -- back to start with counter stop + restart <= '1', '0' after buttonsPulseWidth; + wait for 2 ms; + sensor1 <= '1', '0' after buttonsPulseWidth; + wait for 1 ms; + + ---------------------------------------------------------------------------- + -- quit test mode + testMode_int <= '0'; + + ---------------------------------------------------------------------------- + -- advance to first stop point + go1 <= '1', '0' after buttonsPulseWidth; + wait for 2 ms; + + wait; + end process; + + testMode <= testMode_int; + + ------------------------------------------------------------------------------ + -- PWM lowpass + -- + process(sClock) + begin + if rising_edge(sClock) then + if side1 = '1' then + side1Acc <= side1Acc + 2**pwmReadBitNb-1 - shift_right(side1Acc, pwmLowpassAddBitNb); + else + side1Acc <= side1Acc - shift_right(side1Acc, pwmLowpassAddBitNb); + end if; + if side2 = '1' then + side2Acc <= side2Acc + 2**pwmReadBitNb-1 - shift_right(side2Acc, pwmLowpassAddBitNb); + else + side2Acc <= side2Acc - shift_right(side2Acc, pwmLowpassAddBitNb); + end if; + end if; + end process; + + side1M <= resize(shift_right(side1Acc, pwmLowpassAddBitNb), side1M'length); + side2M <= resize(shift_right(side2Acc, pwmLowpassAddBitNb), side2M'length); + + ------------------------------------------------------------------------------ + -- motor feedback + -- + count: process (sClock) + begin + if motorOn = '1' then + if testMode_int = '0' then + position <= position + to_integer(side1M) - to_integer(side2M); + else + position <= position + (to_integer(side1M) - to_integer(side2M)) * 5; + end if; + end if; + end process count; + + stepCount <= resize(shift_right(unsigned(position), position'length-stepCount'length), stepCount'length); + + encoderA <= stepCount(1); + encoderB <= not stepCount(1) xor stepCount(0); + encoderI <= '1' when stepCount = pulsesPerTurn-1 else '0'; + +END ARCHITECTURE test; diff --git a/Cursor_test/hdl/divider_tester_test.vhd b/Cursor_test/hdl/divider_tester_test.vhd new file mode 100644 index 0000000..c1c8ae5 --- /dev/null +++ b/Cursor_test/hdl/divider_tester_test.vhd @@ -0,0 +1,22 @@ +ARCHITECTURE test OF divider_tester IS + + constant clockFrequency: real := 66.0E6; + constant clockPeriod: time := 1.0/clockFrequency * 1 sec; + signal sClock: std_uLogic := '1'; + +BEGIN + + reset <= '1', '0' after clockPeriod/4; + + sClock <= not sClock after clockPeriod/2; + clock <= sClock after clockPeriod/10; + + testMode <= '1', '0' after 10000*clockPeriod; + +-- start <= '0', +-- '1' after 210 us, +-- '0' after 210 us + clockPeriod, +-- '1' after 2.1 ms, +-- '0' after 2.1 ms + clockPeriod; + +END ARCHITECTURE test; diff --git a/Cursor_test/hdl/positioncounter_tester_generatedinstance.vhd b/Cursor_test/hdl/positioncounter_tester_generatedinstance.vhd new file mode 100644 index 0000000..62def87 --- /dev/null +++ b/Cursor_test/hdl/positioncounter_tester_generatedinstance.vhd @@ -0,0 +1,8 @@ +-- +-- Auto generated dummy architecture for leaf level instance. +-- +ARCHITECTURE generatedInstance OF positionCounter_tester IS +BEGIN + + +END generatedInstance; diff --git a/Cursor_test/hds/.hdlsidedata/_cursor_tb_entity.vhg._fpf b/Cursor_test/hds/.hdlsidedata/_cursor_tb_entity.vhg._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/Cursor_test/hds/.hdlsidedata/_cursor_tb_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/Cursor_test/hds/.hdlsidedata/_cursor_tb_struct.vhg._fpf b/Cursor_test/hds/.hdlsidedata/_cursor_tb_struct.vhg._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/Cursor_test/hds/.hdlsidedata/_cursor_tb_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/Cursor_test/hds/.hdlsidedata/_cursor_tester_entity.vhg._fpf b/Cursor_test/hds/.hdlsidedata/_cursor_tester_entity.vhg._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/Cursor_test/hds/.hdlsidedata/_cursor_tester_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/Cursor_test/hds/.hdlsidedata/_cursor_tester_test.vhd._fpf b/Cursor_test/hds/.hdlsidedata/_cursor_tester_test.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Cursor_test/hds/.hdlsidedata/_cursor_tester_test.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Cursor_test/hds/.hdlsidedata/_divider_tester_test.vhd._fpf b/Cursor_test/hds/.hdlsidedata/_divider_tester_test.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Cursor_test/hds/.hdlsidedata/_divider_tester_test.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Cursor_test/hds/.hdlsidedata/_positioncounter_tester_generatedinstance.vhd._fpf b/Cursor_test/hds/.hdlsidedata/_positioncounter_tester_generatedinstance.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor_test/hds/.hdlsidedata/_positioncounter_tester_generatedinstance.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor_test/hds/_cursor_tb._epf b/Cursor_test/hds/_cursor_tb._epf new file mode 100644 index 0000000..cbaae57 --- /dev/null +++ b/Cursor_test/hds/_cursor_tb._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom cursor_tb/struct.bd diff --git a/Cursor_test/hds/_divider_tb._epf b/Cursor_test/hds/_divider_tb._epf new file mode 100644 index 0000000..6cd38ec --- /dev/null +++ b/Cursor_test/hds/_divider_tb._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom divider_tb/struct.bd diff --git a/Cursor_test/hds/_positioncounter_tb._epf b/Cursor_test/hds/_positioncounter_tb._epf new file mode 100644 index 0000000..cfbd84e --- /dev/null +++ b/Cursor_test/hds/_positioncounter_tb._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom position@counter_tb/struct.bd diff --git a/Cursor_test/hds/cursor_tb/struct.bd b/Cursor_test/hds/cursor_tb/struct.bd new file mode 100644 index 0000000..804e25a --- /dev/null +++ b/Cursor_test/hds/cursor_tb/struct.bd @@ -0,0 +1,4174 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_tester" +duLibraryName "Cursor_test" +duName "cursor_tester" +elements [ +] +mwi 0 +uid 1774,0 +) +(Instance +name "I_DUT" +duLibraryName "Cursor" +duName "cursorCircuit" +elements [ +(GiElement +name "position0" +type "positive" +value "position0" +) +(GiElement +name "position1" +type "positive" +value "position1" +) +(GiElement +name "position2" +type "positive" +value "position2" +) +(GiElement +name "slopeShiftBitNb" +type "positive" +value "slopeShiftBitNb" +) +(GiElement +name "pwmBitNb" +type "positive" +value "pwmBitNb" +) +(GiElement +name "testLineNb" +type "positive" +value "testLineNb" +) +] +mwi 0 +uid 4692,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "cursor_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "08:13:23" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "cursor_tb" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "/usr/opt/Modelsim/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "08:13:23" +) +(vvPair +variable "unit" +value "cursor_tb" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 198,0 +optionalChildren [ +*1 (Net +uid 1315,0 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 1,0 +) +declText (MLText +uid 1316,0 +va (VaSet +isHidden 1 +) +xt "-5000,32800,11300,34000" +st "SIGNAL reset : std_ulogic" +) +) +*2 (Net +uid 1325,0 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +declText (MLText +uid 1326,0 +va (VaSet +isHidden 1 +) +xt "-5000,26800,11400,28000" +st "SIGNAL clock : std_ulogic" +) +) +*3 (Grouping +uid 1487,0 +optionalChildren [ +*4 (CommentText +uid 1489,0 +shape (Rectangle +uid 1490,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "83000,85000,102000,87000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 1491,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "83200,85400,98600,86600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 1492,0 +shape (Rectangle +uid 1493,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,85000,77000,87000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 1494,0 +va (VaSet +fg "32768,0,0" +font "Verdana,12,1" +) +xt "57150,85300,70850,86700" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 1495,0 +shape (Rectangle +uid 1496,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "56000,91000,77000,93000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 1497,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "56200,91400,74600,92600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 1498,0 +shape (Rectangle +uid 1499,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "77000,85000,83000,87000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 1500,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "77200,85400,81900,86600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 1501,0 +shape (Rectangle +uid 1502,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "56000,87000,77000,89000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 1503,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "56200,87400,71400,88600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 1504,0 +shape (Rectangle +uid 1505,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,87000,56000,89000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 1506,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,87400,54600,88600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 1507,0 +shape (Rectangle +uid 1508,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,89000,56000,91000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 1509,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,89400,54600,90600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 1510,0 +shape (Rectangle +uid 1511,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "77000,87000,102000,93000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 1512,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "77200,87200,91300,88400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*12 (CommentText +uid 1513,0 +shape (Rectangle +uid 1514,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "56000,89000,77000,91000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 1515,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "56200,89400,72900,90600" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 1516,0 +shape (Rectangle +uid 1517,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,91000,56000,93000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 1518,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,91400,55500,92600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 1488,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "51000,85000,102000,93000" +) +oxt "13000,22000,64000,30000" +) +*14 (Blk +uid 1774,0 +shape (Rectangle +uid 1775,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "13000,75000,85000,83000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1776,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*15 (Text +uid 1777,0 +va (VaSet +font "Verdana,12,1" +) +xt "13600,82900,22000,84200" +st "Cursor_test" +blo "13600,83900" +tm "BdLibraryNameMgr" +) +*16 (Text +uid 1778,0 +va (VaSet +font "Verdana,12,1" +) +xt "13600,84300,23400,85600" +st "cursor_tester" +blo "13600,85300" +tm "BlkNameMgr" +) +*17 (Text +uid 1779,0 +va (VaSet +font "Verdana,12,1" +) +xt "13600,85700,19200,87000" +st "I_tester" +blo "13600,86700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1780,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1781,0 +text (MLText +uid 1782,0 +va (VaSet +isHidden 1 +) +xt "25600,87900,25600,87900" +) +header "" +) +elements [ +] +) +) +*18 (Net +uid 1923,0 +decl (Decl +n "testMode" +t "std_uLogic" +o 15 +suid 3,0 +) +declText (MLText +uid 1924,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,-1400,13600,-400" +st "SIGNAL testMode : std_uLogic" +) +) +*19 (Net +uid 2444,0 +decl (Decl +n "sensor2" +t "std_uLogic" +o 12 +suid 4,0 +) +declText (MLText +uid 2445,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,-1400,13300,-400" +st "SIGNAL sensor2 : std_uLogic" +) +) +*20 (Net +uid 2452,0 +decl (Decl +n "sensor1" +t "std_uLogic" +o 11 +suid 5,0 +) +declText (MLText +uid 2453,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,-1400,13300,-400" +st "SIGNAL sensor1 : std_uLogic" +) +) +*21 (Net +uid 2597,0 +decl (Decl +n "motorOn" +t "std_uLogic" +o 8 +suid 6,0 +) +declText (MLText +uid 2598,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,-1400,13700,-400" +st "SIGNAL motorOn : std_uLogic" +) +) +*22 (Net +uid 2888,0 +decl (Decl +n "side1" +t "std_uLogic" +o 13 +suid 7,0 +) +declText (MLText +uid 2889,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,-1400,12900,-400" +st "SIGNAL side1 : std_uLogic" +) +) +*23 (Net +uid 2896,0 +decl (Decl +n "side2" +t "std_uLogic" +o 14 +suid 8,0 +) +declText (MLText +uid 2897,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,-1400,12900,-400" +st "SIGNAL side2 : std_uLogic" +) +) +*24 (Net +uid 3009,0 +decl (Decl +n "go2" +t "std_uLogic" +o 7 +suid 10,0 +) +declText (MLText +uid 3010,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,-1400,12900,-400" +st "SIGNAL go2 : std_uLogic" +) +) +*25 (Net +uid 3017,0 +decl (Decl +n "go1" +t "std_uLogic" +o 6 +suid 11,0 +) +declText (MLText +uid 3018,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,-1400,12900,-400" +st "SIGNAL go1 : std_uLogic" +) +) +*26 (Net +uid 3025,0 +decl (Decl +n "restart" +t "std_uLogic" +o 10 +suid 12,0 +) +declText (MLText +uid 3026,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,-1400,12800,-400" +st "SIGNAL restart : std_uLogic" +) +) +*27 (Net +uid 3033,0 +decl (Decl +n "encoderI" +t "std_uLogic" +o 5 +suid 13,0 +) +declText (MLText +uid 3034,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,-1400,13400,-400" +st "SIGNAL encoderI : std_uLogic" +) +) +*28 (Net +uid 3041,0 +decl (Decl +n "encoderB" +t "std_uLogic" +o 4 +suid 14,0 +) +declText (MLText +uid 3042,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,-1400,13600,-400" +st "SIGNAL encoderB : std_uLogic" +) +) +*29 (Net +uid 3049,0 +decl (Decl +n "encoderA" +t "std_uLogic" +o 3 +suid 15,0 +) +declText (MLText +uid 3050,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,-1400,13600,-400" +st "SIGNAL encoderA : std_uLogic" +) +) +*30 (Net +uid 3654,0 +decl (Decl +n "button4" +t "std_uLogic" +o 1 +suid 16,0 +) +declText (MLText +uid 3655,0 +va (VaSet +isHidden 1 +) +xt "0,-1400,17300,-200" +st "SIGNAL button4 : std_uLogic" +) +) +*31 (SaComponent +uid 4692,0 +optionalChildren [ +*32 (CptPort +uid 4587,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4588,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,62625,39000,63375" +) +tg (CPTG +uid 4589,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4590,0 +va (VaSet +font "Verdana,12,0" +) +xt "40000,62300,43500,63600" +st "clock" +blo "40000,63300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*33 (CptPort +uid 4592,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4593,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,64625,39000,65375" +) +tg (CPTG +uid 4594,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4595,0 +va (VaSet +font "Verdana,12,0" +) +xt "40000,64300,43500,65600" +st "reset" +blo "40000,65300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 2,0 +) +) +) +*34 (CptPort +uid 4597,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4598,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,40625,55750,41375" +) +tg (CPTG +uid 4599,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4600,0 +va (VaSet +font "Verdana,12,0" +) +xt "50500,40400,54000,41700" +st "side1" +ju 2 +blo "54000,41400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "side1" +t "std_uLogic" +o 19 +suid 3,0 +) +) +) +*35 (CptPort +uid 4602,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4603,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,38625,39000,39375" +) +tg (CPTG +uid 4604,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4605,0 +va (VaSet +font "Verdana,12,0" +) +xt "40000,38300,44900,39600" +st "restart" +blo "40000,39300" +) +) +thePort (LogicalPort +decl (Decl +n "restart" +t "std_uLogic" +o 9 +suid 4,0 +) +) +) +*36 (CptPort +uid 4607,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4608,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,42625,39000,43375" +) +tg (CPTG +uid 4609,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4610,0 +va (VaSet +font "Verdana,12,0" +) +xt "40000,42300,42100,43600" +st "go2" +blo "40000,43300" +) +) +thePort (LogicalPort +decl (Decl +n "go2" +t "std_uLogic" +o 7 +suid 5,0 +) +) +) +*37 (CptPort +uid 4612,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4613,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,46625,55750,47375" +) +tg (CPTG +uid 4614,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4615,0 +va (VaSet +font "Verdana,12,0" +) +xt "49100,46400,54000,47700" +st "sensor1" +ju 2 +blo "54000,47400" +) +) +thePort (LogicalPort +decl (Decl +n "sensor1" +t "std_uLogic" +o 10 +suid 6,0 +) +) +) +*38 (CptPort +uid 4617,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4618,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,60625,39000,61375" +) +tg (CPTG +uid 4619,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4620,0 +va (VaSet +font "Verdana,12,0" +) +xt "40000,60300,45600,61600" +st "testMode" +blo "40000,61300" +) +) +thePort (LogicalPort +decl (Decl +n "testMode" +t "std_uLogic" +o 12 +suid 7,0 +) +) +) +*39 (CptPort +uid 4622,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4623,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46625,34250,47375,35000" +) +tg (CPTG +uid 4624,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4625,0 +va (VaSet +font "Verdana,12,0" +) +xt "44700,36000,49600,37300" +st "testOut" +ju 2 +blo "49600,37000" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_uLogic_vector" +b "(1 TO testLineNb)" +o 21 +suid 8,0 +) +) +) +*40 (CptPort +uid 4627,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4628,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,40625,39000,41375" +) +tg (CPTG +uid 4629,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4630,0 +va (VaSet +font "Verdana,12,0" +) +xt "40000,40300,42100,41600" +st "go1" +blo "40000,41300" +) +) +thePort (LogicalPort +decl (Decl +n "go1" +t "std_uLogic" +o 6 +suid 9,0 +) +) +) +*41 (CptPort +uid 4632,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4633,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,42625,55750,43375" +) +tg (CPTG +uid 4634,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4635,0 +va (VaSet +font "Verdana,12,0" +) +xt "50500,42400,54000,43700" +st "side2" +ju 2 +blo "54000,43400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "side2" +t "std_uLogic" +o 20 +suid 10,0 +) +) +) +*42 (CptPort +uid 4637,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4638,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,48625,55750,49375" +) +tg (CPTG +uid 4639,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4640,0 +va (VaSet +font "Verdana,12,0" +) +xt "49100,48300,54000,49600" +st "sensor2" +ju 2 +blo "54000,49300" +) +) +thePort (LogicalPort +decl (Decl +n "sensor2" +t "std_uLogic" +o 11 +suid 11,0 +) +) +) +*43 (CptPort +uid 4642,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4643,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,38625,55750,39375" +) +tg (CPTG +uid 4644,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4645,0 +va (VaSet +font "Verdana,12,0" +) +xt "49100,38400,54000,39700" +st "motorOn" +ju 2 +blo "54000,39400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 18 +suid 12,0 +) +) +) +*44 (CptPort +uid 4647,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4648,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,52625,55750,53375" +) +tg (CPTG +uid 4649,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4650,0 +va (VaSet +font "Verdana,12,0" +) +xt "48400,52400,54000,53700" +st "encoderA" +ju 2 +blo "54000,53400" +) +) +thePort (LogicalPort +decl (Decl +n "encoderA" +t "std_uLogic" +o 3 +suid 13,0 +) +) +) +*45 (CptPort +uid 4652,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4653,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,54625,55750,55375" +) +tg (CPTG +uid 4654,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4655,0 +va (VaSet +font "Verdana,12,0" +) +xt "48400,54400,54000,55700" +st "encoderB" +ju 2 +blo "54000,55400" +) +) +thePort (LogicalPort +decl (Decl +n "encoderB" +t "std_uLogic" +o 4 +suid 14,0 +) +) +) +*46 (CptPort +uid 4657,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4658,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,56625,55750,57375" +) +tg (CPTG +uid 4659,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4660,0 +va (VaSet +font "Verdana,12,0" +) +xt "48400,56400,54000,57700" +st "encoderI" +ju 2 +blo "54000,57400" +) +) +thePort (LogicalPort +decl (Decl +n "encoderI" +t "std_uLogic" +o 5 +suid 15,0 +) +) +) +*47 (CptPort +uid 4662,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4663,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,44625,39000,45375" +) +tg (CPTG +uid 4664,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4665,0 +va (VaSet +font "Verdana,12,0" +) +xt "40000,44300,44900,45600" +st "button4" +blo "40000,45300" +) +) +thePort (LogicalPort +decl (Decl +n "button4" +t "std_uLogic" +o 1 +suid 16,0 +) +) +) +*48 (CptPort +uid 4667,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4668,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,48625,39000,49375" +) +tg (CPTG +uid 4669,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4670,0 +va (VaSet +font "Verdana,12,0" +) +xt "40000,48300,43500,49600" +st "CS1_n" +blo "40000,49300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 14 +suid 2017,0 +) +) +) +*49 (CptPort +uid 4672,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4673,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,50625,39000,51375" +) +tg (CPTG +uid 4674,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4675,0 +va (VaSet +font "Verdana,12,0" +) +xt "40000,50300,42100,51600" +st "SCL" +blo "40000,51300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 16 +suid 2018,0 +) +) +) +*50 (CptPort +uid 4677,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4678,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,52625,39000,53375" +) +tg (CPTG +uid 4679,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4680,0 +va (VaSet +font "Verdana,12,0" +) +xt "40000,52300,41400,53600" +st "SI" +blo "40000,53300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 17 +suid 2019,0 +) +) +) +*51 (CptPort +uid 4682,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4683,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,54625,39000,55375" +) +tg (CPTG +uid 4684,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4685,0 +va (VaSet +font "Verdana,12,0" +) +xt "40000,54300,41400,55600" +st "A0" +blo "40000,55300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 13 +suid 2020,0 +) +) +) +*52 (CptPort +uid 4687,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4688,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,56625,39000,57375" +) +tg (CPTG +uid 4689,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4690,0 +va (VaSet +font "Verdana,12,0" +) +xt "40000,56300,43500,57600" +st "RST_n" +blo "40000,57300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 15 +suid 2021,0 +) +) +) +] +shape (Rectangle +uid 4693,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "39000,35000,55000,67000" +) +oxt "40000,2000,56000,34000" +ttg (MlTextGroup +uid 4694,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*53 (Text +uid 4695,0 +va (VaSet +font "Verdana,8,1" +) +xt "39100,66700,42100,67600" +st "Cursor" +blo "39100,67400" +tm "BdLibraryNameMgr" +) +*54 (Text +uid 4696,0 +va (VaSet +font "Verdana,8,1" +) +xt "39100,67600,46100,68500" +st "cursorCircuit" +blo "39100,68300" +tm "CptNameMgr" +) +*55 (Text +uid 4697,0 +va (VaSet +font "Verdana,8,1" +) +xt "39100,68500,41600,69400" +st "I_DUT" +blo "39100,69200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 4698,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 4699,0 +text (MLText +uid 4700,0 +va (VaSet +font "Verdana,8,0" +) +xt "39000,70200,61000,76200" +st "position0 = position0 ( positive ) +position1 = position1 ( positive ) +position2 = position2 ( positive ) +slopeShiftBitNb = slopeShiftBitNb ( positive ) +pwmBitNb = pwmBitNb ( positive ) +testLineNb = testLineNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "position0" +type "positive" +value "position0" +) +(GiElement +name "position1" +type "positive" +value "position1" +) +(GiElement +name "position2" +type "positive" +value "position2" +) +(GiElement +name "slopeShiftBitNb" +type "positive" +value "slopeShiftBitNb" +) +(GiElement +name "pwmBitNb" +type "positive" +value "pwmBitNb" +) +(GiElement +name "testLineNb" +type "positive" +value "testLineNb" +) +] +) +connectByName 1 +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*56 (Wire +uid 1317,0 +shape (OrthoPolyLine +uid 1318,0 +va (VaSet +vasetType 3 +) +xt "35000,65000,38250,75000" +pts [ +"38250,65000" +"35000,65000" +"35000,75000" +] +) +start &33 +end &14 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1321,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1322,0 +va (VaSet +font "Verdana,12,0" +) +xt "35000,63600,39100,65000" +st "reset" +blo "35000,64800" +tm "WireNameMgr" +) +) +on &1 +) +*57 (Wire +uid 1327,0 +shape (OrthoPolyLine +uid 1328,0 +va (VaSet +vasetType 3 +) +xt "33000,63000,38250,75000" +pts [ +"38250,63000" +"33000,63000" +"33000,75000" +] +) +start &32 +end &14 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1331,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1332,0 +va (VaSet +font "Verdana,12,0" +) +xt "35000,61600,38800,63000" +st "clock" +blo "35000,62800" +tm "WireNameMgr" +) +) +on &2 +) +*58 (Wire +uid 1925,0 +shape (OrthoPolyLine +uid 1926,0 +va (VaSet +vasetType 3 +) +xt "31000,61000,38250,75000" +pts [ +"38250,61000" +"31000,61000" +"31000,75000" +] +) +start &38 +end &14 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 1929,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1930,0 +va (VaSet +font "Verdana,12,0" +) +xt "31250,59600,37950,61000" +st "testMode" +blo "31250,60800" +tm "WireNameMgr" +) +) +on &18 +) +*59 (Wire +uid 2446,0 +shape (OrthoPolyLine +uid 2447,0 +va (VaSet +vasetType 3 +) +xt "55750,49000,67000,75000" +pts [ +"55750,49000" +"67000,49000" +"67000,75000" +] +) +start &42 +end &14 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2450,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2451,0 +va (VaSet +font "Verdana,12,0" +) +xt "57750,47600,63650,49000" +st "sensor2" +blo "57750,48800" +tm "WireNameMgr" +) +) +on &19 +) +*60 (Wire +uid 2454,0 +shape (OrthoPolyLine +uid 2455,0 +va (VaSet +vasetType 3 +) +xt "55750,47000,69000,75000" +pts [ +"55750,47000" +"69000,47000" +"69000,75000" +] +) +start &37 +end &14 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2458,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2459,0 +va (VaSet +font "Verdana,12,0" +) +xt "57750,45600,63650,47000" +st "sensor1" +blo "57750,46800" +tm "WireNameMgr" +) +) +on &20 +) +*61 (Wire +uid 2599,0 +shape (OrthoPolyLine +uid 2600,0 +va (VaSet +vasetType 3 +) +xt "55750,39000,77000,75000" +pts [ +"55750,39000" +"77000,39000" +"77000,75000" +] +) +start &43 +end &14 +sat 32 +eat 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2603,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2604,0 +va (VaSet +font "Verdana,12,0" +) +xt "57750,37600,64050,39000" +st "motorOn" +blo "57750,38800" +tm "WireNameMgr" +) +) +on &21 +) +*62 (Wire +uid 2890,0 +shape (OrthoPolyLine +uid 2891,0 +va (VaSet +vasetType 3 +) +xt "55750,41000,75000,75000" +pts [ +"55750,41000" +"75000,41000" +"75000,75000" +] +) +start &34 +end &14 +sat 32 +eat 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2894,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2895,0 +va (VaSet +font "Verdana,12,0" +) +xt "57750,39600,61950,41000" +st "side1" +blo "57750,40800" +tm "WireNameMgr" +) +) +on &22 +) +*63 (Wire +uid 2898,0 +shape (OrthoPolyLine +uid 2899,0 +va (VaSet +vasetType 3 +) +xt "55750,43000,73000,75000" +pts [ +"55750,43000" +"73000,43000" +"73000,75000" +] +) +start &41 +end &14 +sat 32 +eat 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2902,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2903,0 +va (VaSet +font "Verdana,12,0" +) +xt "57750,41600,61950,43000" +st "side2" +blo "57750,42800" +tm "WireNameMgr" +) +) +on &23 +) +*64 (Wire +uid 3011,0 +shape (OrthoPolyLine +uid 3012,0 +va (VaSet +vasetType 3 +) +xt "25000,43000,38250,75000" +pts [ +"38250,43000" +"25000,43000" +"25000,75000" +] +) +start &36 +end &14 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 3015,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3016,0 +va (VaSet +font "Verdana,12,0" +) +xt "35250,41600,38450,43000" +st "go2" +blo "35250,42800" +tm "WireNameMgr" +) +) +on &24 +) +*65 (Wire +uid 3019,0 +shape (OrthoPolyLine +uid 3020,0 +va (VaSet +vasetType 3 +) +xt "23000,41000,38250,75000" +pts [ +"38250,41000" +"23000,41000" +"23000,75000" +] +) +start &40 +end &14 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 3023,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3024,0 +va (VaSet +font "Verdana,12,0" +) +xt "35250,39600,38450,41000" +st "go1" +blo "35250,40800" +tm "WireNameMgr" +) +) +on &25 +) +*66 (Wire +uid 3027,0 +shape (OrthoPolyLine +uid 3028,0 +va (VaSet +vasetType 3 +) +xt "21000,39000,38250,75000" +pts [ +"38250,39000" +"21000,39000" +"21000,75000" +] +) +start &35 +end &14 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 3031,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3032,0 +va (VaSet +font "Verdana,12,0" +) +xt "33250,37600,38350,39000" +st "restart" +blo "33250,38800" +tm "WireNameMgr" +) +) +on &26 +) +*67 (Wire +uid 3035,0 +shape (OrthoPolyLine +uid 3036,0 +va (VaSet +vasetType 3 +) +xt "55750,57000,59000,75000" +pts [ +"55750,57000" +"59000,57000" +"59000,75000" +] +) +start &46 +end &14 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 3039,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3040,0 +va (VaSet +font "Verdana,12,0" +) +xt "57750,55600,64150,57000" +st "encoderI" +blo "57750,56800" +tm "WireNameMgr" +) +) +on &27 +) +*68 (Wire +uid 3043,0 +shape (OrthoPolyLine +uid 3044,0 +va (VaSet +vasetType 3 +) +xt "55750,55000,61000,75000" +pts [ +"55750,55000" +"61000,55000" +"61000,75000" +] +) +start &45 +end &14 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 3047,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3048,0 +va (VaSet +font "Verdana,12,0" +) +xt "57750,53600,64450,55000" +st "encoderB" +blo "57750,54800" +tm "WireNameMgr" +) +) +on &28 +) +*69 (Wire +uid 3051,0 +shape (OrthoPolyLine +uid 3052,0 +va (VaSet +vasetType 3 +) +xt "55750,53000,63000,75000" +pts [ +"55750,53000" +"63000,53000" +"63000,75000" +] +) +start &44 +end &14 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 3055,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3056,0 +va (VaSet +font "Verdana,12,0" +) +xt "57750,51600,64450,53000" +st "encoderA" +blo "57750,52800" +tm "WireNameMgr" +) +) +on &29 +) +*70 (Wire +uid 3656,0 +shape (OrthoPolyLine +uid 3657,0 +va (VaSet +vasetType 3 +) +xt "27000,45000,38250,75000" +pts [ +"38250,45000" +"27000,45000" +"27000,75000" +] +) +start &47 +end &14 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 3660,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3661,0 +va (VaSet +font "Verdana,12,0" +) +xt "32000,43600,37800,45000" +st "button4" +blo "32000,44800" +tm "WireNameMgr" +) +) +on &30 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *71 (PackageList +uid 187,0 +stg "VerticalLayoutStrategy" +textVec [ +*72 (Text +uid 1297,0 +va (VaSet +font "Verdana,12,0" +) +xt "-7000,19600,2100,20900" +st "Package List" +blo "-7000,20600" +) +*73 (MLText +uid 1298,0 +va (VaSet +) +xt "-7000,21000,10500,24600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 190,0 +stg "VerticalLayoutStrategy" +textVec [ +*74 (Text +uid 191,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "20000,0,32000,1000" +st "Compiler Directives" +blo "20000,800" +) +*75 (Text +uid 192,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "20000,1400,33800,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*76 (MLText +uid 193,0 +va (VaSet +isHidden 1 +) +xt "20000,2800,32100,5200" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*77 (Text +uid 194,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "20000,5600,34400,6600" +st "Post-module directives:" +blo "20000,6400" +) +*78 (MLText +uid 195,0 +va (VaSet +isHidden 1 +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*79 (Text +uid 196,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "20000,7200,33800,8200" +st "End-module directives:" +blo "20000,8000" +) +*80 (MLText +uid 197,0 +va (VaSet +isHidden 1 +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "74,46,1330,909" +viewArea "-8608,17960,105743,94895" +cachedDiagramExtent "-7000,-1400,102000,93000" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\ipp://ipp.hevs.ch\\PREA309_HPLJP3005DN,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +scale 67 +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,19000" +lastUid 4859,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "1000,1000,3300,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1500,2550,7900,3950" +st "" +blo "1500,3750" +tm "BdLibraryNameMgr" +) +*82 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1500,3950,7000,5350" +st "" +blo "1500,5150" +tm "BlkNameMgr" +) +*83 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1500,5350,3000,6750" +st "I0" +blo "1500,6550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1500,12550,1500,12550" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*85 (Text +va (VaSet +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*86 (Text +va (VaSet +) +xt "-100,5000,500,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +va (VaSet +) +xt "-350,2550,1950,3550" +st "Library" +blo "-350,3350" +tm "BdLibraryNameMgr" +) +*88 (Text +va (VaSet +) +xt "-350,3550,5150,4550" +st "SaComponent" +blo "-350,4350" +tm "CptNameMgr" +) +*89 (Text +va (VaSet +) +xt "-350,4550,250,5550" +st "I0" +blo "-350,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-7350,550,-7350,550" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1350,0,9350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*90 (Text +va (VaSet +) +xt "-850,2550,1450,3550" +st "Library" +blo "-850,3350" +) +*91 (Text +va (VaSet +) +xt "-850,3550,5250,4550" +st "VhdlComponent" +blo "-850,4350" +) +*92 (Text +va (VaSet +) +xt "-850,4550,-250,5550" +st "I0" +blo "-850,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-7850,550,-7850,550" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-2100,0,10100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +va (VaSet +) +xt "-1600,2550,700,3550" +st "Library" +blo "-1600,3350" +) +*94 (Text +va (VaSet +) +xt "-1600,3550,5500,4550" +st "VerilogComponent" +blo "-1600,4350" +) +*95 (Text +va (VaSet +) +xt "-1600,4550,-1000,5550" +st "I0" +blo "-1600,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-8600,550,-8600,550" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*96 (Text +va (VaSet +) +xt "2950,3400,4150,4400" +st "eb1" +blo "2950,4200" +tm "HdlTextNameMgr" +) +*97 (Text +va (VaSet +) +xt "2950,4400,3350,5400" +st "1" +blo "2950,5200" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineStyle 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,5100,1400" +st "bundle0" +blo "0,1200" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "Verdana,12,0" +) +xt "0,1400,1800,2800" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "Verdana,12,0" +) +xt "0,0,5900,1400" +st "Auto list" +) +second (MLText +va (VaSet +font "Verdana,12,0" +) +xt "0,1400,11800,2800" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,18500,-200" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*98 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*99 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,11000,-200" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*100 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*101 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,10,1" +) +xt "-7000,24400,800,25400" +st "Declarations" +blo "-7000,25200" +) +portLabel (Text +uid 3,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "-7000,25600,-3400,26600" +st "Ports:" +blo "-7000,26400" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,10,1" +) +xt "-7000,25400,-1600,26400" +st "Pre User:" +blo "-7000,26200" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "-5000,26400,38900,36000" +st "constant stepsPerTurn : positive := 500 * 4; +constant mmPerTurn : real:= 1.75; +constant position0 : positive := integer(3.0 * real(stepsPerTurn) / mmPerTurn ); +constant position1 : positive := integer(8.0 * real(stepsPerTurn) / mmPerTurn ); +constant position2 : positive := integer(12.0 * real(stepsPerTurn) / mmPerTurn ); +constant slopeShiftBitNb : positive := 2; +constant pwmBitNb : positive := 8; +constant testLineNb : positive := 16;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "-7000,25600,3200,26600" +st "Diagram Signals:" +blo "-7000,26400" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "-7000,25600,-400,26600" +st "Post User:" +blo "-7000,26400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "-5000,40000,-5000,40000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 16,0 +usingSuid 1 +emptyRow *102 (LEmptyRow +) +uid 3264,0 +optionalChildren [ +*103 (RefLabelRowHdr +) +*104 (TitleRowHdr +) +*105 (FilterRowHdr +) +*106 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*107 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*108 (GroupColHdr +tm "GroupColHdrMgr" +) +*109 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*110 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*111 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*112 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*113 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*114 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*115 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 1,0 +) +) +uid 3233,0 +) +*116 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 3235,0 +) +*117 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "testMode" +t "std_uLogic" +o 15 +suid 3,0 +) +) +uid 3237,0 +) +*118 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sensor2" +t "std_uLogic" +o 12 +suid 4,0 +) +) +uid 3239,0 +) +*119 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sensor1" +t "std_uLogic" +o 11 +suid 5,0 +) +) +uid 3241,0 +) +*120 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "motorOn" +t "std_uLogic" +o 8 +suid 6,0 +) +) +uid 3243,0 +) +*121 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "side1" +t "std_uLogic" +o 13 +suid 7,0 +) +) +uid 3245,0 +) +*122 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "side2" +t "std_uLogic" +o 14 +suid 8,0 +) +) +uid 3247,0 +) +*123 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "go2" +t "std_uLogic" +o 7 +suid 10,0 +) +) +uid 3251,0 +) +*124 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "go1" +t "std_uLogic" +o 6 +suid 11,0 +) +) +uid 3253,0 +) +*125 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "restart" +t "std_uLogic" +o 10 +suid 12,0 +) +) +uid 3255,0 +) +*126 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "encoderI" +t "std_uLogic" +o 5 +suid 13,0 +) +) +uid 3257,0 +) +*127 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "encoderB" +t "std_uLogic" +o 4 +suid 14,0 +) +) +uid 3259,0 +) +*128 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "encoderA" +t "std_uLogic" +o 3 +suid 15,0 +) +) +uid 3261,0 +) +*129 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "button4" +t "std_uLogic" +o 1 +suid 16,0 +) +) +uid 3662,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 3277,0 +optionalChildren [ +*130 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *131 (MRCItem +litem &102 +pos 15 +dimension 20 +) +uid 3279,0 +optionalChildren [ +*132 (MRCItem +litem &103 +pos 0 +dimension 20 +uid 3280,0 +) +*133 (MRCItem +litem &104 +pos 1 +dimension 23 +uid 3281,0 +) +*134 (MRCItem +litem &105 +pos 2 +hidden 1 +dimension 20 +uid 3282,0 +) +*135 (MRCItem +litem &115 +pos 0 +dimension 20 +uid 3234,0 +) +*136 (MRCItem +litem &116 +pos 1 +dimension 20 +uid 3236,0 +) +*137 (MRCItem +litem &117 +pos 2 +dimension 20 +uid 3238,0 +) +*138 (MRCItem +litem &118 +pos 3 +dimension 20 +uid 3240,0 +) +*139 (MRCItem +litem &119 +pos 4 +dimension 20 +uid 3242,0 +) +*140 (MRCItem +litem &120 +pos 5 +dimension 20 +uid 3244,0 +) +*141 (MRCItem +litem &121 +pos 6 +dimension 20 +uid 3246,0 +) +*142 (MRCItem +litem &122 +pos 7 +dimension 20 +uid 3248,0 +) +*143 (MRCItem +litem &123 +pos 8 +dimension 20 +uid 3252,0 +) +*144 (MRCItem +litem &124 +pos 9 +dimension 20 +uid 3254,0 +) +*145 (MRCItem +litem &125 +pos 10 +dimension 20 +uid 3256,0 +) +*146 (MRCItem +litem &126 +pos 11 +dimension 20 +uid 3258,0 +) +*147 (MRCItem +litem &127 +pos 12 +dimension 20 +uid 3260,0 +) +*148 (MRCItem +litem &128 +pos 13 +dimension 20 +uid 3262,0 +) +*149 (MRCItem +litem &129 +pos 14 +dimension 20 +uid 3663,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 3283,0 +optionalChildren [ +*150 (MRCItem +litem &106 +pos 0 +dimension 20 +uid 3284,0 +) +*151 (MRCItem +litem &108 +pos 1 +dimension 50 +uid 3285,0 +) +*152 (MRCItem +litem &109 +pos 2 +dimension 100 +uid 3286,0 +) +*153 (MRCItem +litem &110 +pos 3 +dimension 50 +uid 3287,0 +) +*154 (MRCItem +litem &111 +pos 4 +dimension 100 +uid 3288,0 +) +*155 (MRCItem +litem &112 +pos 5 +dimension 100 +uid 3289,0 +) +*156 (MRCItem +litem &113 +pos 6 +dimension 50 +uid 3290,0 +) +*157 (MRCItem +litem &114 +pos 7 +dimension 80 +uid 3291,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 3278,0 +vaOverrides [ +] +) +] +) +uid 3263,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *158 (LEmptyRow +) +uid 3293,0 +optionalChildren [ +*159 (RefLabelRowHdr +) +*160 (TitleRowHdr +) +*161 (FilterRowHdr +) +*162 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*163 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*164 (GroupColHdr +tm "GroupColHdrMgr" +) +*165 (NameColHdr +tm "GenericNameColHdrMgr" +) +*166 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*167 (InitColHdr +tm "GenericValueColHdrMgr" +) +*168 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*169 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 3305,0 +optionalChildren [ +*170 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *171 (MRCItem +litem &158 +pos 0 +dimension 20 +) +uid 3307,0 +optionalChildren [ +*172 (MRCItem +litem &159 +pos 0 +dimension 20 +uid 3308,0 +) +*173 (MRCItem +litem &160 +pos 1 +dimension 23 +uid 3309,0 +) +*174 (MRCItem +litem &161 +pos 2 +hidden 1 +dimension 20 +uid 3310,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 3311,0 +optionalChildren [ +*175 (MRCItem +litem &162 +pos 0 +dimension 20 +uid 3312,0 +) +*176 (MRCItem +litem &164 +pos 1 +dimension 50 +uid 3313,0 +) +*177 (MRCItem +litem &165 +pos 2 +dimension 100 +uid 3314,0 +) +*178 (MRCItem +litem &166 +pos 3 +dimension 100 +uid 3315,0 +) +*179 (MRCItem +litem &167 +pos 4 +dimension 50 +uid 3316,0 +) +*180 (MRCItem +litem &168 +pos 5 +dimension 50 +uid 3317,0 +) +*181 (MRCItem +litem &169 +pos 6 +dimension 80 +uid 3318,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 3306,0 +vaOverrides [ +] +) +] +) +uid 3292,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Cursor_test/hds/cursor_tb/symbol.sb b/Cursor_test/hds/cursor_tb/symbol.sb new file mode 100644 index 0000000..ac3f457 --- /dev/null +++ b/Cursor_test/hds/cursor_tb/symbol.sb @@ -0,0 +1,1230 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 107,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 55,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 58,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 60,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 62,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 56,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 64,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 68,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 70,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 74,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 76,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 78,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 54,0 +vaOverrides [ +] +) +] +) +uid 106,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 111,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 82,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 85,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 87,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 89,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 83,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 91,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 95,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 97,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 99,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 101,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 103,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 105,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "cursor_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "08:13:22" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\SysLo\\Master\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "cursor_tb" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "08:13:22" +) +(vvPair +variable "unit" +value "cursor_tb" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29000,13000,43000,27000" +) +oxt "15000,6000,20000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "29950,21900,37350,23100" +st "Cursor_test" +blo "29950,22900" +) +second (Text +uid 12,0 +va (VaSet +) +xt "29950,23100,35450,24300" +st "cursor_tb" +blo "29950,24100" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "32000,27600,43500,28400" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sT 1 +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41400,69600,42600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 23,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "29250,41250,40750,42750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47400,42300,48600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41400,52900,42600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43400,42400,44600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43400,25600,44600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 38,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45400,25600,46600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,62300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45400,44500,46600" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47400,26500,48600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 52,0 +va (VaSet +font "Arial,12,1" +) +xt "0,0,8800,1500" +st "Package List" +blo "0,1200" +) +*66 (MLText +uid 53,0 +va (VaSet +font "Arial,12,0" +) +xt "0,1500,0,1500" +tm "PackageList" +) +] +) +windowSize "102,76,952,712" +viewArea "-8021,-1136,81281,50396" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "arial,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,6000,20000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "15950,14900,19050,15900" +st "" +blo "15950,15700" +) +second (Text +va (VaSet +) +xt "15950,15900,18150,16900" +st "" +blo "15950,16700" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,10,1" +) +xt "0,3400,7400,4600" +st "Declarations" +blo "0,4400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,10,1" +) +xt "0,4600,3500,5800" +st "Ports:" +blo "0,5600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,10,1" +) +xt "0,5800,3200,7000" +st "User:" +blo "0,6800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,10,1" +) +xt "0,3400,7800,4600" +st "Internal User:" +blo "0,4400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,7000,2000,7000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,3400,0,3400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 111,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/Cursor_test/hds/cursor_tester/interface b/Cursor_test/hds/cursor_tester/interface new file mode 100644 index 0000000..a55a2f3 --- /dev/null +++ b/Cursor_test/hds/cursor_tester/interface @@ -0,0 +1,2253 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2090,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 370,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "button4" +t "std_uLogic" +o 1 +suid 2076,0 +) +) +uid 977,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2077,0 +) +) +uid 979,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "encoderA" +t "std_uLogic" +o 3 +suid 2078,0 +) +) +uid 981,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "encoderB" +t "std_uLogic" +o 4 +suid 2079,0 +) +) +uid 983,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "encoderI" +t "std_uLogic" +o 5 +suid 2080,0 +) +) +uid 985,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "go1" +t "std_uLogic" +o 6 +suid 2081,0 +) +) +uid 987,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "go2" +t "std_uLogic" +o 7 +suid 2082,0 +) +) +uid 989,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "motorOn" +t "std_uLogic" +o 8 +suid 2083,0 +) +) +uid 991,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 2084,0 +) +) +uid 993,0 +) +*23 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "restart" +t "std_uLogic" +o 10 +suid 2085,0 +) +) +uid 995,0 +) +*24 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sensor1" +t "std_uLogic" +o 11 +suid 2086,0 +) +) +uid 997,0 +) +*25 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sensor2" +t "std_uLogic" +o 12 +suid 2087,0 +) +) +uid 999,0 +) +*26 (LogPort +port (LogicalPort +decl (Decl +n "side1" +t "std_uLogic" +o 13 +suid 2088,0 +) +) +uid 1001,0 +) +*27 (LogPort +port (LogicalPort +decl (Decl +n "side2" +t "std_uLogic" +o 14 +suid 2089,0 +) +) +uid 1003,0 +) +*28 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "testMode" +t "std_uLogic" +o 15 +suid 2090,0 +) +) +uid 1005,0 +) +] +) +pdm (PhysicalDM +uid 386,0 +optionalChildren [ +*29 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *30 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 303,0 +optionalChildren [ +*31 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 306,0 +) +*32 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 308,0 +) +*33 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 310,0 +) +*34 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 978,0 +) +*35 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 980,0 +) +*36 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 982,0 +) +*37 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 984,0 +) +*38 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 986,0 +) +*39 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 988,0 +) +*40 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 990,0 +) +*41 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 992,0 +) +*42 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 994,0 +) +*43 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 996,0 +) +*44 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 998,0 +) +*45 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 1000,0 +) +*46 (MRCItem +litem &26 +pos 12 +dimension 20 +uid 1002,0 +) +*47 (MRCItem +litem &27 +pos 13 +dimension 20 +uid 1004,0 +) +*48 (MRCItem +litem &28 +pos 14 +dimension 20 +uid 1006,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 304,0 +optionalChildren [ +*49 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 312,0 +) +*50 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 316,0 +) +*51 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 318,0 +) +*52 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 320,0 +) +*53 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 322,0 +) +*54 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 324,0 +) +*55 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 326,0 +) +*56 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 328,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 302,0 +vaOverrides [ +] +) +] +) +uid 369,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *57 (LEmptyRow +) +uid 388,0 +optionalChildren [ +*58 (RefLabelRowHdr +) +*59 (TitleRowHdr +) +*60 (FilterRowHdr +) +*61 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*62 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*63 (GroupColHdr +tm "GroupColHdrMgr" +) +*64 (NameColHdr +tm "GenericNameColHdrMgr" +) +*65 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*66 (InitColHdr +tm "GenericValueColHdrMgr" +) +*67 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*68 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 389,0 +optionalChildren [ +*69 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *70 (MRCItem +litem &57 +pos 3 +dimension 20 +) +uid 345,0 +optionalChildren [ +*71 (MRCItem +litem &58 +pos 0 +dimension 20 +uid 348,0 +) +*72 (MRCItem +litem &59 +pos 1 +dimension 23 +uid 350,0 +) +*73 (MRCItem +litem &60 +pos 2 +hidden 1 +dimension 20 +uid 352,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 346,0 +optionalChildren [ +*74 (MRCItem +litem &61 +pos 0 +dimension 20 +uid 354,0 +) +*75 (MRCItem +litem &63 +pos 1 +dimension 50 +uid 358,0 +) +*76 (MRCItem +litem &64 +pos 2 +dimension 100 +uid 360,0 +) +*77 (MRCItem +litem &65 +pos 3 +dimension 100 +uid 362,0 +) +*78 (MRCItem +litem &66 +pos 4 +dimension 50 +uid 364,0 +) +*79 (MRCItem +litem &67 +pos 5 +dimension 50 +uid 366,0 +) +*80 (MRCItem +litem &68 +pos 6 +dimension 80 +uid 368,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 344,0 +vaOverrides [ +] +) +] +) +uid 387,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tester" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tester" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "cursor_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "08:13:23" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "cursor_tester" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "/usr/opt/Modelsim/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "08:13:23" +) +(vvPair +variable "unit" +value "cursor_tester" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 106,0 +optionalChildren [ +*81 (SymbolBody +uid 8,0 +optionalChildren [ +*82 (CptPort +uid 902,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 903,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 904,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 905,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "28300,7000,29700,12800" +st "button4" +ju 2 +blo "29500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 906,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4200,61000,5000" +st "button4 : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "button4" +t "std_uLogic" +o 1 +suid 2076,0 +) +) +) +*83 (CptPort +uid 907,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 908,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34625,5250,35375,6000" +) +tg (CPTG +uid 909,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 910,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "34300,7000,35700,10800" +st "clock" +ju 2 +blo "35500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 911,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5000,61000,5800" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2077,0 +) +) +) +*84 (CptPort +uid 912,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 913,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "64625,5250,65375,6000" +) +tg (CPTG +uid 914,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 915,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "64300,7000,65700,13700" +st "encoderA" +ju 2 +blo "65500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 916,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5800,61000,6600" +st "encoderA : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "encoderA" +t "std_uLogic" +o 3 +suid 2078,0 +) +) +) +*85 (CptPort +uid 917,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 918,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62625,5250,63375,6000" +) +tg (CPTG +uid 919,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 920,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "62300,7000,63700,13700" +st "encoderB" +ju 2 +blo "63500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 921,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6600,61000,7400" +st "encoderB : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "encoderB" +t "std_uLogic" +o 4 +suid 2079,0 +) +) +) +*86 (CptPort +uid 922,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 923,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60625,5250,61375,6000" +) +tg (CPTG +uid 924,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 925,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "60300,7000,61700,13400" +st "encoderI" +ju 2 +blo "61500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 926,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7400,61000,8200" +st "encoderI : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "encoderI" +t "std_uLogic" +o 5 +suid 2080,0 +) +) +) +*87 (CptPort +uid 927,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 928,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 929,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 930,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "24300,7000,25700,10200" +st "go1" +ju 2 +blo "25500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 931,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8200,61000,9000" +st "go1 : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "go1" +t "std_uLogic" +o 6 +suid 2081,0 +) +) +) +*88 (CptPort +uid 932,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 933,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 934,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 935,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "26300,7000,27700,10200" +st "go2" +ju 2 +blo "27500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 936,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9000,61000,9800" +st "go2 : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "go2" +t "std_uLogic" +o 7 +suid 2082,0 +) +) +) +*89 (CptPort +uid 937,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 938,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "78625,5250,79375,6000" +) +tg (CPTG +uid 939,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 940,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "78300,7000,79700,13300" +st "motorOn" +ju 2 +blo "79500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 941,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,1800,61000,2600" +st "motorOn : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "motorOn" +t "std_uLogic" +o 8 +suid 2083,0 +) +) +) +*90 (CptPort +uid 942,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 943,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36625,5250,37375,6000" +) +tg (CPTG +uid 944,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 945,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "36300,7000,37700,11100" +st "reset" +ju 2 +blo "37500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 946,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9800,61000,10600" +st "reset : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 2084,0 +) +) +) +*91 (CptPort +uid 947,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 948,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 949,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 950,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "22300,7000,23700,12100" +st "restart" +ju 2 +blo "23500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 951,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,10600,61000,11400" +st "restart : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "restart" +t "std_uLogic" +o 10 +suid 2085,0 +) +) +) +*92 (CptPort +uid 952,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 953,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70625,5250,71375,6000" +) +tg (CPTG +uid 954,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 955,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "70300,7000,71700,12900" +st "sensor1" +ju 2 +blo "71500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 956,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,11400,61000,12200" +st "sensor1 : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sensor1" +t "std_uLogic" +o 11 +suid 2086,0 +) +) +) +*93 (CptPort +uid 957,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 958,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "68625,5250,69375,6000" +) +tg (CPTG +uid 959,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 960,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "68300,7000,69700,12900" +st "sensor2" +ju 2 +blo "69500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 961,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,12200,61000,13000" +st "sensor2 : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sensor2" +t "std_uLogic" +o 12 +suid 2087,0 +) +) +) +*94 (CptPort +uid 962,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 963,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "76625,5250,77375,6000" +) +tg (CPTG +uid 964,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 965,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "76300,7000,77700,11200" +st "side1" +ju 2 +blo "77500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 966,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2600,61000,3400" +st "side1 : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "side1" +t "std_uLogic" +o 13 +suid 2088,0 +) +) +) +*95 (CptPort +uid 967,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 968,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74625,5250,75375,6000" +) +tg (CPTG +uid 969,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 970,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "74300,7000,75700,11200" +st "side2" +ju 2 +blo "75500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 971,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3400,61000,4200" +st "side2 : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "side2" +t "std_uLogic" +o 14 +suid 2089,0 +) +) +) +*96 (CptPort +uid 972,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 973,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32625,5250,33375,6000" +) +tg (CPTG +uid 974,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 975,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "32300,7000,33700,13700" +st "testMode" +ju 2 +blo "33500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 976,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,13000,60000,13800" +st "testMode : OUT std_uLogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "testMode" +t "std_uLogic" +o 15 +suid 2090,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,87000,14000" +) +oxt "15000,6000,77000,14000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "47050,8800,54050,10000" +st "Cursor_test" +blo "47050,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "47050,10000,54950,11200" +st "cursor_tester" +blo "47050,11000" +) +) +gi *97 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "26000,6000,35700,7000" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*98 (Grouping +uid 16,0 +optionalChildren [ +*99 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*100 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*101 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*102 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*103 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*104 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*105 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*106 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*107 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*108 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *109 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*110 (Text +uid 49,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*111 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor_test" +entityName "cursor_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *112 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,3600,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *113 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,0,48500,900" +st "Declarations" +blo "42000,700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,900,45000,1800" +st "Ports:" +blo "42000,1600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,13800,44500,14700" +st "User:" +blo "42000,14500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "42000,0,49500,900" +st "Internal User:" +blo "42000,700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "44000,14700,44000,14700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 1006,0 +) diff --git a/Cursor_test/hds/cursor_tester/test.vhd b/Cursor_test/hds/cursor_tester/test.vhd new file mode 100644 index 0000000..f0beb44 --- /dev/null +++ b/Cursor_test/hds/cursor_tester/test.vhd @@ -0,0 +1,118 @@ +ARCHITECTURE test OF cursor_tester IS + + constant clockPeriod: time := 50 ns; + signal sClock: std_uLogic := '1'; + + constant pulsesPerTurn: integer := 200; + constant stepPeriodNb: positive := 8; + signal stepEn: std_uLogic := '0'; + signal direction: std_uLogic; + signal turning: std_uLogic; + signal stepCount: unsigned(10 downto 0) := (others => '0'); + +BEGIN + + ------------------------------------------------------------------------------ + -- clock and reset + -- + reset <= '1', '0' after clockPeriod/4; + + sClock <= not sClock after clockPeriod/2; + clock <= sClock after clockPeriod/10; + + + ------------------------------------------------------------------------------ + -- test sequence + -- + process + begin + + testMode <= '1'; + + restart <= '0'; + go1 <= '0'; + go2 <= '0'; + setPoint <= '0'; + + sensor1 <= '0'; + sensor2 <= '0'; + + wait for 1 us; + + ---------------------------------------------------------------------------- + -- advance to first stop point + go1 <= '1', '0' after 1 us; + wait for 4 ms; + + ---------------------------------------------------------------------------- + -- advance to second stop point + go2 <= '1', '0' after 1 us; + wait for 4 ms; + + ---------------------------------------------------------------------------- + -- back to start with sensor reset + restart <= '1', '0' after 1 us; + wait for 0.5 ms; + sensor1 <= '1', '0' after 1 us; + wait for 0.5 ms; + + ---------------------------------------------------------------------------- + -- advance to second stop point + go2 <= '1', '0' after 1 us; + wait for 7 ms; + + ---------------------------------------------------------------------------- + -- go back to first stop point + go1 <= '1', '0' after 1 us; + wait for 4 ms; + + ---------------------------------------------------------------------------- + -- back to start with counter stop + restart <= '1', '0' after 1 us; + wait for 4 ms; + sensor1 <= '1', '0' after 1 us; + wait for 1 ms; + + wait; + end process; + + ------------------------------------------------------------------------------ + -- motor feedback + -- + turning <= motorOn; + + findDirection: process(side1, side2) + begin + if (side1 = '1') and (side2 = '0') then + direction <= '1'; + elsif (side1 = '0') and (side2 = '1') then + direction <= '0'; + end if; + end process findDirection; + + stepEn <= not stepEn after (stepPeriodNb/4)*clockPeriod; + + count: process (stepEn) + begin + if turning = '1' then + if direction = '1' then + if stepCount < pulsesPerTurn-1 then + stepCount <= stepCount + 1; + else + stepCount <= to_unsigned(0, stepCount'length); + end if; + else + if stepCount > 0 then + stepCount <= stepCount - 1; + else + stepCount <= to_unsigned(pulsesPerTurn-1, stepCount'length); + end if; + end if; + end if; + end process count; + + encoderA <= stepCount(1); + encoderB <= not stepCount(1) xor stepCount(0); + encoderI <= '1' when stepCount = pulsesPerTurn-1 else '0'; + +END test; diff --git a/Cursor_test/hds/divider_tb/struct.bd b/Cursor_test/hds/divider_tb/struct.bd new file mode 100644 index 0000000..1346ab1 --- /dev/null +++ b/Cursor_test/hds/divider_tb/struct.bd @@ -0,0 +1,2770 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I1" +duLibraryName "Cursor_test" +duName "divider_tester" +elements [ +] +mwi 0 +uid 1774,0 +) +(Instance +name "I0" +duLibraryName "Cursor" +duName "divider" +elements [ +] +mwi 0 +uid 2524,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tb" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tb" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "divider_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "08:13:22" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "divider_tb" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "08:13:22" +) +(vvPair +variable "unit" +value "divider_tb" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 198,0 +optionalChildren [ +*1 (Net +uid 1315,0 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 1,0 +) +declText (MLText +uid 1316,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-5000,34200,10500,35400" +st "SIGNAL reset : std_ulogic" +) +) +*2 (Net +uid 1325,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2,0 +) +declText (MLText +uid 1326,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-5000,28200,10500,29400" +st "SIGNAL clock : std_ulogic" +) +) +*3 (Grouping +uid 1487,0 +optionalChildren [ +*4 (CommentText +uid 1489,0 +shape (Rectangle +uid 1490,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "47000,60000,66000,62000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 1491,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "47200,60400,62600,61600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 1492,0 +shape (Rectangle +uid 1493,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "15000,60000,41000,62000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 1494,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "22250,60250,33750,61750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 1495,0 +shape (Rectangle +uid 1496,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "20000,66000,41000,68000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 1497,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "20200,66400,38600,67600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 1498,0 +shape (Rectangle +uid 1499,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "41000,60000,47000,62000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 1500,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "41200,60400,45900,61600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 1501,0 +shape (Rectangle +uid 1502,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "20000,62000,41000,64000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 1503,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "20200,62400,35400,63600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 1504,0 +shape (Rectangle +uid 1505,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "15000,62000,20000,64000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 1506,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "15200,62400,18600,63600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 1507,0 +shape (Rectangle +uid 1508,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "15000,64000,20000,66000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 1509,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "15200,64400,18600,65600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 1510,0 +shape (Rectangle +uid 1511,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "41000,62000,66000,68000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 1512,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "41200,62200,55300,63400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*12 (CommentText +uid 1513,0 +shape (Rectangle +uid 1514,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "20000,64000,41000,66000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 1515,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "20200,64400,37100,65600" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 1516,0 +shape (Rectangle +uid 1517,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "15000,66000,20000,68000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 1518,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "15200,66400,19500,67600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 1488,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "15000,60000,66000,68000" +) +oxt "13000,22000,64000,30000" +) +*14 (Blk +uid 1774,0 +shape (Rectangle +uid 1775,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "2000,49000,56000,57000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1776,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*15 (Text +uid 1777,0 +va (VaSet +font "Verdana,12,1" +) +xt "8600,51900,18200,53300" +st "Cursor_test" +blo "8600,53100" +tm "BdLibraryNameMgr" +) +*16 (Text +uid 1778,0 +va (VaSet +font "Verdana,12,1" +) +xt "8600,53300,19800,54700" +st "divider_tester" +blo "8600,54500" +tm "BlkNameMgr" +) +*17 (Text +uid 1779,0 +va (VaSet +font "Verdana,12,1" +) +xt "8600,54700,11000,56100" +st "I1" +blo "8600,55900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1780,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1781,0 +text (MLText +uid 1782,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "8600,61900,8600,61900" +) +header "" +) +elements [ +] +) +) +*18 (Net +uid 2116,0 +decl (Decl +n "testMode" +t "std_uLogic" +o 5 +suid 3,0 +) +declText (MLText +uid 2117,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,0,15500,800" +st "SIGNAL testMode : std_uLogic" +) +) +*19 (Net +uid 2361,0 +decl (Decl +n "enPWM" +t "std_uLogic" +o 2 +suid 6,0 +) +declText (MLText +uid 2362,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,0,15500,800" +st "SIGNAL enPWM : std_uLogic" +) +) +*20 (SaComponent +uid 2524,0 +optionalChildren [ +*21 (CptPort +uid 2504,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2505,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "21250,32625,22000,33375" +) +tg (CPTG +uid 2506,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2507,0 +va (VaSet +) +xt "23000,32500,26400,33700" +st "clock" +blo "23000,33500" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*22 (CptPort +uid 2508,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2509,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "21250,34625,22000,35375" +) +tg (CPTG +uid 2510,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2511,0 +va (VaSet +) +xt "23000,34500,26300,35700" +st "reset" +blo "23000,35500" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*23 (CptPort +uid 2512,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2513,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "21250,30625,22000,31375" +) +tg (CPTG +uid 2514,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2515,0 +va (VaSet +) +xt "23000,30300,28400,31500" +st "testMode" +blo "23000,31300" +) +) +thePort (LogicalPort +decl (Decl +n "testMode" +t "std_uLogic" +o 3 +suid 3,0 +) +) +) +*24 (CptPort +uid 2516,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2517,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38000,30625,38750,31375" +) +tg (CPTG +uid 2518,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2519,0 +va (VaSet +) +xt "32300,30400,37000,31600" +st "enRamp" +ju 2 +blo "37000,31400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "enRamp" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +*25 (CptPort +uid 2520,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2521,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38000,32625,38750,33375" +) +tg (CPTG +uid 2522,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2523,0 +va (VaSet +) +xt "32600,32500,37000,33700" +st "enPWM" +ju 2 +blo "37000,33500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "enPWM" +t "std_uLogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 2525,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "22000,27000,38000,37000" +) +oxt "30000,16000,46000,26000" +ttg (MlTextGroup +uid 2526,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*26 (Text +uid 2527,0 +va (VaSet +font "Verdana,9,1" +) +xt "22100,37000,25800,38200" +st "Cursor" +blo "22100,38000" +tm "BdLibraryNameMgr" +) +*27 (Text +uid 2528,0 +va (VaSet +font "Verdana,9,1" +) +xt "22100,38200,26100,39400" +st "divider" +blo "22100,39200" +tm "CptNameMgr" +) +*28 (Text +uid 2529,0 +va (VaSet +font "Verdana,9,1" +) +xt "22100,39400,23800,40600" +st "I0" +blo "22100,40400" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2530,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2531,0 +text (MLText +uid 2532,0 +va (VaSet +font "Courier New,8,0" +) +xt "9000,26800,9000,26800" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +sIVOD 1 +) +archFileType "UNKNOWN" +) +*29 (Net +uid 2533,0 +decl (Decl +n "enRamp" +t "std_uLogic" +o 3 +suid 9,0 +) +declText (MLText +uid 2534,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,15500,1200" +st "SIGNAL enRamp : std_uLogic" +) +) +*30 (Wire +uid 1317,0 +shape (OrthoPolyLine +uid 1318,0 +va (VaSet +vasetType 3 +) +xt "18000,35000,21250,49000" +pts [ +"21250,35000" +"18000,35000" +"18000,49000" +] +) +start &22 +end &14 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1321,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1322,0 +va (VaSet +font "Verdana,12,0" +) +xt "18000,33600,22100,35000" +st "reset" +blo "18000,34800" +tm "WireNameMgr" +) +) +on &1 +) +*31 (Wire +uid 1327,0 +shape (OrthoPolyLine +uid 1328,0 +va (VaSet +vasetType 3 +) +xt "16000,33000,21250,49000" +pts [ +"21250,33000" +"16000,33000" +"16000,49000" +] +) +start &21 +end &14 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1331,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1332,0 +va (VaSet +font "Verdana,12,0" +) +xt "18000,31600,21800,33000" +st "clock" +blo "18000,32800" +tm "WireNameMgr" +) +) +on &2 +) +*32 (Wire +uid 2118,0 +shape (OrthoPolyLine +uid 2119,0 +va (VaSet +vasetType 3 +) +xt "14000,31000,21250,49000" +pts [ +"21250,31000" +"14000,31000" +"14000,49000" +] +) +start &23 +end &14 +sat 32 +eat 2 +st 0 +sf 1 +si 0 +tg (WTG +uid 2122,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2123,0 +va (VaSet +font "Verdana,12,0" +) +xt "14250,29600,20950,31000" +st "testMode" +blo "14250,30800" +tm "WireNameMgr" +) +) +on &18 +) +*33 (Wire +uid 2363,0 +shape (OrthoPolyLine +uid 2364,0 +va (VaSet +vasetType 3 +) +xt "38750,33000,42000,49000" +pts [ +"38750,33000" +"42000,33000" +"42000,49000" +] +) +start &25 +end &14 +sat 32 +eat 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 2367,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2368,0 +va (VaSet +font "Verdana,12,0" +) +xt "39000,31600,44500,33000" +st "enPWM" +blo "39000,32800" +tm "WireNameMgr" +) +) +on &19 +) +*34 (Wire +uid 2535,0 +shape (OrthoPolyLine +uid 2536,0 +va (VaSet +vasetType 3 +) +xt "38750,31000,44000,49000" +pts [ +"38750,31000" +"44000,31000" +"44000,49000" +] +) +start &24 +end &14 +sat 32 +eat 1 +st 0 +sf 1 +tg (WTG +uid 2539,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2540,0 +va (VaSet +font "Verdana,12,0" +) +xt "40750,29600,46650,31000" +st "enRamp" +blo "40750,30800" +tm "WireNameMgr" +) +) +on &29 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *35 (PackageList +uid 187,0 +stg "VerticalLayoutStrategy" +textVec [ +*36 (Text +uid 1297,0 +va (VaSet +font "Verdana,12,0" +) +xt "-7000,19600,2500,21000" +st "Package List" +blo "-7000,20800" +) +*37 (MLText +uid 1298,0 +va (VaSet +) +xt "-7000,21000,10500,24600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 190,0 +stg "VerticalLayoutStrategy" +textVec [ +*38 (Text +uid 191,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,0,31000,1200" +st "Compiler Directives" +blo "20000,1000" +) +*39 (Text +uid 192,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,1400,33000,2600" +st "Pre-module directives:" +blo "20000,2400" +) +*40 (MLText +uid 193,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,2800,30400,5400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*41 (Text +uid 194,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,5600,33500,6800" +st "Post-module directives:" +blo "20000,6600" +) +*42 (MLText +uid 195,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*43 (Text +uid 196,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,7200,33200,8400" +st "End-module directives:" +blo "20000,8200" +) +*44 (MLText +uid 197,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "1,31,1281,919" +viewArea "-8028,18547,67669,69915" +cachedDiagramExtent "-7000,0,66000,68000" +pageSetupInfo (PageSetupInfo +ptrCmd "Generic PostScript Printer,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 1077 +paperHeight 761 +windowsPaperWidth 1077 +windowsPaperHeight 761 +paperType "A4" +windowsPaperName "A4" +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +) +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,19000" +lastUid 2608,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "1000,1000,3300,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1500,2550,7900,3950" +st "" +blo "1500,3750" +tm "BdLibraryNameMgr" +) +*46 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1500,3950,7000,5350" +st "" +blo "1500,5150" +tm "BlkNameMgr" +) +*47 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1500,5350,3000,6750" +st "I0" +blo "1500,6550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "1500,12550,1500,12550" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*49 (Text +va (VaSet +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*50 (Text +va (VaSet +) +xt "-100,5000,500,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +va (VaSet +) +xt "-350,2550,1950,3550" +st "Library" +blo "-350,3350" +tm "BdLibraryNameMgr" +) +*52 (Text +va (VaSet +) +xt "-350,3550,5150,4550" +st "SaComponent" +blo "-350,4350" +tm "CptNameMgr" +) +*53 (Text +va (VaSet +) +xt "-350,4550,250,5550" +st "I0" +blo "-350,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-7350,550,-7350,550" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1350,0,9350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +) +xt "-850,2550,1450,3550" +st "Library" +blo "-850,3350" +) +*55 (Text +va (VaSet +) +xt "-850,3550,5250,4550" +st "VhdlComponent" +blo "-850,4350" +) +*56 (Text +va (VaSet +) +xt "-850,4550,-250,5550" +st "I0" +blo "-850,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-7850,550,-7850,550" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-2100,0,10100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +) +xt "-1600,2550,700,3550" +st "Library" +blo "-1600,3350" +) +*58 (Text +va (VaSet +) +xt "-1600,3550,5500,4550" +st "VerilogComponent" +blo "-1600,4350" +) +*59 (Text +va (VaSet +) +xt "-1600,4550,-1000,5550" +st "I0" +blo "-1600,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-8600,550,-8600,550" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +va (VaSet +) +xt "2950,3400,4150,4400" +st "eb1" +blo "2950,4200" +tm "HdlTextNameMgr" +) +*61 (Text +va (VaSet +) +xt "2950,4400,3350,5400" +st "1" +blo "2950,5200" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "Courier New,9,0" +) +xt "200,200,2700,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineStyle 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,5100,1400" +st "bundle0" +blo "0,1200" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "Verdana,12,0" +) +xt "0,1400,1800,2800" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "Verdana,12,0" +) +xt "0,0,5900,1400" +st "Auto list" +) +second (MLText +va (VaSet +font "Verdana,12,0" +) +xt "0,1400,11800,2800" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,18500,-200" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*62 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*63 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,11000,-200" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*64 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*65 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,10,1" +) +xt "-7000,25800,1600,27000" +st "Declarations" +blo "-7000,26800" +) +portLabel (Text +uid 3,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "-7000,27000,-2800,28200" +st "Ports:" +blo "-7000,28000" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "-7000,27000,-1000,28200" +st "Pre User:" +blo "-7000,28000" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "Courier New,10,0" +) +xt "-5000,29400,-5000,29400" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "-7000,27000,4000,28200" +st "Diagram Signals:" +blo "-7000,28000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "-7000,27000,300,28200" +st "Post User:" +blo "-7000,28000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,10,0" +) +xt "-5000,41400,-5000,41400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 9,0 +usingSuid 1 +emptyRow *66 (LEmptyRow +) +uid 2382,0 +optionalChildren [ +*67 (RefLabelRowHdr +) +*68 (TitleRowHdr +) +*69 (FilterRowHdr +) +*70 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*71 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*72 (GroupColHdr +tm "GroupColHdrMgr" +) +*73 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*74 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*75 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*76 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*77 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*78 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*79 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 1,0 +) +) +uid 2369,0 +) +*80 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2,0 +) +) +uid 2371,0 +) +*81 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "testMode" +t "std_uLogic" +o 5 +suid 3,0 +) +) +uid 2373,0 +) +*82 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "enPWM" +t "std_uLogic" +o 2 +suid 6,0 +) +) +uid 2379,0 +) +*83 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "enRamp" +t "std_uLogic" +o 3 +suid 9,0 +) +) +uid 2541,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 2395,0 +optionalChildren [ +*84 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *85 (MRCItem +litem &66 +pos 5 +dimension 20 +) +uid 2397,0 +optionalChildren [ +*86 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 2398,0 +) +*87 (MRCItem +litem &68 +pos 1 +dimension 23 +uid 2399,0 +) +*88 (MRCItem +litem &69 +pos 2 +hidden 1 +dimension 20 +uid 2400,0 +) +*89 (MRCItem +litem &79 +pos 0 +dimension 20 +uid 2370,0 +) +*90 (MRCItem +litem &80 +pos 1 +dimension 20 +uid 2372,0 +) +*91 (MRCItem +litem &81 +pos 2 +dimension 20 +uid 2374,0 +) +*92 (MRCItem +litem &82 +pos 3 +dimension 20 +uid 2380,0 +) +*93 (MRCItem +litem &83 +pos 4 +dimension 20 +uid 2542,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 2401,0 +optionalChildren [ +*94 (MRCItem +litem &70 +pos 0 +dimension 20 +uid 2402,0 +) +*95 (MRCItem +litem &72 +pos 1 +dimension 50 +uid 2403,0 +) +*96 (MRCItem +litem &73 +pos 2 +dimension 100 +uid 2404,0 +) +*97 (MRCItem +litem &74 +pos 3 +dimension 50 +uid 2405,0 +) +*98 (MRCItem +litem &75 +pos 4 +dimension 100 +uid 2406,0 +) +*99 (MRCItem +litem &76 +pos 5 +dimension 100 +uid 2407,0 +) +*100 (MRCItem +litem &77 +pos 6 +dimension 50 +uid 2408,0 +) +*101 (MRCItem +litem &78 +pos 7 +dimension 80 +uid 2409,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 2396,0 +vaOverrides [ +] +) +] +) +uid 2381,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *102 (LEmptyRow +) +uid 2411,0 +optionalChildren [ +*103 (RefLabelRowHdr +) +*104 (TitleRowHdr +) +*105 (FilterRowHdr +) +*106 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*107 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*108 (GroupColHdr +tm "GroupColHdrMgr" +) +*109 (NameColHdr +tm "GenericNameColHdrMgr" +) +*110 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*111 (InitColHdr +tm "GenericValueColHdrMgr" +) +*112 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*113 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 2423,0 +optionalChildren [ +*114 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *115 (MRCItem +litem &102 +pos 0 +dimension 20 +) +uid 2425,0 +optionalChildren [ +*116 (MRCItem +litem &103 +pos 0 +dimension 20 +uid 2426,0 +) +*117 (MRCItem +litem &104 +pos 1 +dimension 23 +uid 2427,0 +) +*118 (MRCItem +litem &105 +pos 2 +hidden 1 +dimension 20 +uid 2428,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 2429,0 +optionalChildren [ +*119 (MRCItem +litem &106 +pos 0 +dimension 20 +uid 2430,0 +) +*120 (MRCItem +litem &108 +pos 1 +dimension 50 +uid 2431,0 +) +*121 (MRCItem +litem &109 +pos 2 +dimension 100 +uid 2432,0 +) +*122 (MRCItem +litem &110 +pos 3 +dimension 100 +uid 2433,0 +) +*123 (MRCItem +litem &111 +pos 4 +dimension 50 +uid 2434,0 +) +*124 (MRCItem +litem &112 +pos 5 +dimension 50 +uid 2435,0 +) +*125 (MRCItem +litem &113 +pos 6 +dimension 80 +uid 2436,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 2424,0 +vaOverrides [ +] +) +] +) +uid 2410,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Cursor_test/hds/divider_tb/symbol.sb b/Cursor_test/hds/divider_tb/symbol.sb new file mode 100644 index 0000000..19dd549 --- /dev/null +++ b/Cursor_test/hds/divider_tb/symbol.sb @@ -0,0 +1,1230 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 107,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 55,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 58,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 60,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 62,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 56,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 64,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 68,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 70,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 74,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 76,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 78,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 54,0 +vaOverrides [ +] +) +] +) +uid 106,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 111,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 82,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 85,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 87,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 89,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 83,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 91,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 95,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 97,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 99,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 101,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 103,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 105,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tb\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tb\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tb" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tb" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "divider_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "08:13:22" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\SysLo\\Master\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "divider_tb" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tb\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tb\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "08:13:22" +) +(vvPair +variable "unit" +value "divider_tb" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29000,13000,43000,27000" +) +oxt "15000,6000,20000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "29950,21900,37350,23100" +st "Cursor_test" +blo "29950,22900" +) +second (Text +uid 12,0 +va (VaSet +) +xt "29950,23100,36350,24300" +st "divider_tb" +blo "29950,24100" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "32000,27600,43500,28400" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sT 1 +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41400,69600,42600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 23,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "29250,41250,40750,42750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47400,42300,48600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41400,52900,42600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43400,42400,44600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43400,25600,44600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 38,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45400,25600,46600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,62300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45400,44700,46600" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47400,26500,48600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 52,0 +va (VaSet +font "Arial,12,1" +) +xt "0,0,8800,1500" +st "Package List" +blo "0,1200" +) +*66 (MLText +uid 53,0 +va (VaSet +font "Arial,12,0" +) +xt "0,1500,0,1500" +tm "PackageList" +) +] +) +windowSize "102,76,952,712" +viewArea "-8021,-1136,81281,50396" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "arial,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,6000,20000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "15950,14900,19050,15900" +st "" +blo "15950,15700" +) +second (Text +va (VaSet +) +xt "15950,15900,18150,16900" +st "" +blo "15950,16700" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,10,1" +) +xt "0,3400,7400,4600" +st "Declarations" +blo "0,4400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,10,1" +) +xt "0,4600,3500,5800" +st "Ports:" +blo "0,5600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,10,1" +) +xt "0,5800,3200,7000" +st "User:" +blo "0,6800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,10,1" +) +xt "0,3400,7800,4600" +st "Internal User:" +blo "0,4400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,7000,2000,7000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,3400,0,3400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 111,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/Cursor_test/hds/divider_tester/interface b/Cursor_test/hds/divider_tester/interface new file mode 100644 index 0000000..216e517 --- /dev/null +++ b/Cursor_test/hds/divider_tester/interface @@ -0,0 +1,1584 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2037,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 171,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2033,0 +) +) +uid 504,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "enPWM" +t "std_uLogic" +o 2 +suid 2034,0 +) +) +uid 506,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "enRamp" +t "std_uLogic" +o 3 +suid 2035,0 +) +) +uid 508,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 2036,0 +) +) +uid 510,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "testMode" +t "std_uLogic" +o 5 +suid 2037,0 +) +) +uid 512,0 +) +] +) +pdm (PhysicalDM +uid 178,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 113,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 116,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 118,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 120,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 505,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 507,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 509,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 511,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 513,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 114,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 122,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 126,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 128,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 130,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 132,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 134,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 136,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 138,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 112,0 +vaOverrides [ +] +) +] +) +uid 170,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 180,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 181,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *50 (MRCItem +litem &37 +pos 3 +dimension 20 +) +uid 146,0 +optionalChildren [ +*51 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 149,0 +) +*52 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 151,0 +) +*53 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 153,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 147,0 +optionalChildren [ +*54 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 155,0 +) +*55 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 159,0 +) +*56 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 161,0 +) +*57 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 163,0 +) +*58 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 165,0 +) +*59 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 167,0 +) +*60 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 169,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 145,0 +vaOverrides [ +] +) +] +) +uid 179,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tester" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tester" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "divider_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "08:13:22" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "divider_tester" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "08:13:22" +) +(vvPair +variable "unit" +value "divider_tester" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 81,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 479,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 480,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 481,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 482,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "28300,7000,29700,10800" +st "clock" +ju 2 +blo "29500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 483,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,61000,4400" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2033,0 +) +) +) +*63 (CptPort +uid 484,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 485,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54625,5250,55375,6000" +) +tg (CPTG +uid 486,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 487,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "54300,7000,55700,12500" +st "enPWM" +ju 2 +blo "55500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 488,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,61000,2800" +st "enPWM : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "enPWM" +t "std_uLogic" +o 2 +suid 2034,0 +) +) +) +*64 (CptPort +uid 489,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 490,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56625,5250,57375,6000" +) +tg (CPTG +uid 491,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 492,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "56300,7000,57700,12900" +st "enRamp" +ju 2 +blo "57500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 493,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,61000,3600" +st "enRamp : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "enRamp" +t "std_uLogic" +o 3 +suid 2035,0 +) +) +) +*65 (CptPort +uid 494,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 495,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 496,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 497,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "30300,7000,31700,11100" +st "reset" +ju 2 +blo "31500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 498,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,61000,5200" +st "reset : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 2036,0 +) +) +) +*66 (CptPort +uid 499,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 500,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 501,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 502,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "26300,7000,27700,13700" +st "testMode" +ju 2 +blo "27500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 503,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,60000,6000" +st "testMode : OUT std_uLogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "testMode" +t "std_uLogic" +o 5 +suid 2037,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,69000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "37850,8800,44850,10000" +st "Cursor_test" +blo "37850,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "37850,10000,46150,11200" +st "divider_tester" +blo "37850,11000" +) +) +gi *67 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "17000,6000,28500,6800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*68 (Grouping +uid 16,0 +optionalChildren [ +*69 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*70 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*71 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*72 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*73 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*74 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*75 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*76 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*78 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *79 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*81 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Courier New,9,0" +) +xt "200,200,2700,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor_test" +entityName "divider_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *82 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,3600,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *83 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,6000,44400,7000" +st "User:" +blo "42000,6800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7000,44000,7000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 513,0 +) diff --git a/Cursor_test/hds/divider_tester/test.vhd b/Cursor_test/hds/divider_tester/test.vhd new file mode 100644 index 0000000..2871351 --- /dev/null +++ b/Cursor_test/hds/divider_tester/test.vhd @@ -0,0 +1,21 @@ +ARCHITECTURE test OF divider_tester IS + + constant clockPeriod: time := 50 ns; + signal sClock: std_uLogic := '1'; + +BEGIN + + reset <= '1', '0' after clockPeriod/4; + + sClock <= not sClock after clockPeriod/2; + clock <= sClock after clockPeriod/10; + + testMode <= '1', '0' after 10000*clockPeriod; + + start <= '0', + '1' after 210 us, + '0' after 210 us + clockPeriod, + '1' after 2.1 ms, + '0' after 2.1 ms + clockPeriod; + +END test; diff --git a/Cursor_test/hds/position@counter_tb/struct.bd b/Cursor_test/hds/position@counter_tb/struct.bd new file mode 100644 index 0000000..8e54756 --- /dev/null +++ b/Cursor_test/hds/position@counter_tb/struct.bd @@ -0,0 +1,3009 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I1" +duLibraryName "Cursor_test" +duName "positionCounter_tester" +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +] +mwi 0 +uid 1774,0 +) +(Instance +name "I0" +duLibraryName "Cursor" +duName "positionCounter" +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +] +mwi 0 +uid 2179,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\position@counter_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\position@counter_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\position@counter_tb" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\positionCounter_tb" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "positionCounter_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "08:13:22" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "positionCounter_tb" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\position@counter_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\positionCounter_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Projects\\Levisat\\Onboard\\FPGA\\board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "08:13:22" +) +(vvPair +variable "unit" +value "positionCounter_tb" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 198,0 +optionalChildren [ +*1 (Net +uid 1315,0 +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 1,0 +) +declText (MLText +uid 1316,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-5000,81800,10500,83000" +st "SIGNAL reset : std_ulogic" +) +) +*2 (Net +uid 1325,0 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +declText (MLText +uid 1326,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-5000,75800,10500,77000" +st "SIGNAL clock : std_ulogic" +) +) +*3 (Grouping +uid 1487,0 +optionalChildren [ +*4 (CommentText +uid 1489,0 +shape (Rectangle +uid 1490,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "65000,73000,84000,75000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 1491,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "65200,73400,80600,74600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 1492,0 +shape (Rectangle +uid 1493,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "33000,73000,59000,75000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 1494,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "40250,73250,51750,74750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 1495,0 +shape (Rectangle +uid 1496,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "38000,79000,59000,81000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 1497,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "38200,79400,56600,80600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 1498,0 +shape (Rectangle +uid 1499,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "59000,73000,65000,75000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 1500,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "59200,73400,63900,74600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 1501,0 +shape (Rectangle +uid 1502,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "38000,75000,59000,77000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 1503,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "38200,75400,53400,76600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 1504,0 +shape (Rectangle +uid 1505,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "33000,75000,38000,77000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 1506,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "33200,75400,36600,76600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 1507,0 +shape (Rectangle +uid 1508,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "33000,77000,38000,79000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 1509,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "33200,77400,36600,78600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 1510,0 +shape (Rectangle +uid 1511,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "59000,75000,84000,81000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 1512,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "59200,75200,73300,76400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*12 (CommentText +uid 1513,0 +shape (Rectangle +uid 1514,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "38000,77000,59000,79000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 1515,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "38200,77400,56700,78600" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 1516,0 +shape (Rectangle +uid 1517,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "33000,79000,38000,81000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 1518,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "33200,79400,37500,80600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 1488,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "33000,73000,84000,81000" +) +oxt "13000,22000,64000,30000" +) +*14 (Blk +uid 1774,0 +shape (Rectangle +uid 1775,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "16000,48000,72000,56000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1776,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*15 (Text +uid 1777,0 +va (VaSet +font "Verdana,12,1" +) +xt "16600,55900,26200,57300" +st "Cursor_test" +blo "16600,57100" +tm "BdLibraryNameMgr" +) +*16 (Text +uid 1778,0 +va (VaSet +font "Verdana,12,1" +) +xt "16600,57300,34500,58700" +st "positionCounter_tester" +blo "16600,58500" +tm "BlkNameMgr" +) +*17 (Text +uid 1779,0 +va (VaSet +font "Verdana,12,1" +) +xt "16600,58700,19000,60100" +st "I1" +blo "16600,59900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1780,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1781,0 +text (MLText +uid 1782,0 +va (VaSet +font "Courier New,9,0" +) +xt "31000,62800,55000,64000" +st "counterBitNb = counterBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +] +) +) +*18 (SaComponent +uid 2179,0 +optionalChildren [ +*19 (CptPort +uid 2151,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2152,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,35625,36000,36375" +) +tg (CPTG +uid 2153,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2154,0 +va (VaSet +) +xt "37000,35500,40400,36700" +st "clock" +blo "37000,36500" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +) +) +) +*20 (CptPort +uid 2155,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2156,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,29625,36000,30375" +) +tg (CPTG +uid 2157,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2158,0 +va (VaSet +) +xt "37000,29500,41700,30700" +st "position" +blo "37000,30500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "position" +t "unsigned" +b "(counterBitNb-1 DOWNTO 0)" +o 4 +) +) +) +*21 (CptPort +uid 2159,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2160,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,29625,52750,30375" +) +tg (CPTG +uid 2161,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2162,0 +va (VaSet +) +xt "45500,29300,51000,30500" +st "encoderA" +ju 2 +blo "51000,30300" +) +) +thePort (LogicalPort +decl (Decl +n "encoderA" +t "std_ulogic" +o 2 +) +) +) +*22 (CptPort +uid 2163,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2164,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,37625,36000,38375" +) +tg (CPTG +uid 2165,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2166,0 +va (VaSet +) +xt "37000,37500,40300,38700" +st "reset" +blo "37000,38500" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +) +) +) +*23 (CptPort +uid 2167,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2168,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,31625,52750,32375" +) +tg (CPTG +uid 2169,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2170,0 +va (VaSet +) +xt "45600,31300,51000,32500" +st "encoderB" +ju 2 +blo "51000,32300" +) +) +thePort (LogicalPort +decl (Decl +n "encoderB" +t "std_ulogic" +o 5 +) +) +) +*24 (CptPort +uid 2171,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2172,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,31625,36000,32375" +) +tg (CPTG +uid 2173,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2174,0 +va (VaSet +) +xt "37000,31300,40200,32500" +st "clear" +blo "37000,32300" +) +) +thePort (LogicalPort +decl (Decl +n "clear" +t "std_ulogic" +o 6 +) +) +) +*25 (CptPort +uid 2175,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2176,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,33625,52750,34375" +) +tg (CPTG +uid 2177,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2178,0 +va (VaSet +) +xt "45800,33300,51000,34500" +st "encoderI" +ju 2 +blo "51000,34300" +) +) +thePort (LogicalPort +decl (Decl +n "encoderI" +t "std_ulogic" +o 7 +) +) +) +] +shape (Rectangle +uid 2180,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "36000,26000,52000,40000" +) +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 2181,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*26 (Text +uid 2182,0 +va (VaSet +) +xt "36100,40000,38900,41000" +st "Cursor" +blo "36100,40800" +tm "BdLibraryNameMgr" +) +*27 (Text +uid 2183,0 +va (VaSet +) +xt "36100,41000,42200,42000" +st "positionCounter" +blo "36100,41800" +tm "CptNameMgr" +) +*28 (Text +uid 2184,0 +va (VaSet +) +xt "36100,42000,37100,43000" +st "I0" +blo "36100,42800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2185,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2186,0 +text (MLText +uid 2187,0 +va (VaSet +font "Courier New,8,0" +) +xt "36000,43600,60000,44400" +st "counterBitNb = counterBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*29 (Net +uid 2216,0 +decl (Decl +n "clear" +t "std_ulogic" +o 1 +suid 3,0 +) +declText (MLText +uid 2217,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,0,15500,800" +st "SIGNAL clear : std_ulogic" +) +) +*30 (Net +uid 2224,0 +decl (Decl +n "encoderI" +t "std_ulogic" +o 5 +suid 4,0 +) +declText (MLText +uid 2225,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,0,15500,800" +st "SIGNAL encoderI : std_ulogic" +) +) +*31 (Net +uid 2232,0 +decl (Decl +n "encoderB" +t "std_ulogic" +o 4 +suid 5,0 +) +declText (MLText +uid 2233,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,0,15500,800" +st "SIGNAL encoderB : std_ulogic" +) +) +*32 (Net +uid 2240,0 +decl (Decl +n "encoderA" +t "std_ulogic" +o 3 +suid 6,0 +) +declText (MLText +uid 2241,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,0,15500,800" +st "SIGNAL encoderA : std_ulogic" +) +) +*33 (Net +uid 2248,0 +decl (Decl +n "position" +t "unsigned" +b "(counterBitNb-1 DOWNTO 0)" +o 6 +suid 7,0 +) +declText (MLText +uid 2249,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,0,27500,800" +st "SIGNAL position : unsigned(counterBitNb-1 DOWNTO 0)" +) +) +*34 (Wire +uid 1317,0 +shape (OrthoPolyLine +uid 1318,0 +va (VaSet +vasetType 3 +) +xt "32000,38000,35250,48000" +pts [ +"35250,38000" +"32000,38000" +"32000,48000" +] +) +start &22 +end &14 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1321,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1322,0 +va (VaSet +font "Verdana,12,0" +) +xt "32000,36600,36100,38000" +st "reset" +blo "32000,37800" +tm "WireNameMgr" +) +) +on &1 +) +*35 (Wire +uid 1327,0 +shape (OrthoPolyLine +uid 1328,0 +va (VaSet +vasetType 3 +) +xt "30000,36000,35250,48000" +pts [ +"35250,36000" +"30000,36000" +"30000,48000" +] +) +start &19 +end &14 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1331,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1332,0 +va (VaSet +font "Verdana,12,0" +) +xt "32000,34600,35800,36000" +st "clock" +blo "32000,35800" +tm "WireNameMgr" +) +) +on &2 +) +*36 (Wire +uid 2218,0 +shape (OrthoPolyLine +uid 2219,0 +va (VaSet +vasetType 3 +) +xt "26000,32000,35250,48000" +pts [ +"35250,32000" +"26000,32000" +"26000,48000" +] +) +start &24 +end &14 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2222,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2223,0 +va (VaSet +font "Verdana,12,0" +) +xt "31250,30600,35050,32000" +st "clear" +blo "31250,31800" +tm "WireNameMgr" +) +) +on &29 +) +*37 (Wire +uid 2226,0 +shape (OrthoPolyLine +uid 2227,0 +va (VaSet +vasetType 3 +) +xt "52750,34000,60000,48000" +pts [ +"52750,34000" +"60000,34000" +"60000,48000" +] +) +start &25 +end &14 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2230,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2231,0 +va (VaSet +font "Verdana,12,0" +) +xt "54750,32600,61150,34000" +st "encoderI" +blo "54750,33800" +tm "WireNameMgr" +) +) +on &30 +) +*38 (Wire +uid 2234,0 +shape (OrthoPolyLine +uid 2235,0 +va (VaSet +vasetType 3 +) +xt "52750,32000,62000,48000" +pts [ +"52750,32000" +"62000,32000" +"62000,48000" +] +) +start &23 +end &14 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2238,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2239,0 +va (VaSet +font "Verdana,12,0" +) +xt "54750,30600,61450,32000" +st "encoderB" +blo "54750,31800" +tm "WireNameMgr" +) +) +on &31 +) +*39 (Wire +uid 2242,0 +shape (OrthoPolyLine +uid 2243,0 +va (VaSet +vasetType 3 +) +xt "52750,30000,64000,48000" +pts [ +"52750,30000" +"64000,30000" +"64000,48000" +] +) +start &21 +end &14 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2246,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2247,0 +va (VaSet +font "Verdana,12,0" +) +xt "54750,28600,61450,30000" +st "encoderA" +blo "54750,29800" +tm "WireNameMgr" +) +) +on &32 +) +*40 (Wire +uid 2250,0 +shape (OrthoPolyLine +uid 2251,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "24000,30000,35250,48000" +pts [ +"35250,30000" +"24000,30000" +"24000,48000" +] +) +start &20 +end &14 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2254,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2255,0 +va (VaSet +font "Verdana,12,0" +) +xt "29250,28600,35050,30000" +st "position" +blo "29250,29800" +tm "WireNameMgr" +) +) +on &33 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *41 (PackageList +uid 187,0 +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +uid 1297,0 +va (VaSet +font "Verdana,12,0" +) +xt "-7000,19600,2500,21000" +st "Package List" +blo "-7000,20800" +) +*43 (MLText +uid 1298,0 +va (VaSet +) +xt "-7000,21000,10500,24600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 190,0 +stg "VerticalLayoutStrategy" +textVec [ +*44 (Text +uid 191,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,0,31000,1200" +st "Compiler Directives" +blo "20000,1000" +) +*45 (Text +uid 192,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,1400,33000,2600" +st "Pre-module directives:" +blo "20000,2400" +) +*46 (MLText +uid 193,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,2800,30400,5400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*47 (Text +uid 194,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,5600,33500,6800" +st "Post-module directives:" +blo "20000,6600" +) +*48 (MLText +uid 195,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*49 (Text +uid 196,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,7200,33200,8400" +st "End-module directives:" +blo "20000,8200" +) +*50 (MLText +uid 197,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "7,31,1381,935" +viewArea "-8320,18225,86032,82760" +cachedDiagramExtent "-7000,0,84000,83000" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\SUN\\PREA309_HPLJ4050.PRINTERS.SYSTEM.SION.HEVs,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +scale 80 +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,19000" +lastUid 2413,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "1000,1000,3300,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1500,2550,7900,3950" +st "" +blo "1500,3750" +tm "BdLibraryNameMgr" +) +*52 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1500,3950,7000,5350" +st "" +blo "1500,5150" +tm "BlkNameMgr" +) +*53 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1500,5350,3000,6750" +st "I0" +blo "1500,6550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "1500,12550,1500,12550" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*55 (Text +va (VaSet +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*56 (Text +va (VaSet +) +xt "-100,5000,500,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +) +xt "-350,2550,1950,3550" +st "Library" +blo "-350,3350" +tm "BdLibraryNameMgr" +) +*58 (Text +va (VaSet +) +xt "-350,3550,5150,4550" +st "SaComponent" +blo "-350,4350" +tm "CptNameMgr" +) +*59 (Text +va (VaSet +) +xt "-350,4550,250,5550" +st "I0" +blo "-350,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-7350,550,-7350,550" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1350,0,9350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +va (VaSet +) +xt "-850,2550,1450,3550" +st "Library" +blo "-850,3350" +) +*61 (Text +va (VaSet +) +xt "-850,3550,5250,4550" +st "VhdlComponent" +blo "-850,4350" +) +*62 (Text +va (VaSet +) +xt "-850,4550,-250,5550" +st "I0" +blo "-850,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-7850,550,-7850,550" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-2100,0,10100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +va (VaSet +) +xt "-1600,2550,700,3550" +st "Library" +blo "-1600,3350" +) +*64 (Text +va (VaSet +) +xt "-1600,3550,5500,4550" +st "VerilogComponent" +blo "-1600,4350" +) +*65 (Text +va (VaSet +) +xt "-1600,4550,-1000,5550" +st "I0" +blo "-1600,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-8600,550,-8600,550" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*66 (Text +va (VaSet +) +xt "2950,3400,4150,4400" +st "eb1" +blo "2950,4200" +tm "HdlTextNameMgr" +) +*67 (Text +va (VaSet +) +xt "2950,4400,3350,5400" +st "1" +blo "2950,5200" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "Courier New,9,0" +) +xt "200,200,2700,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineStyle 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,5100,1400" +st "bundle0" +blo "0,1200" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "Verdana,12,0" +) +xt "0,1400,1800,2800" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "Verdana,12,0" +) +xt "0,0,5900,1400" +st "Auto list" +) +second (MLText +va (VaSet +font "Verdana,12,0" +) +xt "0,1400,11800,2800" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,18500,-200" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*68 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*69 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,11000,-200" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*70 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*71 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,10,1" +) +xt "-7000,73400,1600,74600" +st "Declarations" +blo "-7000,74400" +) +portLabel (Text +uid 3,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "-7000,74600,-2800,75800" +st "Ports:" +blo "-7000,75600" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,10,1" +) +xt "-7000,74600,-1000,75800" +st "Pre User:" +blo "-7000,75600" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Courier New,10,0" +) +xt "-5000,75800,19600,77000" +st "constant counterBitNb: positive := 16;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "-7000,74600,4000,75800" +st "Diagram Signals:" +blo "-7000,75600" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "-7000,74600,300,75800" +st "Post User:" +blo "-7000,75600" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,10,0" +) +xt "-5000,89000,-5000,89000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 7,0 +usingSuid 1 +emptyRow *72 (LEmptyRow +) +uid 2271,0 +optionalChildren [ +*73 (RefLabelRowHdr +) +*74 (TitleRowHdr +) +*75 (FilterRowHdr +) +*76 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*77 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*78 (GroupColHdr +tm "GroupColHdrMgr" +) +*79 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*80 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*81 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*82 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*83 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*84 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*85 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 1,0 +) +) +uid 2256,0 +) +*86 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 2258,0 +) +*87 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clear" +t "std_ulogic" +o 1 +suid 3,0 +) +) +uid 2260,0 +) +*88 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "encoderI" +t "std_ulogic" +o 5 +suid 4,0 +) +) +uid 2262,0 +) +*89 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "encoderB" +t "std_ulogic" +o 4 +suid 5,0 +) +) +uid 2264,0 +) +*90 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "encoderA" +t "std_ulogic" +o 3 +suid 6,0 +) +) +uid 2266,0 +) +*91 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "position" +t "unsigned" +b "(counterBitNb-1 DOWNTO 0)" +o 6 +suid 7,0 +) +) +uid 2268,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 2284,0 +optionalChildren [ +*92 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *93 (MRCItem +litem &72 +pos 7 +dimension 20 +) +uid 2286,0 +optionalChildren [ +*94 (MRCItem +litem &73 +pos 0 +dimension 20 +uid 2287,0 +) +*95 (MRCItem +litem &74 +pos 1 +dimension 23 +uid 2288,0 +) +*96 (MRCItem +litem &75 +pos 2 +hidden 1 +dimension 20 +uid 2289,0 +) +*97 (MRCItem +litem &85 +pos 0 +dimension 20 +uid 2257,0 +) +*98 (MRCItem +litem &86 +pos 1 +dimension 20 +uid 2259,0 +) +*99 (MRCItem +litem &87 +pos 2 +dimension 20 +uid 2261,0 +) +*100 (MRCItem +litem &88 +pos 3 +dimension 20 +uid 2263,0 +) +*101 (MRCItem +litem &89 +pos 4 +dimension 20 +uid 2265,0 +) +*102 (MRCItem +litem &90 +pos 5 +dimension 20 +uid 2267,0 +) +*103 (MRCItem +litem &91 +pos 6 +dimension 20 +uid 2269,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 2290,0 +optionalChildren [ +*104 (MRCItem +litem &76 +pos 0 +dimension 20 +uid 2291,0 +) +*105 (MRCItem +litem &78 +pos 1 +dimension 50 +uid 2292,0 +) +*106 (MRCItem +litem &79 +pos 2 +dimension 100 +uid 2293,0 +) +*107 (MRCItem +litem &80 +pos 3 +dimension 50 +uid 2294,0 +) +*108 (MRCItem +litem &81 +pos 4 +dimension 100 +uid 2295,0 +) +*109 (MRCItem +litem &82 +pos 5 +dimension 100 +uid 2296,0 +) +*110 (MRCItem +litem &83 +pos 6 +dimension 50 +uid 2297,0 +) +*111 (MRCItem +litem &84 +pos 7 +dimension 80 +uid 2298,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 2285,0 +vaOverrides [ +] +) +] +) +uid 2270,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *112 (LEmptyRow +) +uid 2300,0 +optionalChildren [ +*113 (RefLabelRowHdr +) +*114 (TitleRowHdr +) +*115 (FilterRowHdr +) +*116 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*117 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*118 (GroupColHdr +tm "GroupColHdrMgr" +) +*119 (NameColHdr +tm "GenericNameColHdrMgr" +) +*120 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*121 (InitColHdr +tm "GenericValueColHdrMgr" +) +*122 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*123 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 2312,0 +optionalChildren [ +*124 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *125 (MRCItem +litem &112 +pos 0 +dimension 20 +) +uid 2314,0 +optionalChildren [ +*126 (MRCItem +litem &113 +pos 0 +dimension 20 +uid 2315,0 +) +*127 (MRCItem +litem &114 +pos 1 +dimension 23 +uid 2316,0 +) +*128 (MRCItem +litem &115 +pos 2 +hidden 1 +dimension 20 +uid 2317,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 2318,0 +optionalChildren [ +*129 (MRCItem +litem &116 +pos 0 +dimension 20 +uid 2319,0 +) +*130 (MRCItem +litem &118 +pos 1 +dimension 50 +uid 2320,0 +) +*131 (MRCItem +litem &119 +pos 2 +dimension 100 +uid 2321,0 +) +*132 (MRCItem +litem &120 +pos 3 +dimension 100 +uid 2322,0 +) +*133 (MRCItem +litem &121 +pos 4 +dimension 50 +uid 2323,0 +) +*134 (MRCItem +litem &122 +pos 5 +dimension 50 +uid 2324,0 +) +*135 (MRCItem +litem &123 +pos 6 +dimension 80 +uid 2325,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 2313,0 +vaOverrides [ +] +) +] +) +uid 2299,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Cursor_test/hds/position@counter_tb/symbol.sb b/Cursor_test/hds/position@counter_tb/symbol.sb new file mode 100644 index 0000000..527f03e --- /dev/null +++ b/Cursor_test/hds/position@counter_tb/symbol.sb @@ -0,0 +1,1230 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 107,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 55,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 58,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 60,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 62,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 56,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 64,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 68,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 70,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 74,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 76,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 78,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 54,0 +vaOverrides [ +] +) +] +) +uid 106,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 111,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 82,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 85,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 87,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 89,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 83,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 91,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 95,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 97,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 99,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 101,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 103,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 105,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\position@counter_tb\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\position@counter_tb\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\position@counter_tb" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\positionCounter_tb" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "positionCounter_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "08:13:21" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\SysLo\\Master\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "positionCounter_tb" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\position@counter_tb\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\positionCounter_tb\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "08:13:21" +) +(vvPair +variable "unit" +value "positionCounter_tb" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29000,13000,43000,27000" +) +oxt "15000,6000,20000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "29950,21900,37350,23100" +st "Cursor_test" +blo "29950,22900" +) +second (Text +uid 12,0 +va (VaSet +) +xt "29950,23100,40950,24300" +st "positionCounter_tb" +blo "29950,24100" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "32000,27600,43500,28400" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sT 1 +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41400,69600,42600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 23,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "29250,41250,40750,42750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47400,42300,48600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41400,52900,42600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43400,42400,44600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43400,25600,44600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 38,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45400,25600,46600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,62300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45400,45700,46600" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47400,26500,48600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 52,0 +va (VaSet +font "Arial,12,1" +) +xt "0,0,8800,1500" +st "Package List" +blo "0,1200" +) +*66 (MLText +uid 53,0 +va (VaSet +font "Arial,12,0" +) +xt "0,1500,0,1500" +tm "PackageList" +) +] +) +windowSize "102,76,952,712" +viewArea "-8021,-1136,81281,50396" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "arial,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,6000,20000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "15950,14900,19050,15900" +st "" +blo "15950,15700" +) +second (Text +va (VaSet +) +xt "15950,15900,18150,16900" +st "" +blo "15950,16700" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,10,1" +) +xt "0,3400,7400,4600" +st "Declarations" +blo "0,4400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,10,1" +) +xt "0,4600,3500,5800" +st "Ports:" +blo "0,5600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,10,1" +) +xt "0,5800,3200,7000" +st "User:" +blo "0,6800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,10,1" +) +xt "0,3400,7800,4600" +st "Internal User:" +blo "0,4400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,7000,2000,7000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,3400,0,3400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 111,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/Cursor_test/hds/position@counter_tester/interface b/Cursor_test/hds/position@counter_tester/interface new file mode 100644 index 0000000..6efaf18 --- /dev/null +++ b/Cursor_test/hds/position@counter_tester/interface @@ -0,0 +1,1737 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2028,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 149,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clear" +t "std_ulogic" +o 1 +suid 2022,0 +) +) +uid 340,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2023,0 +) +) +uid 342,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "encoderA" +t "std_ulogic" +o 3 +suid 2024,0 +) +) +uid 344,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "encoderB" +t "std_ulogic" +o 4 +suid 2025,0 +) +) +uid 346,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "encoderI" +t "std_ulogic" +o 5 +suid 2026,0 +) +) +uid 348,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "position" +t "unsigned" +b "(counterBitNb-1 DOWNTO 0)" +o 6 +suid 2027,0 +) +) +uid 350,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 2028,0 +) +) +uid 352,0 +) +] +) +pdm (PhysicalDM +uid 157,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 87,0 +optionalChildren [ +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 90,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 92,0 +) +*25 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 94,0 +) +*26 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 341,0 +) +*27 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 343,0 +) +*28 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 345,0 +) +*29 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 347,0 +) +*30 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 349,0 +) +*31 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 351,0 +) +*32 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 353,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 88,0 +optionalChildren [ +*33 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 96,0 +) +*34 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 100,0 +) +*35 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 102,0 +) +*36 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 104,0 +) +*37 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 106,0 +) +*38 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 108,0 +) +*39 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 110,0 +) +*40 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 112,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 86,0 +vaOverrides [ +] +) +] +) +uid 148,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 159,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +*53 (LogGeneric +generic (GiElement +name "counterBitNb" +type "positive" +value "8" +) +uid 145,0 +) +] +) +pdm (PhysicalDM +uid 160,0 +optionalChildren [ +*54 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *55 (MRCItem +litem &41 +pos 3 +dimension 20 +) +uid 121,0 +optionalChildren [ +*56 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 124,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 126,0 +) +*58 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 128,0 +) +*59 (MRCItem +litem &53 +pos 0 +dimension 20 +uid 146,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 122,0 +optionalChildren [ +*60 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 130,0 +) +*61 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 134,0 +) +*62 (MRCItem +litem &48 +pos 2 +dimension 100 +uid 136,0 +) +*63 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 138,0 +) +*64 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 140,0 +) +*65 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 142,0 +) +*66 (MRCItem +litem &52 +pos 6 +dimension 80 +uid 144,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 120,0 +vaOverrides [ +] +) +] +) +uid 158,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\position@counter_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\position@counter_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\position@counter_tester" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\positionCounter_tester" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "positionCounter_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "08:13:22" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "positionCounter_tester" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\position@counter_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\positionCounter_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Projects\\Levisat\\Onboard\\FPGA\\board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "08:13:22" +) +(vvPair +variable "unit" +value "positionCounter_tester" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 147,0 +optionalChildren [ +*67 (SymbolBody +uid 8,0 +optionalChildren [ +*68 (CptPort +uid 305,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 306,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 307,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 308,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "24300,7000,25700,10800" +st "clear" +ju 2 +blo "25500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 309,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,61000,3600" +st "clear : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clear" +t "std_ulogic" +o 1 +suid 2022,0 +) +) +) +*69 (CptPort +uid 310,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 311,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 312,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 313,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "28300,7000,29700,10800" +st "clock" +ju 2 +blo "29500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 314,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,61000,4400" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2023,0 +) +) +) +*70 (CptPort +uid 315,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 316,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62625,5250,63375,6000" +) +tg (CPTG +uid 317,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 318,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "62300,7000,63700,13700" +st "encoderA" +ju 2 +blo "63500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 319,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,61000,5200" +st "encoderA : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "encoderA" +t "std_ulogic" +o 3 +suid 2024,0 +) +) +) +*71 (CptPort +uid 320,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 321,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60625,5250,61375,6000" +) +tg (CPTG +uid 322,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 323,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "60300,7000,61700,13700" +st "encoderB" +ju 2 +blo "61500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 324,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,61000,6000" +st "encoderB : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "encoderB" +t "std_ulogic" +o 4 +suid 2025,0 +) +) +) +*72 (CptPort +uid 325,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 326,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58625,5250,59375,6000" +) +tg (CPTG +uid 327,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 328,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "58300,7000,59700,13400" +st "encoderI" +ju 2 +blo "59500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 329,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6000,61000,6800" +st "encoderI : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "encoderI" +t "std_ulogic" +o 5 +suid 2026,0 +) +) +) +*73 (CptPort +uid 330,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 331,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 332,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 333,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "22300,7000,23700,12800" +st "position" +ju 2 +blo "23500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 334,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,73000,2800" +st "position : IN unsigned (counterBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "position" +t "unsigned" +b "(counterBitNb-1 DOWNTO 0)" +o 6 +suid 2027,0 +) +) +) +*74 (CptPort +uid 335,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 336,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 337,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 338,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "30300,7000,31700,11100" +st "reset" +ju 2 +blo "31500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 339,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6800,60000,7600" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 2028,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,71000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "36300,8800,43300,10000" +st "Cursor_test" +blo "36300,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "36300,10000,49700,11200" +st "positionCounter_tester" +blo "36300,11000" +) +) +gi *75 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "18000,6000,32000,8400" +st "Generic Declarations + +counterBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*76 (Grouping +uid 16,0 +optionalChildren [ +*77 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*78 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*79 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*80 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*81 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*82 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*83 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*84 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*85 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*86 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *87 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*88 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*89 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Courier New,9,0" +) +xt "200,200,2700,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor_test" +entityName "positionCounter_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *90 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_ulogic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,3600,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_ulogic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *91 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,7600,44400,8600" +st "User:" +blo "42000,8400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8600,44000,8600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 353,0 +) diff --git a/Cursor_test/hds/position@counter_tester/test.vhd b/Cursor_test/hds/position@counter_tester/test.vhd new file mode 100644 index 0000000..379645a --- /dev/null +++ b/Cursor_test/hds/position@counter_tester/test.vhd @@ -0,0 +1,57 @@ +ARCHITECTURE test OF positionCounter_tester IS + + constant clockPeriod: time := 50 ns; + signal sClock: std_uLogic := '1'; + + constant pulsesPerTurn: integer := 200; + constant stepPeriodNb: positive := 16; + signal stepEn: std_uLogic := '0'; + signal direction: std_uLogic; + signal stepCount: unsigned(10 downto 0) := (others => '0'); + +BEGIN + + ------------------------------------------------------------------------------ + -- clock and reset + -- + reset <= '1', '0' after clockPeriod/4; + + sClock <= not sClock after clockPeriod/2; + clock <= sClock after clockPeriod/10; + + ------------------------------------------------------------------------------ + -- encoder signals + -- + direction <= '1', '0' after 2000*clockPeriod; + + stepEn <= not stepEn after (stepPeriodNb/4)*clockPeriod; + + count: process (stepEn) + begin + if direction = '1' then + if stepCount < pulsesPerTurn-1 then + stepCount <= stepCount + 1; + else + stepCount <= to_unsigned(0, stepCount'length); + end if; + else + if stepCount > 0 then + stepCount <= stepCount - 1; + else + stepCount <= to_unsigned(pulsesPerTurn-1, stepCount'length); + end if; + end if; + end process count; + + encoderA <= stepCount(1); + encoderB <= stepCount(1) xor stepCount(0); + encoderI <= '1' when stepCount = pulsesPerTurn-1 else '0'; + + ------------------------------------------------------------------------------ + -- control signals + -- + clear <= '0', + '1' after 100*clockPeriod, + '0' after 101*clockPeriod; + +END test; diff --git a/Cursor_test/hds/pulse@width@modulator_tb/struct.bd b/Cursor_test/hds/pulse@width@modulator_tb/struct.bd new file mode 100644 index 0000000..56f1ef2 --- /dev/null +++ b/Cursor_test/hds/pulse@width@modulator_tb/struct.bd @@ -0,0 +1,2752 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I1" +duLibraryName "Cursor_test" +duName "pulseWidthModulator_tester" +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +] +mwi 0 +uid 1774,0 +) +(Instance +name "I0" +duLibraryName "Cursor" +duName "pulseWidthModulator" +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +] +mwi 0 +uid 2122,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulseWidthModulator_tb" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "pulseWidthModulator_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "08:13:22" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "pulseWidthModulator_tb" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulseWidthModulator_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "08:13:22" +) +(vvPair +variable "unit" +value "pulseWidthModulator_tb" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 198,0 +optionalChildren [ +*1 (Net +uid 1315,0 +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 1,0 +) +declText (MLText +uid 1316,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-5000,63800,11000,65000" +st "SIGNAL reset : std_ulogic" +) +) +*2 (Net +uid 1325,0 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 2,0 +) +declText (MLText +uid 1326,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-5000,57800,11000,59000" +st "SIGNAL clock : std_ulogic" +) +) +*3 (Grouping +uid 1487,0 +optionalChildren [ +*4 (CommentText +uid 1489,0 +shape (Rectangle +uid 1490,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "47000,60000,66000,62000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 1491,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "47200,60400,62600,61600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 1492,0 +shape (Rectangle +uid 1493,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "15000,60000,41000,62000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 1494,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "22250,60250,33750,61750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 1495,0 +shape (Rectangle +uid 1496,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "20000,66000,41000,68000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 1497,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "20200,66400,38600,67600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 1498,0 +shape (Rectangle +uid 1499,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "41000,60000,47000,62000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 1500,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "41200,60400,45900,61600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 1501,0 +shape (Rectangle +uid 1502,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "20000,62000,41000,64000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 1503,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "20200,62400,35400,63600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 1504,0 +shape (Rectangle +uid 1505,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "15000,62000,20000,64000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 1506,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "15200,62400,18600,63600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 1507,0 +shape (Rectangle +uid 1508,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "15000,64000,20000,66000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 1509,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "15200,64400,18600,65600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 1510,0 +shape (Rectangle +uid 1511,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "41000,62000,66000,68000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 1512,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "41200,62200,55300,63400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*12 (CommentText +uid 1513,0 +shape (Rectangle +uid 1514,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "20000,64000,41000,66000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 1515,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "20200,64400,30100,65600" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 1516,0 +shape (Rectangle +uid 1517,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "15000,66000,20000,68000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 1518,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "15200,66400,19500,67600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 1488,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "15000,60000,66000,68000" +) +oxt "13000,22000,64000,30000" +) +*14 (Blk +uid 1774,0 +shape (Rectangle +uid 1775,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "4000,43000,56000,51000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1776,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*15 (Text +uid 1777,0 +va (VaSet +font "Verdana,12,1" +) +xt "10600,45900,20200,47300" +st "Cursor_test" +blo "10600,47100" +tm "BdLibraryNameMgr" +) +*16 (Text +uid 1778,0 +va (VaSet +font "Verdana,12,1" +) +xt "10600,47300,32100,48700" +st "pulseWidthModulator_tester" +blo "10600,48500" +tm "BlkNameMgr" +) +*17 (Text +uid 1779,0 +va (VaSet +font "Verdana,12,1" +) +xt "10600,48700,13000,50100" +st "I1" +blo "10600,49900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1780,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1781,0 +text (MLText +uid 1782,0 +va (VaSet +font "Courier New,9,0" +) +xt "20000,53800,44000,55000" +st "counterBitNb = counterBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +] +) +) +*18 (Net +uid 1963,0 +decl (Decl +n "en" +t "std_ulogic" +o 4 +suid 3,0 +) +declText (MLText +uid 1964,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,29600,16000,30400" +st "SIGNAL en : std_ulogic" +) +) +*19 (Net +uid 2092,0 +decl (Decl +n "PWM" +t "std_ulogic" +o 1 +suid 4,0 +) +declText (MLText +uid 2093,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,29600,16000,30400" +st "SIGNAL PWM : std_ulogic" +) +) +*20 (Net +uid 2094,0 +decl (Decl +n "amplitude" +t "unsigned" +b "(counterBitNb-1 DOWNTO 0)" +o 2 +suid 5,0 +) +declText (MLText +uid 2095,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,29600,28000,30400" +st "SIGNAL amplitude : unsigned(counterBitNb-1 DOWNTO 0)" +) +) +*21 (SaComponent +uid 2122,0 +optionalChildren [ +*22 (CptPort +uid 2102,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2103,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,30625,24000,31375" +) +tg (CPTG +uid 2104,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2105,0 +va (VaSet +) +xt "25000,30500,28400,31700" +st "clock" +blo "25000,31500" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*23 (CptPort +uid 2106,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2107,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,24625,40750,25375" +) +tg (CPTG +uid 2108,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2109,0 +va (VaSet +) +xt "35800,24500,39000,25700" +st "PWM" +ju 2 +blo "39000,25500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "PWM" +t "std_ulogic" +o 5 +) +) +) +*24 (CptPort +uid 2110,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2111,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,24625,24000,25375" +) +tg (CPTG +uid 2112,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2113,0 +va (VaSet +) +xt "25000,24500,30600,25700" +st "amplitude" +blo "25000,25500" +) +) +thePort (LogicalPort +decl (Decl +n "amplitude" +t "unsigned" +b "(counterBitNb-1 DOWNTO 0)" +o 1 +) +) +) +*25 (CptPort +uid 2114,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2115,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,26625,24000,27375" +) +tg (CPTG +uid 2116,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2117,0 +va (VaSet +) +xt "25000,26500,26900,27700" +st "en" +blo "25000,27500" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 3 +) +) +) +*26 (CptPort +uid 2118,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2119,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,32625,24000,33375" +) +tg (CPTG +uid 2120,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2121,0 +va (VaSet +) +xt "25000,32500,28300,33700" +st "reset" +blo "25000,33500" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +) +) +) +] +shape (Rectangle +uid 2123,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "24000,21000,40000,35000" +) +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 2124,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*27 (Text +uid 2125,0 +va (VaSet +) +xt "24100,35000,28200,36200" +st "Cursor" +blo "24100,36000" +tm "BdLibraryNameMgr" +) +*28 (Text +uid 2126,0 +va (VaSet +) +xt "24100,36000,36000,37200" +st "pulseWidthModulator" +blo "24100,37000" +tm "CptNameMgr" +) +*29 (Text +uid 2127,0 +va (VaSet +) +xt "24100,37000,26000,38200" +st "I0" +blo "24100,38000" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2128,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2129,0 +text (MLText +uid 2130,0 +va (VaSet +font "Courier New,8,0" +) +xt "24000,38600,48000,39400" +st "counterBitNb = counterBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*30 (Wire +uid 1317,0 +shape (OrthoPolyLine +uid 1318,0 +va (VaSet +vasetType 3 +) +xt "20000,33000,23250,43000" +pts [ +"23250,33000" +"20000,33000" +"20000,43000" +] +) +start &26 +end &14 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1321,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1322,0 +va (VaSet +font "Verdana,12,0" +) +xt "20000,31600,24100,33000" +st "reset" +blo "20000,32800" +tm "WireNameMgr" +) +) +on &1 +) +*31 (Wire +uid 1327,0 +shape (OrthoPolyLine +uid 1328,0 +va (VaSet +vasetType 3 +) +xt "18000,31000,23250,43000" +pts [ +"23250,31000" +"18000,31000" +"18000,43000" +] +) +start &22 +end &14 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1331,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1332,0 +va (VaSet +font "Verdana,12,0" +) +xt "20000,29600,23800,31000" +st "clock" +blo "20000,30800" +tm "WireNameMgr" +) +) +on &2 +) +*32 (Wire +uid 1965,0 +shape (OrthoPolyLine +uid 1966,0 +va (VaSet +vasetType 3 +) +xt "14000,27000,23250,43000" +pts [ +"23250,27000" +"14000,27000" +"14000,43000" +] +) +start &25 +end &14 +sat 32 +eat 2 +st 0 +sf 1 +si 0 +tg (WTG +uid 1969,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1970,0 +va (VaSet +font "Verdana,12,0" +) +xt "20250,25600,22650,27000" +st "en" +blo "20250,26800" +tm "WireNameMgr" +) +) +on &18 +) +*33 (Wire +uid 2005,0 +shape (OrthoPolyLine +uid 2006,0 +va (VaSet +vasetType 3 +) +xt "40750,25000,48000,43000" +pts [ +"40750,25000" +"48000,25000" +"48000,43000" +] +) +start &23 +end &14 +sat 32 +eat 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 2009,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2010,0 +va (VaSet +font "Verdana,12,0" +) +xt "42750,23600,46650,25000" +st "PWM" +blo "42750,24800" +tm "WireNameMgr" +) +) +on &19 +) +*34 (Wire +uid 2096,0 +shape (OrthoPolyLine +uid 2097,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "12000,25000,23250,43000" +pts [ +"23250,25000" +"12000,25000" +"12000,43000" +] +) +start &24 +end &14 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2100,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2101,0 +va (VaSet +font "Verdana,12,0" +) +xt "16250,23600,23250,25000" +st "amplitude" +blo "16250,24800" +tm "WireNameMgr" +) +) +on &20 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *35 (PackageList +uid 187,0 +stg "VerticalLayoutStrategy" +textVec [ +*36 (Text +uid 1297,0 +va (VaSet +font "Verdana,12,0" +) +xt "-7000,19600,2500,21000" +st "Package List" +blo "-7000,20800" +) +*37 (MLText +uid 1298,0 +va (VaSet +) +xt "-7000,21000,10500,24600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 190,0 +stg "VerticalLayoutStrategy" +textVec [ +*38 (Text +uid 191,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,0,31000,1200" +st "Compiler Directives" +blo "20000,1000" +) +*39 (Text +uid 192,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,1400,33000,2600" +st "Pre-module directives:" +blo "20000,2400" +) +*40 (MLText +uid 193,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,2800,30400,5400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*41 (Text +uid 194,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,5600,33500,6800" +st "Post-module directives:" +blo "20000,6600" +) +*42 (MLText +uid 195,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*43 (Text +uid 196,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,7200,33200,8400" +st "End-module directives:" +blo "20000,8200" +) +*44 (MLText +uid 197,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "7,31,1006,760" +viewArea "-11514,16771,71424,66801" +cachedDiagramExtent "-7000,0,66000,68000" +pageSetupInfo (PageSetupInfo +ptrCmd "Generic PostScript Printer,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 1077 +paperHeight 761 +windowsPaperWidth 1077 +windowsPaperHeight 761 +paperType "A4" +windowsPaperName "A4" +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +) +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,19000" +lastUid 2239,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "1000,1000,3300,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1500,2550,7900,3950" +st "" +blo "1500,3750" +tm "BdLibraryNameMgr" +) +*46 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1500,3950,7000,5350" +st "" +blo "1500,5150" +tm "BlkNameMgr" +) +*47 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1500,5350,3000,6750" +st "I0" +blo "1500,6550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "1500,12550,1500,12550" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*49 (Text +va (VaSet +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*50 (Text +va (VaSet +) +xt "-100,5000,500,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +va (VaSet +) +xt "-350,2550,1950,3550" +st "Library" +blo "-350,3350" +tm "BdLibraryNameMgr" +) +*52 (Text +va (VaSet +) +xt "-350,3550,5150,4550" +st "SaComponent" +blo "-350,4350" +tm "CptNameMgr" +) +*53 (Text +va (VaSet +) +xt "-350,4550,250,5550" +st "I0" +blo "-350,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-7350,550,-7350,550" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1350,0,9350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +) +xt "-850,2550,1450,3550" +st "Library" +blo "-850,3350" +) +*55 (Text +va (VaSet +) +xt "-850,3550,5250,4550" +st "VhdlComponent" +blo "-850,4350" +) +*56 (Text +va (VaSet +) +xt "-850,4550,-250,5550" +st "I0" +blo "-850,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-7850,550,-7850,550" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-2100,0,10100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +) +xt "-1600,2550,700,3550" +st "Library" +blo "-1600,3350" +) +*58 (Text +va (VaSet +) +xt "-1600,3550,5500,4550" +st "VerilogComponent" +blo "-1600,4350" +) +*59 (Text +va (VaSet +) +xt "-1600,4550,-1000,5550" +st "I0" +blo "-1600,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-8600,550,-8600,550" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +va (VaSet +) +xt "2950,3400,4150,4400" +st "eb1" +blo "2950,4200" +tm "HdlTextNameMgr" +) +*61 (Text +va (VaSet +) +xt "2950,4400,3350,5400" +st "1" +blo "2950,5200" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "Courier New,9,0" +) +xt "200,200,2700,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineStyle 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,5100,1400" +st "bundle0" +blo "0,1200" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "Verdana,12,0" +) +xt "0,1400,1800,2800" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "Verdana,12,0" +) +xt "0,0,5900,1400" +st "Auto list" +) +second (MLText +va (VaSet +font "Verdana,12,0" +) +xt "0,1400,11800,2800" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,18500,-200" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*62 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*63 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,11000,-200" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*64 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*65 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,10,1" +) +xt "-7000,55400,1600,56600" +st "Declarations" +blo "-7000,56400" +) +portLabel (Text +uid 3,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "-7000,56600,-2800,57800" +st "Ports:" +blo "-7000,57600" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,10,1" +) +xt "-7000,56600,-1000,57800" +st "Pre User:" +blo "-7000,57600" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Courier New,10,0" +) +xt "-5000,57800,19000,59000" +st "constant counterBitNb: positive := 8;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "-7000,56600,4000,57800" +st "Diagram Signals:" +blo "-7000,57600" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "-7000,56600,300,57800" +st "Post User:" +blo "-7000,57600" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,10,0" +) +xt "-5000,71000,-5000,71000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 5,0 +usingSuid 1 +emptyRow *66 (LEmptyRow +) +uid 2142,0 +optionalChildren [ +*67 (RefLabelRowHdr +) +*68 (TitleRowHdr +) +*69 (FilterRowHdr +) +*70 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*71 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*72 (GroupColHdr +tm "GroupColHdrMgr" +) +*73 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*74 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*75 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*76 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*77 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*78 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*79 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 1,0 +) +) +uid 2131,0 +) +*80 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 2,0 +) +) +uid 2133,0 +) +*81 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "en" +t "std_ulogic" +o 4 +suid 3,0 +) +) +uid 2135,0 +) +*82 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "PWM" +t "std_ulogic" +o 1 +suid 4,0 +) +) +uid 2137,0 +) +*83 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "amplitude" +t "unsigned" +b "(counterBitNb-1 DOWNTO 0)" +o 2 +suid 5,0 +) +) +uid 2139,0 +) +] +) +pdm (PhysicalDM +uid 2155,0 +optionalChildren [ +*84 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *85 (MRCItem +litem &66 +pos 3 +dimension 20 +) +uid 2157,0 +optionalChildren [ +*86 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 2158,0 +) +*87 (MRCItem +litem &68 +pos 1 +dimension 23 +uid 2159,0 +) +*88 (MRCItem +litem &69 +pos 2 +hidden 1 +dimension 20 +uid 2160,0 +) +*89 (MRCItem +litem &79 +pos 0 +dimension 20 +uid 2132,0 +) +*90 (MRCItem +litem &80 +pos 1 +dimension 20 +uid 2134,0 +) +*91 (MRCItem +litem &81 +pos 2 +dimension 20 +uid 2136,0 +) +*92 (MRCItem +litem &82 +pos 3 +dimension 20 +uid 2138,0 +) +*93 (MRCItem +litem &83 +pos 4 +dimension 20 +uid 2140,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 2161,0 +optionalChildren [ +*94 (MRCItem +litem &70 +pos 0 +dimension 20 +uid 2162,0 +) +*95 (MRCItem +litem &72 +pos 1 +dimension 50 +uid 2163,0 +) +*96 (MRCItem +litem &73 +pos 2 +dimension 100 +uid 2164,0 +) +*97 (MRCItem +litem &74 +pos 3 +dimension 50 +uid 2165,0 +) +*98 (MRCItem +litem &75 +pos 4 +dimension 100 +uid 2166,0 +) +*99 (MRCItem +litem &76 +pos 5 +dimension 100 +uid 2167,0 +) +*100 (MRCItem +litem &77 +pos 6 +dimension 50 +uid 2168,0 +) +*101 (MRCItem +litem &78 +pos 7 +dimension 80 +uid 2169,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 2156,0 +vaOverrides [ +] +) +] +) +uid 2141,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *102 (LEmptyRow +) +uid 2171,0 +optionalChildren [ +*103 (RefLabelRowHdr +) +*104 (TitleRowHdr +) +*105 (FilterRowHdr +) +*106 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*107 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*108 (GroupColHdr +tm "GroupColHdrMgr" +) +*109 (NameColHdr +tm "GenericNameColHdrMgr" +) +*110 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*111 (InitColHdr +tm "GenericValueColHdrMgr" +) +*112 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*113 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 2183,0 +optionalChildren [ +*114 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *115 (MRCItem +litem &102 +pos 3 +dimension 20 +) +uid 2185,0 +optionalChildren [ +*116 (MRCItem +litem &103 +pos 0 +dimension 20 +uid 2186,0 +) +*117 (MRCItem +litem &104 +pos 1 +dimension 23 +uid 2187,0 +) +*118 (MRCItem +litem &105 +pos 2 +hidden 1 +dimension 20 +uid 2188,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 2189,0 +optionalChildren [ +*119 (MRCItem +litem &106 +pos 0 +dimension 20 +uid 2190,0 +) +*120 (MRCItem +litem &108 +pos 1 +dimension 50 +uid 2191,0 +) +*121 (MRCItem +litem &109 +pos 2 +dimension 100 +uid 2192,0 +) +*122 (MRCItem +litem &110 +pos 3 +dimension 100 +uid 2193,0 +) +*123 (MRCItem +litem &111 +pos 4 +dimension 50 +uid 2194,0 +) +*124 (MRCItem +litem &112 +pos 5 +dimension 50 +uid 2195,0 +) +*125 (MRCItem +litem &113 +pos 6 +dimension 80 +uid 2196,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 2184,0 +vaOverrides [ +] +) +] +) +uid 2170,0 +type 1 +) +) diff --git a/Cursor_test/hds/pulse@width@modulator_tb/symbol.sb b/Cursor_test/hds/pulse@width@modulator_tb/symbol.sb new file mode 100644 index 0000000..a1c48d3 --- /dev/null +++ b/Cursor_test/hds/pulse@width@modulator_tb/symbol.sb @@ -0,0 +1,1226 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 107,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 108,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 55,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 58,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 60,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 62,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 56,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 64,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 68,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 70,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 74,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 76,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 78,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 54,0 +vaOverrides [ +] +) +] +) +uid 106,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 111,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 82,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 85,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 87,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 89,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 83,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 91,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 95,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 97,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 99,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 101,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 103,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 105,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulseWidthModulator_tb" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "pulseWidthModulator_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "08:13:21" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\SysLo\\Master\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "pulseWidthModulator_tb" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulseWidthModulator_tb\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "08:13:21" +) +(vvPair +variable "unit" +value "pulseWidthModulator_tb" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29000,13000,43000,27000" +) +oxt "15000,6000,20000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "29950,21900,37350,23100" +st "Cursor_test" +blo "29950,22900" +) +second (Text +uid 12,0 +va (VaSet +) +xt "29950,23100,44150,24300" +st "pulseWidthModulator_tb" +blo "29950,24100" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "32000,27600,43500,28400" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sT 1 +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41400,69600,42600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 23,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "29250,41250,40750,42750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47400,42300,48600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41400,52900,42600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43400,42400,44600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43400,25600,44600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 38,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45400,25600,46600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,62300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45400,37100,46600" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47400,26500,48600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 52,0 +va (VaSet +font "Arial,12,1" +) +xt "0,0,8800,1500" +st "Package List" +blo "0,1200" +) +*66 (MLText +uid 53,0 +va (VaSet +font "Arial,12,0" +) +xt "0,1500,0,1500" +tm "PackageList" +) +] +) +windowSize "102,76,952,712" +viewArea "-8021,-1136,81281,50396" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "arial,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,6000,20000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "15950,14900,19050,15900" +st "" +blo "15950,15700" +) +second (Text +va (VaSet +) +xt "15950,15900,18150,16900" +st "" +blo "15950,16700" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,10,1" +) +xt "0,3400,7400,4600" +st "Declarations" +blo "0,4400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,10,1" +) +xt "0,4600,3500,5800" +st "Ports:" +blo "0,5600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,10,1" +) +xt "0,5800,3200,7000" +st "User:" +blo "0,6800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,10,1" +) +xt "0,3400,7800,4600" +st "Internal User:" +blo "0,4400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,7000,2000,7000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,3400,0,3400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 111,0 +) diff --git a/Cursor_test/hds/pulse@width@modulator_tester/interface b/Cursor_test/hds/pulse@width@modulator_tester/interface new file mode 100644 index 0000000..21374a8 --- /dev/null +++ b/Cursor_test/hds/pulse@width@modulator_tester/interface @@ -0,0 +1,1575 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2015,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 187,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "amplitude" +t "unsigned" +b "(counterBitNb-1 DOWNTO 0)" +o 2 +suid 2011,0 +) +) +uid 280,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 2012,0 +) +) +uid 282,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "en" +t "std_ulogic" +o 4 +suid 2013,0 +) +) +uid 284,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "PWM" +t "std_ulogic" +o 1 +suid 2014,0 +) +) +uid 286,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 2015,0 +) +) +uid 288,0 +) +] +) +pdm (PhysicalDM +uid 193,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 128,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 131,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 133,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 135,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 281,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 283,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 285,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 287,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 289,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 129,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 137,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 141,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 143,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 145,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 147,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 149,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 151,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 153,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 127,0 +vaOverrides [ +] +) +] +) +uid 186,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 195,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "counterBitNb" +type "positive" +value "8" +) +uid 184,0 +) +] +) +pdm (PhysicalDM +uid 196,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 3 +dimension 20 +) +uid 160,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 163,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 165,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 167,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 185,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 161,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 169,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 173,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 175,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 177,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 179,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 181,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 183,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 159,0 +vaOverrides [ +] +) +] +) +uid 194,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tester" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulseWidthModulator_tester" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "pulseWidthModulator_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "08:13:22" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "pulseWidthModulator_tester" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulseWidthModulator_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "08:13:22" +) +(vvPair +variable "unit" +value "pulseWidthModulator_tester" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 76,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 255,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 256,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 257,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 258,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "22300,7000,23700,14000" +st "amplitude" +ju 2 +blo "23500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 259,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,73500,3600" +st "amplitude : OUT unsigned (counterBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "amplitude" +t "unsigned" +b "(counterBitNb-1 DOWNTO 0)" +o 2 +suid 2011,0 +) +) +) +*65 (CptPort +uid 260,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 261,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 262,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 263,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "28300,7000,29700,10800" +st "clock" +ju 2 +blo "29500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 264,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,61500,4400" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 2012,0 +) +) +) +*66 (CptPort +uid 265,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 266,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 267,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 268,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "24300,7000,25700,9400" +st "en" +ju 2 +blo "25500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 269,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,61500,5200" +st "en : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "en" +t "std_ulogic" +o 4 +suid 2013,0 +) +) +) +*67 (CptPort +uid 270,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 271,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58625,5250,59375,6000" +) +tg (CPTG +uid 272,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 273,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "58300,7000,59700,10900" +st "PWM" +ju 2 +blo "59500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 274,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,61500,2800" +st "PWM : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "PWM" +t "std_ulogic" +o 1 +suid 2014,0 +) +) +) +*68 (CptPort +uid 275,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 276,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 277,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 278,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "30300,7000,31700,11100" +st "reset" +ju 2 +blo "31500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 279,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,60500,6000" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 2015,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,67000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "32900,8800,39900,10000" +st "Cursor_test" +blo "32900,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "32900,10000,49100,11200" +st "pulseWidthModulator_tester" +blo "32900,11000" +) +) +gi *69 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "16000,6000,30000,8400" +st "Generic Declarations + +counterBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*70 (Grouping +uid 16,0 +optionalChildren [ +*71 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*72 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*73 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*74 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*75 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*76 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*78 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*79 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*80 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *81 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*83 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Courier New,9,0" +) +xt "200,200,2700,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor_test" +entityName "pulseWidthModulator_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *84 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,3600,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *85 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,6000,44400,7000" +st "User:" +blo "42000,6800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7000,44000,7000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 289,0 +) diff --git a/Cursor_test/hds/pulse@width@modulator_tester/test.vhd b/Cursor_test/hds/pulse@width@modulator_tester/test.vhd new file mode 100644 index 0000000..3a8cb5d --- /dev/null +++ b/Cursor_test/hds/pulse@width@modulator_tester/test.vhd @@ -0,0 +1,29 @@ +ARCHITECTURE test OF pulseWidthModulator_tester IS + + constant clockPeriod: time := 50 ns; + signal sClock: std_uLogic := '1'; + + constant enPeriodNb: positive := 3; + signal sEn: std_uLogic := '0'; + +BEGIN + + ------------------------------------------------------------------------------ + -- clock and reset + -- + reset <= '1', '0' after clockPeriod/4; + + sClock <= not sClock after clockPeriod/2; + clock <= sClock after clockPeriod/10; + + ------------------------------------------------------------------------------ + -- control signals + -- + amplitude <= to_unsigned( 64, amplitude'length), + to_unsigned(128, amplitude'length) after 10*256*enPeriodNb*clockPeriod, + to_unsigned(192, amplitude'length) after 20*256*enPeriodNb*clockPeriod; + + sEn <= '1' after (enPeriodNb-1)*clockPeriod when sEn = '0' else '0' after clockPeriod; + en <= sEn; + +END test; diff --git a/LICENSE b/LICENSE new file mode 100644 index 0000000..fdf8bfa --- /dev/null +++ b/LICENSE @@ -0,0 +1,11 @@ +All Rights Reserved + +Copyright (c) 2019 - HES-SO Valais Wallis + +THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR +IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, +FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE +AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER +LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, +OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN +THE SOFTWARE. \ No newline at end of file diff --git a/Libs/Common/hdl/blinker_arch.vhd b/Libs/Common/hdl/blinker_arch.vhd new file mode 100644 index 0000000..3d29f7e --- /dev/null +++ b/Libs/Common/hdl/blinker_arch.vhd @@ -0,0 +1,89 @@ +-- filename: blinker.vhd +-- kind: vhdl file +-- first created: 18.06.2012 +-- created by: zas +-------------------------------------------------------------------------------- +-- History: +-- v0.1 : zas 18.06.2012 -- Initial Version +-------------------------------------------------------------------------------- +-- Description: +-- For let blinking a LED with an signal event +-- Mode = 0 (reactive on rising edge) +-- ___________________________________________ +-- input ____/ +-- ___________________ +-- output ____/ \_______________________ +-- time 0s 0.5s 1s +-- +-- _ +-- input ____/ \_________________________________________ +-- ___________________ +-- output ____/ \_______________________ +-- time 0s 0.5s 1s +---- +-- Mode = 1 (reactive on falling edge) +-- _____ +-- input \__________________________________________ +-- ___________________ +-- output ______/ \_____________________ +-- time 0s 0.5s 1s +-- +-- _ +-- input ____/ \_________________________________________ +-- ___________________ +-- output ______ / \____________________ +-- time 0s 0.5s 1s +-- +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.NUMERIC_STD.all; + +LIBRARY Common; +USE Common.CommonLib.all; + + +ARCHITECTURE arch OF blinker IS + + constant c : integer := clockFrequency/2; -- 500ms blink + + signal cnt : unsigned(requiredBitNb(c)-1 downto 0); + signal en_delay : std_ulogic; + signal blink_int : std_ulogic; + +BEGIN + + process(reset, clock) + begin + if reset = '1' then + en_delay <= '0'; + blink_int <= '0'; + cnt <= (others => '0'); + elsif rising_edge(clock) then + en_delay <= en; + -- detect rising_edge + if mode = 0 then + if blink_int = '0' and en_delay = '0' and en = '1' then + blink_int <= '1'; + end if; + else + -- detect falling edge + if blink_int = '0' and en_delay = '1' and en = '0' then + blink_int <= '1'; + end if; + end if; + -- blink + if blink_int = '1' then + if (cnt < c) then + cnt <= cnt + 1; + else + cnt <= (others => '0'); + blink_int <= '0'; + end if; + end if; + end if; + end process; + + -- Set output + blink <= blink_int; +END ARCHITECTURE arch; diff --git a/Libs/Common/hdl/commonLib.vhd b/Libs/Common/hdl/commonLib.vhd new file mode 100644 index 0000000..f56b0e9 --- /dev/null +++ b/Libs/Common/hdl/commonLib.vhd @@ -0,0 +1,68 @@ +-------------------------------------------------------------------------------- +-- Copyright 2012 HES-SO Valais Wallis (www.hevs.ch) +-------------------------------------------------------------------------------- +-- This program is free software: you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program IS distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- You should have received a copy of the GNU General Public License along with +-- this program. If not, see +-- ----------------------------------------------------------------------------- +-- Common Lib +-- +-- ----------------------------------------------------------------------------- +-- Authors: +-- cof: [Franois Corthay](francois.corthay@hevs.ch) +-- guo: [Oliver A. Gubler](oliver.gubler@hevs.ch) +-- ----------------------------------------------------------------------------- +-- Changelog: +-- 2016-06 : guo +-- added function sel +-- 2015-06 : guo +-- added counterBitNb +-- added documentation +-- ----------------------------------------------------------------------------- +library IEEE; + use IEEE.std_logic_1164.all; + use IEEE.numeric_std.all; + +PACKAGE CommonLib IS + + ------------------------------------------------------------------------------ + -- Returns the number of bits needed to represent the given val + -- Examples: + -- requiredBitNb(1) = 1 (1) + -- requiredBitNb(2) = 2 (10) + -- requiredBitNb(3) = 2 (11) + function requiredBitNb(val : integer) return integer; + + ------------------------------------------------------------------------------ + -- Returns the number of bits needed to count val times (0 to val-1) + -- Examples: + -- counterBitNb(1) = 1 (0) + -- counterBitNb(2) = 1 (0->1) + -- counterBitNb(3) = 2 (0->1->10) + function counterBitNb(val : integer) return integer; + + ------------------------------------------------------------------------------ + -- Functions to return one or the other input based on a boolean. + -- Can be used to build conditional constants. + -- Example: + -- constant bonjour_c : string := sel(ptpRole = master, "fpga20", "fpga02"); + function sel(Cond : BOOLEAN; If_True, If_False : integer) + return integer; + function sel(Cond : BOOLEAN; If_True, If_False : string) + return string; + function sel(Cond : BOOLEAN; If_True, If_False : std_ulogic_vector) + return std_ulogic_vector; + function sel(Cond : BOOLEAN; If_True, If_False : unsigned) + return unsigned; + function sel(Cond : BOOLEAN; If_True, If_False : signed) + return signed; + +END CommonLib; diff --git a/Libs/Common/hdl/commonLib_body.vhd b/Libs/Common/hdl/commonLib_body.vhd new file mode 100644 index 0000000..34bda09 --- /dev/null +++ b/Libs/Common/hdl/commonLib_body.vhd @@ -0,0 +1,105 @@ +-------------------------------------------------------------------------------- +-- Copyright 2012 HES-SO Valais Wallis (www.hevs.ch) +-------------------------------------------------------------------------------- +-- This program is free software: you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program IS distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- You should have received a copy of the GNU General Public License along with +-- this program. If not, see +-- ----------------------------------------------------------------------------- +-- Often used functions +-- +-- ----------------------------------------------------------------------------- +-- Authors: +-- cof: [Franois Corthay](francois.corthay@hevs.ch) +-- guo: [Oliver A. Gubler](oliver.gubler@hevs.ch) +-- ----------------------------------------------------------------------------- +-- Changelog: +-- 2016-06 : guo +-- added function sel +-- 2015-06 : guo +-- added counterBitNb +-- ----------------------------------------------------------------------------- +PACKAGE BODY CommonLib IS + + function requiredBitNb (val : integer) return integer is + variable powerOfTwo, bitNb : integer; + begin + powerOfTwo := 1; + bitNb := 0; + while powerOfTwo <= val loop + powerOfTwo := 2 * powerOfTwo; + bitNb := bitNb + 1; + end loop; + return bitNb; + end requiredBitNb; + + function counterBitNb (val : integer) return integer is + variable powerOfTwo, bitNb : integer; + begin + powerOfTwo := 1; + bitNb := 0; + while powerOfTwo < val loop + powerOfTwo := 2 * powerOfTwo; + bitNb := bitNb + 1; + end loop; + return bitNb; + end counterBitNb; + + function sel(Cond : BOOLEAN; If_True, If_False : integer) + return integer is + begin + if (Cond = TRUE) then + return (If_True); + else + return (If_False); + end if; + end function sel; + + function sel(Cond : BOOLEAN; If_True, If_False : string) + return string is + begin + if (Cond = TRUE) then + return (If_True); + else + return (If_False); + end if; + end function sel; + + function sel(Cond : BOOLEAN; If_True, If_False : std_ulogic_vector) + return std_ulogic_vector is + begin + if (Cond = TRUE) then + return (If_True); + else + return (If_False); + end if; + end function sel; + + function sel(Cond : BOOLEAN; If_True, If_False : unsigned) + return unsigned is + begin + if (Cond = TRUE) then + return (If_True); + else + return (If_False); + end if; + end function sel; + + function sel(Cond : BOOLEAN; If_True, If_False : signed) + return signed is + begin + if (Cond = TRUE) then + return (If_True); + else + return (If_False); + end if; + end function sel; + +END CommonLib; diff --git a/Libs/Common/hdl/debouncerULogicVector_RTL.vhd b/Libs/Common/hdl/debouncerULogicVector_RTL.vhd new file mode 100644 index 0000000..734485e --- /dev/null +++ b/Libs/Common/hdl/debouncerULogicVector_RTL.vhd @@ -0,0 +1,97 @@ +-- filename: debouncer.vhd +-- kind: vhdl file +-- first created: 05.03.2012 +-- created by: zas +-------------------------------------------------------------------------------- +-- History: +-- v0.1 : zas 05.03.2012 -- Initial Version +-- v0.2 : cof 22.01.2013 -- synchronization to clock +-------------------------------------------------------------------------------- +-- Description: +-- Debounces a button on both edges. +-- _ _ ____________________ _ _ +-- input ____/ \_/ \_/ \_/ \_/ \______ +-- _____________________________ +-- output _____/ \____________ +-- +-------------------------------------------------------------------------------- + +ARCHITECTURE rtl OF debouncerULogicVector IS + + signal inputNormal : std_ulogic_vector(input'range); + signal inputSynch, inputDelayed, inputChanged : std_ulogic; + signal debounceCounter : unsigned(counterBitNb-1 downto 0); + +BEGIN + ------------------------------------------------------------------------------ + -- adapt polarity + adaptPolarity: process(input) + begin + for index in input'range loop + inputNormal(index) <= input(index) xor invertInput; + end loop; + end process adaptPolarity; + + ------------------------------------------------------------------------------ + -- Synchronize input to clock + synchInput: process(reset, clock) + variable inputOr : std_ulogic; + begin + if reset = '1' then + inputSynch <= '0'; + elsif rising_edge(clock) then + inputOr := '0'; + for index in input'range loop + inputOr := inputOr or inputNormal(index); + end loop; + inputSynch <= inputOr; + end if; + end process synchInput; + + ------------------------------------------------------------------------------ + -- Find edge on input + delayInput: process(reset, clock) + begin + if reset = '1' then + inputDelayed <= '0'; + elsif rising_edge(clock) then + inputDelayed <= inputSynch; + end if; + end process delayInput; + + inputChanged <= '1' when inputDelayed /= inputSynch + else '0'; + + ------------------------------------------------------------------------------ + -- Debounce counter + countDeadTime: process(reset, clock) + begin + if reset = '1' then + debounceCounter <= (others => '0'); + elsif rising_edge(clock) then + if debounceCounter = 0 then + if inputChanged = '1' then + debounceCounter <= debounceCounter - 1; + end if; + else + debounceCounter <= debounceCounter - 1; + end if; + end if; + end process countDeadTime; + + ------------------------------------------------------------------------------ + -- Update output + updateOutput: process(reset, clock) + begin + if reset = '1' then + debounced <= (others => '0'); + elsif rising_edge(clock) then + if (inputChanged = '1') and (debounceCounter = 0) then + debounced <= inputNormal; + elsif debounceCounter = 1 then + debounced <= inputNormal; + end if; + end if; + end process updateOutput; + +END ARCHITECTURE rtl; diff --git a/Libs/Common/hdl/debouncer_RTL.vhd b/Libs/Common/hdl/debouncer_RTL.vhd new file mode 100644 index 0000000..a74c383 --- /dev/null +++ b/Libs/Common/hdl/debouncer_RTL.vhd @@ -0,0 +1,83 @@ +-- filename: debouncer.vhd +-- kind: vhdl file +-- first created: 05.03.2012 +-- created by: zas +-------------------------------------------------------------------------------- +-- History: +-- v0.1 : zas 05.03.2012 -- Initial Version +-- v0.2 : cof 22.01.2013 -- synchronization to clock +-- -- direct reaction on both edges +-------------------------------------------------------------------------------- +-- Description: +-- Debounces a button on both edges. +-- _ _ ____________________ _ _ +-- input ____/ \_/ \_/ \_/ \_/ \______ +-- _____________________________ +-- output _____/ \____________ +-- +-------------------------------------------------------------------------------- + +ARCHITECTURE rtl OF debouncer IS + + signal debounceCounter : unsigned(counterBitNb-1 downto 0); + signal inputSynch, inputDelayed, inputChanged : std_ulogic; + +BEGIN + ------------------------------------------------------------------------------ + -- Synchronize input to clock + synchInput: process(reset, clock) + begin + if reset = '1' then + inputSynch <= '0'; + elsif rising_edge(clock) then + inputSynch <= input xor invertInput; + end if; + end process synchInput; + + ------------------------------------------------------------------------------ + -- Find edge on input + delayInput: process(reset, clock) + begin + if reset = '1' then + inputDelayed <= '0'; + elsif rising_edge(clock) then + inputDelayed <= inputSynch; + end if; + end process delayInput; + + inputChanged <= '1' when inputDelayed /= inputSynch + else '0'; + + ------------------------------------------------------------------------------ + -- Debounce counter + countDeadTime: process(reset, clock) + begin + if reset = '1' then + debounceCounter <= (others => '0'); + elsif rising_edge(clock) then + if debounceCounter = 0 then + if inputChanged = '1' then + debounceCounter <= debounceCounter - 1; + end if; + else + debounceCounter <= debounceCounter - 1; + end if; + end if; + end process countDeadTime; + + ------------------------------------------------------------------------------ + -- Update output + updateOutput: process(reset, clock) + begin + if reset = '1' then + debounced <= '0'; + elsif rising_edge(clock) then + if (inputChanged = '1') and (debounceCounter = 0) then + debounced <= input; + elsif debounceCounter = 1 then + debounced <= input; + end if; + end if; + end process updateOutput; + +END ARCHITECTURE rtl; diff --git a/Libs/Common/hdl/edgeDetector_rtl.vhd b/Libs/Common/hdl/edgeDetector_rtl.vhd new file mode 100644 index 0000000..a9ae99a --- /dev/null +++ b/Libs/Common/hdl/edgeDetector_rtl.vhd @@ -0,0 +1,48 @@ +-------------------------------------------------------------------------------- +-- Copyright 2014 HES-SO Valais Wallis (www.hevs.ch) +-- +-- This program is free software: you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program IS distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- You should have received a copy of the GNU General Public License along with +-- this program. If not, see +-------------------------------------------------------------------------------- +-- EdgeDetector +-- Detect rising and falling edges of a signal. +-- +-------------------------------------------------------------------------------- +-- History: +-- v0.1 : guo 2014-04-02 -- Initial version +-- v1.0 : cof 2019-10-02 -- Updated symbol +-------------------------------------------------------------------------------- +ARCHITECTURE RTL OF edgeDetector IS + + SIGNAL pulse_delayed : std_ulogic; + SIGNAL rising_detected_s : std_ulogic; + SIGNAL falling_detected_s : std_ulogic; + +BEGIN + + -- delay pulse + reg : PROCESS (reset, clock) + BEGIN + IF reset = '1' THEN + pulse_delayed <= '0'; + ELSIF rising_edge(clock) THEN + pulse_delayed <= pulse; + END IF; + END PROCESS reg ; + + -- edge detection + rising <= '1' when (pulse = '1') and (pulse_delayed = '0') + else '0'; + falling <= '1' when (pulse = '0') and (pulse_delayed = '1') + else '0'; + +END ARCHITECTURE RTL; diff --git a/Libs/Common/hdl/rotaryToUnsigned_rtl.vhd b/Libs/Common/hdl/rotaryToUnsigned_rtl.vhd new file mode 100644 index 0000000..8f1a378 --- /dev/null +++ b/Libs/Common/hdl/rotaryToUnsigned_rtl.vhd @@ -0,0 +1,76 @@ +ARCHITECTURE rtl OF rotaryToUnsigned IS + + signal rotaryDelayed1, rotaryDelayed2, rotaryStable : unsigned(rotary'range); + signal rotary_changed : std_ulogic; + signal glitchDelayCounter : unsigned(counterBitNb-1 downto 0); + signal rotaryStableDelayed : unsigned(rotary'range); + signal numberMsbs : unsigned(number'length-rotary'length-1 downto 0); + +BEGIN + ------------------------------------------------------------------------------ + -- synchronize input and detect changes + delayRotary: process(reset, clock) + begin + if reset = '1' then + rotaryDelayed1 <= (others => '0'); + rotaryDelayed2 <= (others => '0'); + elsif rising_edge(clock) then + rotaryDelayed1 <= rotary; + rotaryDelayed2 <= rotaryDelayed1; + end if; + end process delayRotary; + + rotary_changed <= '1' when rotaryDelayed1 /= rotaryDelayed2 + else '0'; + -- count dead time + countDeadTime: process(reset, clock) + begin + if reset = '1' then + glitchDelayCounter <= (others => '1'); + elsif rising_edge(clock) then + if rotary_changed = '1' then + glitchDelayCounter <= (others => '1'); + elsif glitchDelayCounter > 0 then + glitchDelayCounter <= glitchDelayCounter - 1; + end if; + end if; + end process countDeadTime; + -- store new rotary button value + storeRotary: process(reset, clock) + begin + if reset = '1' then + rotaryStable <= (others => '0'); + elsif rising_edge(clock) then + if glitchDelayCounter = 0 then + rotaryStable <= rotaryDelayed2; + end if; + end if; + end process storeRotary; + + ------------------------------------------------------------------------------ + -- keep previous value of stablilzed rotary + delayRotaryStable: process(reset, clock) + begin + if reset = '1' then + rotaryStableDelayed <= (others => '0'); + elsif rising_edge(clock) then + rotaryStableDelayed <= rotaryStable; + end if; + end process delayRotaryStable; + -- synchronize input and detect changes + updateMsbs: process(reset, clock) + begin + if reset = '1' then + numberMsbs <= (others => '0'); + elsif rising_edge(clock) then + if (rotaryStable = 0) and (rotaryStableDelayed+1 = 0) then + numberMsbs <= numberMsbs + 1; + elsif (rotaryStable+1 = 0) and (rotaryStableDelayed = 0) then + numberMsbs <= numberMsbs - 1; + end if; + end if; + end process updateMsbs; + + number <= numberMsbs & rotaryStableDelayed; + +END ARCHITECTURE rtl; diff --git a/Libs/Common/hdl/spikeFilter_RTL.vhd b/Libs/Common/hdl/spikeFilter_RTL.vhd new file mode 100644 index 0000000..b176aa8 --- /dev/null +++ b/Libs/Common/hdl/spikeFilter_RTL.vhd @@ -0,0 +1,82 @@ +-------------------------------------------------------------------------------- +-- Description: +-- Filters short time spikes. +-- _ _ ____________________ _ _ +-- input ____/ \_/ \_/ \_/ \_/ \_________________ +-- _____________________________ +-- output ________________/ \____________ +-- +-------------------------------------------------------------------------------- + +ARCHITECTURE rtl OF spikeFilter IS + + signal filterCounter : unsigned(counterBitNb-1 downto 0); + signal inputSynch, inputDelayed, inputChanged : std_ulogic; + +BEGIN + ------------------------------------------------------------------------------ + -- Synchronize input to clock + synchInput: process(reset, clock) + begin + if reset = '1' then + inputSynch <= '0'; + elsif rising_edge(clock) then + inputSynch <= input xor invertInput; + end if; + end process synchInput; + + ------------------------------------------------------------------------------ + -- Find edge on input + delayInput: process(reset, clock) + begin + if reset = '1' then + inputDelayed <= '0'; + elsif rising_edge(clock) then + inputDelayed <= inputSynch; + end if; + end process delayInput; + + inputChanged <= '1' when inputDelayed /= inputSynch + else '0'; + + ------------------------------------------------------------------------------ + -- Debounce counter + countDeadTime: process(reset, clock) + begin + if reset = '1' then + filterCounter <= (others => '0'); + elsif rising_edge(clock) then + if filterCounter = 0 then + if inputChanged = '1' then + filterCounter <= filterCounter + 1; + end if; + elsif signed(filterCounter)+1 = 0 then + if inputChanged = '1' then + filterCounter <= filterCounter - 1; + end if; + else + if inputSynch = '0' then + filterCounter <= filterCounter - 1; + else + filterCounter <= filterCounter + 1; + end if; + end if; + end if; + end process countDeadTime; + + ------------------------------------------------------------------------------ + -- Update output + updateOutput: process(reset, clock) + begin + if reset = '1' then + filtered <= '0'; + elsif rising_edge(clock) then + if filterCounter = 0 then + filtered <= '0'; + elsif signed(filterCounter)+1 = 0 then + filtered <= '1'; + end if; + end if; + end process updateOutput; + +END ARCHITECTURE rtl; diff --git a/Libs/Common/hdl/toggler_RTL.vhd b/Libs/Common/hdl/toggler_RTL.vhd new file mode 100644 index 0000000..1b47128 --- /dev/null +++ b/Libs/Common/hdl/toggler_RTL.vhd @@ -0,0 +1,90 @@ +-- filename: toggler.vhd +-- kind: vhdl file +-- first created: 05.03.2012 +-- created by: zas +-------------------------------------------------------------------------------- +-- History: +-- v0.1 : cof 22.01.2013 -- Initial version +-------------------------------------------------------------------------------- +-- Description: +-- Debounces a button on both edges. +-- _ _ +-- input ____/ \__________________________/ \____________ +-- _____________________________ +-- output _____/ \____________ +-- +-- If the generic "counterBitNb" is greater than zero, a debouncer is placed on +-- the input signal. +-- +-------------------------------------------------------------------------------- + +ARCHITECTURE rtl OF toggler IS + + signal inputDebounced : std_ulogic; + signal inputDelayed, inputChangedTo1 : std_ulogic; + signal toggle_int : std_ulogic; + + COMPONENT debouncer + GENERIC ( + counterBitNb : positive := 18; + invertInput : std_ulogic := '0' + ); + PORT ( + reset : IN std_ulogic ; + clock : IN std_ulogic ; + input : IN std_ulogic ; + debounced : OUT std_ulogic + ); + END COMPONENT; + +BEGIN + ------------------------------------------------------------------------------ + -- Debounce input + useInputDirectly: if counterBitNb = 0 generate + inputDebounced <= input; + end generate useInputDirectly; + + debounceInput: if counterBitNb > 0 generate + I_debouncer : debouncer + GENERIC MAP ( + counterBitNb => counterBitNb, + invertInput => invertInput + ) + PORT MAP ( + reset => reset, + clock => clock, + input => input, + debounced => inputDebounced + ); + end generate debounceInput; + + ------------------------------------------------------------------------------ + -- Find edge on input + delayInput: process(reset, clock) + begin + if reset = '1' then + inputDelayed <= '0'; + elsif rising_edge(clock) then + inputDelayed <= inputDebounced; + end if; + end process delayInput; + + inputChangedTo1 <= '1' when (inputDebounced = '1') and (inputDelayed = '0') + else '0'; + + ------------------------------------------------------------------------------ + -- Toggle output + toggleOutput: process(reset, clock) + begin + if reset = '1' then + toggle_int <= '0'; + elsif rising_edge(clock) then + if inputChangedTo1 = '1' then + toggle_int <= not toggle_int; + end if; + end if; + end process toggleOutput; + + toggle <= toggle_int; + +END ARCHITECTURE rtl; diff --git a/Libs/Common/hds/.hdlsidedata/_blinker_arch.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_blinker_arch.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_blinker_arch.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_blinker_entity.vhg._fpf b/Libs/Common/hds/.hdlsidedata/_blinker_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_blinker_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_commonLib.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_commonLib.vhd._fpf new file mode 100644 index 0000000..2acce3d --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_commonLib.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_87 diff --git a/Libs/Common/hds/.hdlsidedata/_commonLib_body.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_commonLib_body.vhd._fpf new file mode 100644 index 0000000..2acce3d --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_commonLib_body.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_87 diff --git a/Libs/Common/hds/.hdlsidedata/_debounce_rtl.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_debounce_rtl.vhd._fpf new file mode 100644 index 0000000..19d6635 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_debounce_rtl.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2002 diff --git a/Libs/Common/hds/.hdlsidedata/_debouncerULogicVector_RTL.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_debouncerULogicVector_RTL.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_debouncerULogicVector_RTL.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_debouncer_RTL.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_debouncer_RTL.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_debouncer_RTL.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_debouncer_entity.vhg._fpf b/Libs/Common/hds/.hdlsidedata/_debouncer_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_debouncer_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_debouncerulogicvector_entity.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_debouncerulogicvector_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_debouncerulogicvector_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_debouncerulogicvector_entity.vhg._fpf b/Libs/Common/hds/.hdlsidedata/_debouncerulogicvector_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_debouncerulogicvector_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_edgeDetector_rtl.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_edgeDetector_rtl.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_edgeDetector_rtl.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_edgedetector_entity.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_edgedetector_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_edgedetector_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_rotaryToUnsigned_rtl.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_rotaryToUnsigned_rtl.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_rotaryToUnsigned_rtl.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_rotarytounsigned_entity.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_rotarytounsigned_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_rotarytounsigned_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_spikeFilter_RTL.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_spikeFilter_RTL.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_spikeFilter_RTL.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_spikefilter_entity.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_spikefilter_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_spikefilter_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_spikefilter_entity.vhg._fpf b/Libs/Common/hds/.hdlsidedata/_spikefilter_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_spikefilter_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_toggler_RTL.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_toggler_RTL.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_toggler_RTL.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_toggler_entity.vhg._fpf b/Libs/Common/hds/.hdlsidedata/_toggler_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_toggler_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/_blinker._epf b/Libs/Common/hds/_blinker._epf new file mode 100644 index 0000000..87ea3e1 --- /dev/null +++ b/Libs/Common/hds/_blinker._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom arch +DEFAULT_FILE atom blinker_arch.vhd diff --git a/Libs/Common/hds/_debounce._epf b/Libs/Common/hds/_debounce._epf new file mode 100644 index 0000000..ec8784d --- /dev/null +++ b/Libs/Common/hds/_debounce._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom rtl +DEFAULT_FILE atom debounce_rtl.vhd diff --git a/Libs/Common/hds/_debouncer._epf b/Libs/Common/hds/_debouncer._epf new file mode 100644 index 0000000..641b0cc --- /dev/null +++ b/Libs/Common/hds/_debouncer._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom debouncer_RTL.vhd +DEFAULT_ARCHITECTURE atom rtl diff --git a/Libs/Common/hds/_edgedetector._epf b/Libs/Common/hds/_edgedetector._epf new file mode 100755 index 0000000..218e0ce --- /dev/null +++ b/Libs/Common/hds/_edgedetector._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom edgeDetector_rtl.vhd diff --git a/Libs/Common/hds/_rotarytounsigned._epf b/Libs/Common/hds/_rotarytounsigned._epf new file mode 100755 index 0000000..7c92a28 --- /dev/null +++ b/Libs/Common/hds/_rotarytounsigned._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom rotaryToUnsigned_rtl.vhd +DEFAULT_ARCHITECTURE atom rtl diff --git a/Libs/Common/hds/_toggler._epf b/Libs/Common/hds/_toggler._epf new file mode 100644 index 0000000..ee14298 --- /dev/null +++ b/Libs/Common/hds/_toggler._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom toggler_RTL.vhd +DEFAULT_ARCHITECTURE atom rtl diff --git a/Libs/Common/hds/blinker/symbol.sb b/Libs/Common/hds/blinker/symbol.sb new file mode 100644 index 0000000..887db7c --- /dev/null +++ b/Libs/Common/hds/blinker/symbol.sb @@ -0,0 +1,1557 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_STD" +) +(DmPackageRef +library "Common" +unitName "CommonLib" +) +] +libraryRefs [ +"ieee" +"Common" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 5,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 108,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 2,0 +) +) +uid 110,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "blink" +t "std_ulogic" +o 1 +suid 3,0 +) +) +uid 112,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 5,0 +) +) +uid 133,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 68,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 109,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 111,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 113,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 134,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "clockFrequency" +type "positive" +value "106e6" +e "in Hz" +) +uid 131,0 +) +*48 (LogGeneric +generic (GiElement +name "mode" +type "integer" +value "0" +) +uid 165,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *50 (MRCItem +litem &35 +pos 2 +dimension 20 +) +uid 96,0 +optionalChildren [ +*51 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 97,0 +) +*52 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 98,0 +) +*53 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*54 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 132,0 +) +*55 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 166,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*56 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 101,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 102,0 +) +*58 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 103,0 +) +*59 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 104,0 +) +*60 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 105,0 +) +*61 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 106,0 +) +*62 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/blinker/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/blinker/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/blinker" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/blinker" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "blinker" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:32" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "blinker" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/blinker/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/blinker/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:32" +) +(vvPair +variable "unit" +value "blinker" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 116,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 117,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,9625,15000,10375" +) +tg (CPTG +uid 118,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 119,0 +va (VaSet +) +xt "16000,9500,18100,10500" +st "clock" +blo "16000,10300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 120,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,58500,2900" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*65 (CptPort +uid 121,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 122,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,7625,15000,8375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 124,0 +va (VaSet +) +xt "16000,7500,17200,8500" +st "en" +blo "16000,8300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 125,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,58500,3800" +st "en : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 2,0 +) +) +) +*66 (CptPort +uid 126,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 127,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,7625,23750,8375" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 129,0 +va (VaSet +) +xt "20100,7500,22000,8500" +st "blink" +ju 2 +blo "22000,8300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 130,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,57500,5600" +st "blink : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "blink" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*67 (CptPort +uid 135,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 136,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,10625,15000,11375" +) +tg (CPTG +uid 137,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 138,0 +va (VaSet +) +xt "16000,10500,18100,11500" +st "reset" +blo "16000,11300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 139,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,58500,4700" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,23000,13000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "15200,13000,18200,13900" +st "Common" +blo "15200,13700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "15200,13900,18700,14800" +st "blinker" +blo "15200,14600" +) +) +gi *68 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "15000,15600,34500,19200" +st "Generic Declarations + +clockFrequency positive 106e6 --in Hz +mode integer 0 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "clockFrequency" +type "positive" +value "106e6" +e "in Hz" +) +(GiElement +name "mode" +type "integer" +value "0" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*69 (Grouping +uid 16,0 +optionalChildren [ +*70 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,51200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,49400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *80 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*82 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17400,7000" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.NUMERIC_STD.all; + +LIBRARY Common; +USE Common.CommonLib.all;" +tm "PackageList" +) +] +) +windowSize "36,125,1057,815" +viewArea "8116,4374,35400,22781" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *83 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *84 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,5600,44500,6500" +st "User:" +blo "42000,6300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,44000,6500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 281,0 +activeModelName "Symbol" +) diff --git a/Libs/Common/hds/debouncer/symbol.sb b/Libs/Common/hds/debouncer/symbol.sb new file mode 100644 index 0000000..b4c91e8 --- /dev/null +++ b/Libs/Common/hds/debouncer/symbol.sb @@ -0,0 +1,1552 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_STD" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 4,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 109,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 111,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 113,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "debounced" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 115,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 68,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 108,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 110,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 112,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 114,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "counterBitNb" +type "positive" +value "18" +) +uid 159,0 +) +*48 (LogGeneric +generic (GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +uid 267,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *50 (MRCItem +litem &35 +pos 2 +dimension 20 +) +uid 96,0 +optionalChildren [ +*51 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 97,0 +) +*52 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 98,0 +) +*53 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*54 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 160,0 +) +*55 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 268,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*56 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 101,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 102,0 +) +*58 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 103,0 +) +*59 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 104,0 +) +*60 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 105,0 +) +*61 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 106,0 +) +*62 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncer/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncer/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncer" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncer" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "debouncer" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:38" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "debouncer" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncer/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncer/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:38" +) +(vvPair +variable "unit" +value "debouncer" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 116,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 117,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,16625,40000,17375" +) +tg (CPTG +uid 118,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 119,0 +va (VaSet +) +xt "41000,16500,43100,17500" +st "clock" +blo "41000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 120,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9800,18500,10700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 121,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 122,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,12625,40000,13375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 124,0 +va (VaSet +) +xt "41000,12500,43000,13500" +st "input" +blo "41000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 125,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10700,18500,11600" +st "input : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*66 (CptPort +uid 126,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 127,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,18625,40000,19375" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 129,0 +va (VaSet +) +xt "41000,18500,43100,19500" +st "reset" +blo "41000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 130,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11600,18500,12500" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*67 (CptPort +uid 131,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 132,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,12625,56750,13375" +) +tg (CPTG +uid 133,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 134,0 +va (VaSet +) +xt "51000,12500,55000,13500" +st "debounced" +ju 2 +blo "55000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 135,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12500,17500,13400" +st "debounced : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "debounced" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "40000,9000,56000,21000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "40200,21000,43200,21900" +st "Common" +blo "40200,21700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "40200,21900,44700,22800" +st "debouncer" +blo "40200,22600" +) +) +gi *68 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "40000,23800,55200,27400" +st "Generic Declarations + +counterBitNb positive 18 +invertInput std_ulogic '0' " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "18" +) +(GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*69 (Grouping +uid 16,0 +optionalChildren [ +*70 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,50600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *80 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*82 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17400,4000" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.NUMERIC_STD.all;" +tm "PackageList" +) +] +) +windowSize "109,49,1395,892" +viewArea "-1000,-1000,74757,48771" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *83 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *84 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,13400,2500,14300" +st "User:" +blo "0,14100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,7800,5800,8800" +st "Internal User:" +blo "0,8600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14300,2000,14300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,7800,0,7800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 291,0 +activeModelName "Symbol" +) diff --git a/Libs/Common/hds/debouncer@u@logic@vector/symbol.sb b/Libs/Common/hds/debouncer@u@logic@vector/symbol.sb new file mode 100644 index 0000000..f11db1c --- /dev/null +++ b/Libs/Common/hds/debouncer@u@logic@vector/symbol.sb @@ -0,0 +1,1576 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_STD" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 4,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 109,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "input" +t "std_ulogic_vector" +b "(1 to inputBitNb)" +o 2 +suid 2,0 +) +) +uid 111,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 113,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "debounced" +t "std_ulogic_vector" +b "(1 to inputBitNb)" +o 4 +suid 4,0 +) +) +uid 115,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 68,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 108,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 110,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 112,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 114,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "counterBitNb" +type "positive" +value "18" +) +uid 159,0 +) +*48 (LogGeneric +generic (GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +uid 267,0 +) +*49 (LogGeneric +generic (GiElement +name "inputBitNb" +type "positive" +value "8" +) +uid 292,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *51 (MRCItem +litem &35 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*52 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 97,0 +) +*53 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 98,0 +) +*54 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*55 (MRCItem +litem &47 +pos 1 +dimension 20 +uid 160,0 +) +*56 (MRCItem +litem &48 +pos 2 +dimension 20 +uid 268,0 +) +*57 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 293,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*58 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 101,0 +) +*59 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 102,0 +) +*60 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 103,0 +) +*61 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 104,0 +) +*62 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 105,0 +) +*63 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 106,0 +) +*64 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncer@u@logic@vector/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncer@u@logic@vector/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncer@u@logic@vector" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncerULogicVector" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "debouncerULogicVector" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:38" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "debouncerULogicVector" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncer@u@logic@vector/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncerULogicVector/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:38" +) +(vvPair +variable "unit" +value "debouncerULogicVector" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 116,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 117,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,16625,40000,17375" +) +tg (CPTG +uid 118,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 119,0 +va (VaSet +) +xt "41000,16500,43100,17500" +st "clock" +blo "41000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 120,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9800,18500,10700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*67 (CptPort +uid 121,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 122,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,12625,40000,13375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 124,0 +va (VaSet +) +xt "41000,12500,43000,13500" +st "input" +blo "41000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 125,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10700,30500,11600" +st "input : IN std_ulogic_vector (1 to inputBitNb) ;" +) +thePort (LogicalPort +decl (Decl +n "input" +t "std_ulogic_vector" +b "(1 to inputBitNb)" +o 2 +suid 2,0 +) +) +) +*68 (CptPort +uid 126,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 127,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,18625,40000,19375" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 129,0 +va (VaSet +) +xt "41000,18500,43100,19500" +st "reset" +blo "41000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 130,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11600,18500,12500" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*69 (CptPort +uid 131,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 132,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,12625,56750,13375" +) +tg (CPTG +uid 133,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 134,0 +va (VaSet +) +xt "51000,12500,55000,13500" +st "debounced" +ju 2 +blo "55000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 135,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12500,29500,13400" +st "debounced : OUT std_ulogic_vector (1 to inputBitNb)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "debounced" +t "std_ulogic_vector" +b "(1 to inputBitNb)" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "40000,9000,56000,21000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "40200,21000,43200,21900" +st "Common" +blo "40200,21700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "40200,21900,51200,22800" +st "debouncerULogicVector" +blo "40200,22600" +) +) +gi *70 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "40000,23800,55200,28300" +st "Generic Declarations + +inputBitNb positive 8 +counterBitNb positive 18 +invertInput std_ulogic '0' " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "8" +) +(GiElement +name "counterBitNb" +type "positive" +value "18" +) +(GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +) +) +*71 (Grouping +uid 16,0 +optionalChildren [ +*72 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *82 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*84 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17400,4000" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.NUMERIC_STD.all;" +tm "PackageList" +) +] +) +windowSize "109,49,1396,892" +viewArea "-1000,-1000,74828,48771" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *85 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *86 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,13400,2500,14300" +st "User:" +blo "0,14100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,7800,5800,8800" +st "Internal User:" +blo "0,8600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14300,2000,14300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,7800,0,7800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 316,0 +activeModelName "Symbol" +) diff --git a/Libs/Common/hds/edge@detector/symbol.sb b/Libs/Common/hds/edge@detector/symbol.sb new file mode 100644 index 0000000..b626737 --- /dev/null +++ b/Libs/Common/hds/edge@detector/symbol.sb @@ -0,0 +1,1647 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 191,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "pulse" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 192,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "rising" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 193,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 194,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 195,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "falling" +t "std_ulogic" +o 5 +suid 2005,0 +) +) +uid 405,0 +) +] +) +pdm (PhysicalDM +uid 196,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 135,0 +optionalChildren [ +*21 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 138,0 +) +*22 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 140,0 +) +*23 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 142,0 +) +*24 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 161,0 +) +*25 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 162,0 +) +*26 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 163,0 +) +*27 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 164,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 406,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 136,0 +optionalChildren [ +*29 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 144,0 +) +*30 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 148,0 +) +*31 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 150,0 +) +*32 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 152,0 +) +*33 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 154,0 +) +*34 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 156,0 +) +*35 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 158,0 +) +*36 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 160,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 134,0 +vaOverrides [ +] +) +] +) +uid 190,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 198,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 199,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *50 (MRCItem +litem &37 +pos 0 +dimension 20 +) +uid 166,0 +optionalChildren [ +*51 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 169,0 +) +*52 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 171,0 +) +*53 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 173,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 167,0 +optionalChildren [ +*54 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 175,0 +) +*55 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 179,0 +) +*56 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 181,0 +) +*57 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 183,0 +) +*58 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 185,0 +) +*59 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 187,0 +) +*60 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 189,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 165,0 +vaOverrides [ +] +) +] +) +uid 197,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN-support/Libs/Common/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN-support/Libs/Common/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN-support/Libs/Common/hds/edge@detector/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN-support/Libs/Common/hds/edge@detector/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN-support/Libs/Common/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Favorites/ElN_local/Labs/ElN-support/Libs/Common/hds/edge@detector" +) +(vvPair +variable "d_logical" +value "/home/francois/Favorites/ElN_local/Labs/ElN-support/Libs/Common/hds/edgeDetector" +) +(vvPair +variable "date" +value "10/02/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "02" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "edgeDetector" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "10/02/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "17:10:33" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\users\\syslo\\dcf\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/$DESIGN_NAME/Common/work" +) +(vvPair +variable "mm" +value "10" +) +(vvPair +variable "module_name" +value "edgeDetector" +) +(vvPair +variable "month" +value "Oct" +) +(vvPair +variable "month_long" +value "October" +) +(vvPair +variable "p" +value "/home/francois/Favorites/ElN_local/Labs/ElN-support/Libs/Common/hds/edge@detector/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Favorites/ElN_local/Labs/ElN-support/Libs/Common/hds/edgeDetector/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_ActelUserPath" +value "$HDS_PROJECT_DIR\\..\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "17:10:33" +) +(vvPair +variable "unit" +value "edgeDetector" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 66,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 112,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 113,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,15625,24000,16375" +) +tg (CPTG +uid 114,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 115,0 +va (VaSet +font "courier,12,0" +) +xt "25000,15300,28500,16600" +st "clock" +blo "25000,16300" +tm "CptPortNameMgr" +) +s (Text +uid 395,0 +va (VaSet +font "courier,12,0" +) +xt "25000,16600,25000,16600" +blo "25000,16600" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 116,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,11600,6500,12500" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*63 (CptPort +uid 117,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 118,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,11625,40750,12375" +) +tg (CPTG +uid 119,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 120,0 +va (VaSet +font "courier,12,0" +) +xt "34800,11300,39000,12600" +st "rising" +ju 2 +blo "39000,12300" +tm "CptPortNameMgr" +) +s (Text +uid 396,0 +va (VaSet +font "courier,12,0" +) +xt "39000,12600,39000,12600" +ju 2 +blo "39000,12600" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 121,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,15200,5500,16100" +st "rising : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "rising" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*64 (CptPort +uid 122,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 123,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,17625,24000,18375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 125,0 +va (VaSet +font "courier,12,0" +) +xt "25000,17300,28500,18600" +st "reset" +blo "25000,18300" +tm "CptPortNameMgr" +) +s (Text +uid 397,0 +va (VaSet +font "courier,12,0" +) +xt "25000,18600,25000,18600" +blo "25000,18600" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 126,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,13400,6500,14300" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*65 (CptPort +uid 129,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 130,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,11625,24000,12375" +) +tg (CPTG +uid 131,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 132,0 +va (VaSet +font "courier,12,0" +) +xt "25000,11350,28500,12650" +st "pulse" +blo "25000,12350" +tm "CptPortNameMgr" +) +s (Text +uid 398,0 +va (VaSet +font "courier,12,0" +) +xt "25000,12650,25000,12650" +blo "25000,12650" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 133,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,12500,6500,13400" +st "pulse : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "pulse" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*66 (CptPort +uid 399,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 400,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,13625,40750,14375" +) +tg (CPTG +uid 401,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 402,0 +va (VaSet +font "courier,12,0" +) +xt "34100,13350,39000,14650" +st "falling" +ju 2 +blo "39000,14350" +tm "CptPortNameMgr" +) +s (Text +uid 403,0 +va (VaSet +font "courier,12,0" +) +xt "39000,14650,39000,14650" +ju 2 +blo "39000,14650" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 404,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,14300,6500,15200" +st "falling : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "falling" +t "std_ulogic" +o 5 +suid 2005,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "24000,8000,40000,20000" +) +oxt "15000,6000,23000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "24300,20400,27900,21400" +st "Common" +blo "24300,21200" +) +second (Text +uid 12,0 +va (VaSet +) +xt "24300,21400,32100,22400" +st "edgeDetector" +blo "24300,22200" +) +) +gi *67 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +) +xt "24000,23400,36600,24400" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sF 0 +) +portVis (PortSigDisplay +disp 1 +sTC 0 +sF 0 +) +) +*68 (Grouping +uid 223,0 +optionalChildren [ +*69 (CommentText +uid 225,0 +shape (Rectangle +uid 226,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,48000,42000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 227,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,48000,41400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 228,0 +shape (Rectangle +uid 229,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,44000,46000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 230,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,44000,45800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 231,0 +shape (Rectangle +uid 232,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,46000,42000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 233,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,46000,41400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 234,0 +shape (Rectangle +uid 235,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,46000,25000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 236,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,46000,24800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 237,0 +shape (Rectangle +uid 238,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,45000,62000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 239,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,45200,55400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 240,0 +shape (Rectangle +uid 241,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,44000,62000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 242,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,44000,48000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 243,0 +shape (Rectangle +uid 244,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,44000,42000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 245,0 +va (VaSet +fg "32768,0,0" +) +xt "27000,44500,36000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 246,0 +shape (Rectangle +uid 247,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,47000,25000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 248,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,47000,24200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 249,0 +shape (Rectangle +uid 250,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,48000,25000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 251,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,48000,24800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 252,0 +shape (Rectangle +uid 253,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,47000,42000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 254,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,47000,41400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 224,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "21000,44000,62000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *79 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +uid 127,0 +va (VaSet +font "courier,12,1" +) +xt "-11000,0,-2200,1500" +st "Package List" +blo "-11000,1200" +) +*81 (MLText +uid 128,0 +va (VaSet +font "courier,12,0" +) +xt "-11000,1500,10700,4100" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all;" +tm "PackageList" +) +] +) +windowSize "59,4,1688,1058" +viewArea "-12000,-1100,58300,44500" +cachedDiagramExtent "-11000,0,62000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-11000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +bg "65280,65280,65280" +lineColor "0,16384,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,16384,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "15000,6000,51000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,12,1" +) +xt "30500,14400,35500,15900" +st "" +blo "30500,15600" +) +second (Text +va (VaSet +font "courier,12,1" +) +xt "30500,15900,33900,17400" +st "" +blo "30500,17100" +) +) +gi *82 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *83 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,9200,-3600,10400" +st "Declarations" +blo "-11000,10200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,10400,-7500,11600" +st "Ports:" +blo "-11000,11400" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,16100,-8000,17100" +st "User:" +blo "-11000,16900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-11000,9200,-3200,10400" +st "Internal User:" +blo "-11000,10200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,17100,-9000,17100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-11000,9200,-11000,9200" +tm "SyDeclarativeTextMgr" +) +) +lastUid 429,0 +activeModelName "Symbol" +) diff --git a/Libs/Common/hds/rotary@to@unsigned/symbol.sb b/Libs/Common/hds/rotary@to@unsigned/symbol.sb new file mode 100644 index 0000000..b6c30d8 --- /dev/null +++ b/Libs/Common/hds/rotary@to@unsigned/symbol.sb @@ -0,0 +1,1602 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 10,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "number" +t "unsigned" +b "(outputBitNb-1 DOWNTO 0)" +o 3 +suid 1,0 +) +) +uid 158,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "rotary" +t "unsigned" +b "(rotaryBitNb-1 downto 0)" +o 4 +suid 2,0 +) +) +uid 160,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 3,0 +) +) +uid 162,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 9,0 +) +) +uid 174,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 68,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 159,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 161,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 163,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 175,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "rotaryBitNb" +type "positive" +value "4" +) +uid 225,0 +) +*48 (LogGeneric +generic (GiElement +name "outputBitNb" +type "positive" +value "8" +) +uid 227,0 +) +*49 (LogGeneric +generic (GiElement +name "counterBitNb" +type "positive" +value "10E3" +) +uid 436,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *51 (MRCItem +litem &35 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*52 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 97,0 +) +*53 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 98,0 +) +*54 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*55 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 226,0 +) +*56 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 228,0 +) +*57 (MRCItem +litem &49 +pos 2 +dimension 20 +uid 437,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*58 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 101,0 +) +*59 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 102,0 +) +*60 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 103,0 +) +*61 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 104,0 +) +*62 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 105,0 +) +*63 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 106,0 +) +*64 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hds/rotary@to@unsigned/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hds/rotary@to@unsigned/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hds/rotary@to@unsigned" +) +(vvPair +variable "d_logical" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hds/rotaryToUnsigned" +) +(vvPair +variable "date" +value "11/07/19" +) +(vvPair +variable "day" +value "Thu" +) +(vvPair +variable "day_long" +value "Thursday" +) +(vvPair +variable "dd" +value "07" +) +(vvPair +variable "entity_name" +value "rotaryToUnsigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "11/07/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:19:40" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR\\..\\Sinewave\\concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/$DESIGN_NAME/Common/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "rotaryToUnsigned" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hds/rotary@to@unsigned/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hds/rotaryToUnsigned/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_HOME\\ISE\\bin\\nt" +) +(vvPair +variable "task_ISEProjectPath" +value "$SCRATCH_DIR\\Support\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "/usr/opt/Modelsim/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:19:40" +) +(vvPair +variable "unit" +value "rotaryToUnsigned" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 108,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 109,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42000,19625,42750,20375" +) +tg (CPTG +uid 110,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 111,0 +va (VaSet +) +xt "37400,19500,41000,20500" +st "number" +ju 2 +blo "41000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 112,0 +va (VaSet +font "courier,8,0" +) +xt "-13000,8900,13000,9800" +st "number : OUT unsigned (outputBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "number" +t "unsigned" +b "(outputBitNb-1 DOWNTO 0)" +o 3 +suid 1,0 +) +) +) +*67 (CptPort +uid 113,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 114,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25250,19625,26000,20375" +) +tg (CPTG +uid 115,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 116,0 +va (VaSet +) +xt "27000,19500,30600,20500" +st "rotary" +blo "27000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 117,0 +va (VaSet +font "courier,8,0" +) +xt "-13000,9800,12000,10700" +st "rotary : IN unsigned (rotaryBitNb-1 downto 0)" +) +thePort (LogicalPort +decl (Decl +n "rotary" +t "unsigned" +b "(rotaryBitNb-1 downto 0)" +o 4 +suid 2,0 +) +) +) +*68 (CptPort +uid 118,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 119,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25250,23625,26000,24375" +) +tg (CPTG +uid 120,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 121,0 +va (VaSet +) +xt "27000,23500,30000,24500" +st "clock" +blo "27000,24300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 122,0 +va (VaSet +font "courier,8,0" +) +xt "-13000,7100,2000,8000" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*69 (CptPort +uid 148,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 149,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25250,25625,26000,26375" +) +tg (CPTG +uid 150,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 151,0 +va (VaSet +) +xt "27000,25500,30000,26500" +st "reset" +blo "27000,26300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 152,0 +va (VaSet +font "courier,8,0" +) +xt "-13000,8000,2000,8900" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 201,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "26000,16000,42000,28000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "26250,28000,29250,28900" +st "Common" +blo "26250,28700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "26250,28900,34750,29800" +st "rotaryToUnsigned" +blo "26250,29600" +) +) +gi *70 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "26000,30800,40500,35300" +st "Generic Declarations + +rotaryBitNb positive 4 +outputBitNb positive 8 +counterBitNb positive 10E3 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "rotaryBitNb" +type "positive" +value "4" +) +(GiElement +name "outputBitNb" +type "positive" +value "8" +) +(GiElement +name "counterBitNb" +type "positive" +value "10E3" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*71 (Grouping +uid 16,0 +optionalChildren [ +*72 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "17000,50000,34000,51000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "17200,50000,33400,51000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,38000,47000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46000,37800,47000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "17000,48000,34000,49000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "17200,48000,33400,49000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "13000,48000,17000,49000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "13200,48000,16800,49000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,54000,51000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47200,47400,48200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "38000,46000,54000,47000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "38200,46000,40000,47000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "13000,46000,34000,48000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "19000,46500,28000,47500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "13000,49000,17000,50000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "13200,49000,16200,50000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "13000,50000,17000,51000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "13200,50000,16800,51000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "17000,49000,34000,50000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "17200,49000,32200,50000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "13000,46000,54000,51000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *82 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-15000,0,-8500,900" +st "Package List" +blo "-15000,700" +) +*84 (MLText +uid 50,0 +va (VaSet +) +xt "-15000,1000,3600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "93,88,1360,986" +viewArea "-16000,-1000,48728,44136" +cachedDiagramExtent "-15000,0,54000,51000" +hasePageBreakOrigin 1 +pageBreakOrigin "-15000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *85 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *86 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-15000,5300,-8500,6200" +st "Declarations" +blo "-15000,6000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-15000,6200,-12000,7100" +st "Ports:" +blo "-15000,6900" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-15000,10700,-12500,11600" +st "User:" +blo "-15000,11400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-15000,5300,-7500,6200" +st "Internal User:" +blo "-15000,6000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-13000,11600,-13000,11600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-15000,5300,-15000,5300" +tm "SyDeclarativeTextMgr" +) +) +lastUid 437,0 +activeModelName "Symbol" +) diff --git a/Libs/Common/hds/spike@filter/symbol.sb b/Libs/Common/hds/spike@filter/symbol.sb new file mode 100644 index 0000000..8dfe61a --- /dev/null +++ b/Libs/Common/hds/spike@filter/symbol.sb @@ -0,0 +1,1552 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_STD" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 4,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 109,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 111,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 113,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "filtered" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 115,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 68,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 108,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 110,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 112,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 114,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "counterBitNb" +type "positive" +value "18" +) +uid 159,0 +) +*48 (LogGeneric +generic (GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +uid 267,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *50 (MRCItem +litem &35 +pos 2 +dimension 20 +) +uid 96,0 +optionalChildren [ +*51 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 97,0 +) +*52 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 98,0 +) +*53 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*54 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 160,0 +) +*55 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 268,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*56 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 101,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 102,0 +) +*58 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 103,0 +) +*59 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 104,0 +) +*60 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 105,0 +) +*61 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 106,0 +) +*62 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/spike@filter/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/spike@filter/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/spike@filter" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/spikeFilter" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "spikeFilter" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:38" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "spikeFilter" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/spike@filter/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/spikeFilter/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:38" +) +(vvPair +variable "unit" +value "spikeFilter" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 116,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 117,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,16625,40000,17375" +) +tg (CPTG +uid 118,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 119,0 +va (VaSet +) +xt "41000,16500,43100,17500" +st "clock" +blo "41000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 120,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9800,18000,10700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 121,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 122,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,12625,40000,13375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 124,0 +va (VaSet +) +xt "41000,12500,43000,13500" +st "input" +blo "41000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 125,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10700,18000,11600" +st "input : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*66 (CptPort +uid 126,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 127,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,18625,40000,19375" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 129,0 +va (VaSet +) +xt "41000,18500,43100,19500" +st "reset" +blo "41000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 130,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11600,18000,12500" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*67 (CptPort +uid 131,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 132,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,12625,56750,13375" +) +tg (CPTG +uid 133,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 134,0 +va (VaSet +) +xt "52300,12500,55000,13500" +st "filtered" +ju 2 +blo "55000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 135,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12500,17000,13400" +st "filtered : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "filtered" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "40000,9000,56000,21000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "40200,21000,43200,21900" +st "Common" +blo "40200,21700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "40200,21900,46200,22800" +st "spikeFilter" +blo "40200,22600" +) +) +gi *68 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "40000,23800,55200,27400" +st "Generic Declarations + +counterBitNb positive 18 +invertInput std_ulogic '0' " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "18" +) +(GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*69 (Grouping +uid 16,0 +optionalChildren [ +*70 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *80 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*82 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17400,4000" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.NUMERIC_STD.all;" +tm "PackageList" +) +] +) +windowSize "109,49,1396,892" +viewArea "-1000,-1000,74828,50759" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *83 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *84 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,13400,2500,14300" +st "User:" +blo "0,14100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,7800,5800,8800" +st "Internal User:" +blo "0,8600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14300,2000,14300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,7800,0,7800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 314,0 +activeModelName "Symbol" +) diff --git a/Libs/Common/hds/toggler/symbol.sb b/Libs/Common/hds/toggler/symbol.sb new file mode 100644 index 0000000..1029e56 --- /dev/null +++ b/Libs/Common/hds/toggler/symbol.sb @@ -0,0 +1,1552 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_STD" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 4,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 109,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 111,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 113,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "toggle" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 115,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 68,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 108,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 110,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 112,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 114,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "counterBitNb" +type "natural" +value "18" +) +uid 159,0 +) +*48 (LogGeneric +generic (GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +uid 278,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *50 (MRCItem +litem &35 +pos 2 +dimension 20 +) +uid 96,0 +optionalChildren [ +*51 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 97,0 +) +*52 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 98,0 +) +*53 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*54 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 160,0 +) +*55 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 279,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*56 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 101,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 102,0 +) +*58 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 103,0 +) +*59 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 104,0 +) +*60 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 105,0 +) +*61 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 106,0 +) +*62 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/toggler/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/toggler/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/toggler" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/toggler" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "toggler" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:38" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "toggler" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/toggler/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/toggler/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:38" +) +(vvPair +variable "unit" +value "toggler" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 116,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 117,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,18625,43000,19375" +) +tg (CPTG +uid 118,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 119,0 +va (VaSet +) +xt "44000,18500,46100,19500" +st "clock" +blo "44000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 120,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9800,17000,10700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 121,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 122,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,14625,43000,15375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 124,0 +va (VaSet +) +xt "44000,14500,46000,15500" +st "input" +blo "44000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 125,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10700,17000,11600" +st "input : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*66 (CptPort +uid 126,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 127,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,20625,43000,21375" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 129,0 +va (VaSet +) +xt "44000,20500,46100,21500" +st "reset" +blo "44000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 130,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11600,17000,12500" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*67 (CptPort +uid 131,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 132,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,14625,59750,15375" +) +tg (CPTG +uid 133,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 134,0 +va (VaSet +) +xt "55400,14500,58000,15500" +st "toggle" +ju 2 +blo "58000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 135,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12500,16000,13400" +st "toggle : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "toggle" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "43000,11000,59000,23000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "43200,23000,46200,23900" +st "Common" +blo "43200,23700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "43200,23900,46700,24800" +st "toggler" +blo "43200,24600" +) +) +gi *68 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "43000,25800,58200,29400" +st "Generic Declarations + +counterBitNb natural 18 +invertInput std_ulogic '0' " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "counterBitNb" +type "natural" +value "18" +) +(GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*69 (Grouping +uid 16,0 +optionalChildren [ +*70 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,49400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *80 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*82 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17400,4000" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.NUMERIC_STD.all;" +tm "PackageList" +) +] +) +windowSize "109,49,1396,892" +viewArea "-1000,-1000,74828,48771" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *83 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *84 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,13400,2500,14300" +st "User:" +blo "0,14100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,7800,5800,8800" +st "Internal User:" +blo "0,8600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14300,2000,14300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,7800,0,7800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 302,0 +activeModelName "Symbol" +) diff --git a/Libs/Common_test/hdl/clockGenerator_sim.vhd b/Libs/Common_test/hdl/clockGenerator_sim.vhd new file mode 100644 index 0000000..b2e94be --- /dev/null +++ b/Libs/Common_test/hdl/clockGenerator_sim.vhd @@ -0,0 +1,13 @@ +ARCHITECTURE sim OF clockGenerator IS + + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal clock_int: std_uLogic := '1'; + +BEGIN + + reset <= '1', '0' after 2*clockPeriod; + + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9.0/10.0; + +END ARCHITECTURE sim; diff --git a/Libs/Common_test/hdl/commonLib_tb_test.vhd b/Libs/Common_test/hdl/commonLib_tb_test.vhd new file mode 100644 index 0000000..40a1b77 --- /dev/null +++ b/Libs/Common_test/hdl/commonLib_tb_test.vhd @@ -0,0 +1,26 @@ +LIBRARY Common; + USE Common.commonLib.all; +LIBRARY Common_test; + USE Common_test.testUtils.all; + +ARCHITECTURE test OF commonLib_tb IS + constant maxPowOf2: positive := 10; + constant indent: string(1 to 2) := (others => ' '); +BEGIN + + process + variable value, bitNb: positive; + BEGIN + print("testing function " & '"' & "requiredBitNb" & '"'); + for index in 1 to maxPowOf2 loop + for offset in -1 to 1 loop + value := 2**index + offset; + bitNb := requiredBitNb(value); + print(indent & "requiredBitNb(" & sprintf("%d", value) & ") = " & sprintf("%d", bitNb)); + end loop; + print(""); + end loop; + wait; + end process; + +END ARCHITECTURE test; diff --git a/Libs/Common_test/hdl/debouncerULogicVector_tester_RTL.vhd b/Libs/Common_test/hdl/debouncerULogicVector_tester_RTL.vhd new file mode 100644 index 0000000..bca8093 --- /dev/null +++ b/Libs/Common_test/hdl/debouncerULogicVector_tester_RTL.vhd @@ -0,0 +1,57 @@ +ARCHITECTURE RTL OF debouncerULogicVector_tester IS + + constant clockFrequency : real := 100.0E6; + constant clockPeriod : time := 1.0/clockFrequency * 1 sec; + signal clock_int : std_ulogic := '1'; + + constant longDelay : time := 2**(counterBitNb+1) * clockPeriod; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= '1', '0' after 3*clockPeriod; + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9/10; + + ------------------------------------------------------------------------------ + -- input signal + process + begin + input <= (others => '0'); + wait for longDelay; + -- transition 0 to 1 + input(1) <= '1', + '0' after 1*clockPeriod, + '1' after 3*clockPeriod, + '0' after 5*clockPeriod, + '1' after 6*clockPeriod, + '0' after 8*clockPeriod, + '1' after 10*clockPeriod; + wait for longDelay; + -- transition to other bit + -- transition 1 to 0 + input(1) <= '0'; + wait for longDelay; + input(2) <= '1'; + wait for longDelay; + -- transition 1 to 0 + input(2) <= '0', + '1' after 1*clockPeriod, + '0' after 3*clockPeriod, + '1' after 5*clockPeriod, + '0' after 6*clockPeriod, + '1' after 8*clockPeriod, + '0' after 10*clockPeriod; + wait for longDelay; + -- short 1 pulse + input(3) <= '1', + '0' after 1*clockPeriod, + '1' after 3*clockPeriod, + '0' after 5*clockPeriod, + '1' after 6*clockPeriod, + '0' after 8*clockPeriod; + -- end of simulation + wait; + end process; + +END ARCHITECTURE RTL; diff --git a/Libs/Common_test/hdl/debouncer_tester_test.vhd b/Libs/Common_test/hdl/debouncer_tester_test.vhd new file mode 100644 index 0000000..b319ae6 --- /dev/null +++ b/Libs/Common_test/hdl/debouncer_tester_test.vhd @@ -0,0 +1,49 @@ +ARCHITECTURE test OF debouncer_tester IS + + constant clockFrequency : real := 66.0E6; + constant clockPeriod : time := 1.0/clockFrequency * 1 sec; + signal clock_int : std_ulogic := '1'; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= '1', '0' after 3*clockPeriod; + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9/10; + + ------------------------------------------------------------------------------ + -- input signal + process + begin + input <= '0'; + wait for 10*clockPeriod; + -- transition 0 to 1 + input <= '1', + '0' after 1*clockPeriod, + '1' after 3*clockPeriod, + '0' after 5*clockPeriod, + '1' after 6*clockPeriod, + '0' after 8*clockPeriod, + '1' after 10*clockPeriod; + wait for 50*clockPeriod; + -- transition 1 to 0 + input <= '0', + '1' after 1*clockPeriod, + '0' after 3*clockPeriod, + '1' after 5*clockPeriod, + '0' after 6*clockPeriod, + '1' after 8*clockPeriod, + '0' after 10*clockPeriod; + wait for 50*clockPeriod; + -- short 1 pulse + input <= '1', + '0' after 1*clockPeriod, + '1' after 3*clockPeriod, + '0' after 5*clockPeriod, + '1' after 6*clockPeriod, + '0' after 8*clockPeriod; + -- end of simulation + wait; + end process; + +END ARCHITECTURE test; diff --git a/Libs/Common_test/hdl/requiredBitNb.txt b/Libs/Common_test/hdl/requiredBitNb.txt new file mode 100644 index 0000000..5015db3 --- /dev/null +++ b/Libs/Common_test/hdl/requiredBitNb.txt @@ -0,0 +1,40 @@ +# testing function "requiredBitNb" +# requiredBitNb(1) = 1 +# requiredBitNb(2) = 2 +# requiredBitNb(3) = 2 +# +# requiredBitNb(3) = 2 +# requiredBitNb(4) = 3 +# requiredBitNb(5) = 3 +# +# requiredBitNb(7) = 3 +# requiredBitNb(8) = 4 +# requiredBitNb(9) = 4 +# +# requiredBitNb(15) = 4 +# requiredBitNb(16) = 5 +# requiredBitNb(17) = 5 +# +# requiredBitNb(31) = 5 +# requiredBitNb(32) = 6 +# requiredBitNb(33) = 6 +# +# requiredBitNb(63) = 6 +# requiredBitNb(64) = 7 +# requiredBitNb(65) = 7 +# +# requiredBitNb(127) = 7 +# requiredBitNb(128) = 8 +# requiredBitNb(129) = 8 +# +# requiredBitNb(255) = 8 +# requiredBitNb(256) = 9 +# requiredBitNb(257) = 9 +# +# requiredBitNb(511) = 9 +# requiredBitNb(512) = 10 +# requiredBitNb(513) = 10 +# +# requiredBitNb(1023) = 10 +# requiredBitNb(1024) = 11 +# requiredBitNb(1025) = 11 \ No newline at end of file diff --git a/Libs/Common_test/hdl/rotaryToUnsigned_tester_test.vhd b/Libs/Common_test/hdl/rotaryToUnsigned_tester_test.vhd new file mode 100644 index 0000000..70a3fbf --- /dev/null +++ b/Libs/Common_test/hdl/rotaryToUnsigned_tester_test.vhd @@ -0,0 +1,47 @@ +ARCHITECTURE test OF rotaryToUnsigned_tester IS + + constant clockFrequency : real := 100.0E6; + constant clockPeriod : time := 1.0/clockFrequency * 1 sec; + signal clock_int : std_ulogic := '1'; + + constant stepPeriod : time := 100*clockPeriod; + signal rotary_int : unsigned(rotary'range); + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= '1', '0' after 3*clockPeriod; + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9/10; + + ------------------------------------------------------------------------------ + -- input signal + turnRotary: process + begin + rotary_int <= (others => '0'); + wait for 10*stepPeriod; + -- count over max value + for index in 1 to 2**outputBitNb+2 loop + rotary_int <= rotary_int + 1; + wait for stepPeriod; + end loop; + -- count down again + for index in 1 to 2**outputBitNb+2 loop + rotary_int <= rotary_int - 1; + wait for stepPeriod; + end loop; + -- end of simulation + wait; + end process turnRotary; + + addGlitches: process + begin + wait on rotary_int; + rotary <= (others => '0'); + wait for clockPeriod; + rotary <= (others => '1'); + wait for clockPeriod; + rotary <= rotary_int; + end process addGlitches; + +END ARCHITECTURE test; diff --git a/Libs/Common_test/hdl/spikeFilter_tester_test.vhd b/Libs/Common_test/hdl/spikeFilter_tester_test.vhd new file mode 100644 index 0000000..cd5468f --- /dev/null +++ b/Libs/Common_test/hdl/spikeFilter_tester_test.vhd @@ -0,0 +1,47 @@ +ARCHITECTURE test OF spikeFilter_tester IS + + constant clockFrequency : real := 100.0E6; + constant clockPeriod : time := 1.0/clockFrequency * 1 sec; + signal clock_int : std_ulogic := '1'; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= '1', '0' after 3*clockPeriod; + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9/10; + + ------------------------------------------------------------------------------ + -- input signal + process + begin + input <= '0'; + wait for 10*clockPeriod; + -- loop on pulse width + for pulseWidth in 1 to 10 loop + -- send positive pulses train + for index in 1 to 8 loop + input <= '1'; + wait for pulseWidth * clockPeriod; + input <= '0'; + wait for pulseWidth * clockPeriod; + end loop; + -- set input high + input <= '1'; + wait for 100*clockPeriod; + -- send negative pulses train + for index in 1 to 8 loop + input <= '0'; + wait for pulseWidth * clockPeriod; + input <= '1'; + wait for pulseWidth * clockPeriod; + end loop; + -- set input low + input <= '0'; + wait for 100*clockPeriod; + end loop; + -- end of simulation + wait; + end process; + +END ARCHITECTURE test; diff --git a/Libs/Common_test/hdl/testUtils_pkg.vhd b/Libs/Common_test/hdl/testUtils_pkg.vhd new file mode 100644 index 0000000..4d275b9 --- /dev/null +++ b/Libs/Common_test/hdl/testUtils_pkg.vhd @@ -0,0 +1,127 @@ +LIBRARY std; + USE std.textio.all; +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +PACKAGE testUtils IS + + --============================================================================ + -- console output + -- + + procedure print(value : string); + + + --============================================================================ + -- string manipulation + -- + + -- conversion to lowercase + function lc(value : string) return string; + procedure lc(value : inout line); + -- conversion to uppercase + function uc(value : string) return string; + procedure uc(value : inout line); + -- expand a string to a given length + function pad( + value : string; + string_length : natural; + fill_char : character := ' '; + right_justify : boolean := false + ) return string; + -- remove separator characters at beginning and end of line + procedure rm_side_separators( + value : inout line; + separators : in string + ); + procedure rm_side_separators( + value : inout line + ); + -- remove multiple occurences of separator characters + procedure trim_line( + value : inout line; + separators : in string + ); + + procedure trim_line( + value : inout line + ); + -- remove all occurences of separator characters + procedure rm_all_separators( + value : inout line; + separators : in string + ); + + procedure rm_all_separators( + value : inout line + ); + -- find and remove first word + procedure read_first( + value : inout line; + separators : in string; + first : out line + ); + + procedure read_first( + value : inout line; + first : out line + ); + -- find and remove last word + procedure read_last( + value : inout line; + separators : in string; + last : out line + ); + + procedure read_last( + value : inout line; + last : out line + ); + + + --============================================================================ + -- formatted string output + -- + -- format codes: + -- code integer real std_logic std_(u)logic_vector (un)signed time + -- b v v v v binary + -- c character + -- d v v v v v decimal + -- e real numbers, with power of 10 exponent + -- f v v fixed point real numbers + -- s string + -- ts v time in seconds + -- tm v time in milliseconds + -- tu v time in microseconds + -- tn v time in nanoseconds + -- tp v time in picoseconds + -- x v v v v hexadecimal + -- X v v v v hexadecimal with upper-case letters + + function sprintf(format : string; value : integer ) return string; + function sprintf(format : string; value : real ) return string; + function sprintf(format : string; value : std_logic ) return string; + function sprintf(format : string; value : std_ulogic_vector) return string; + function sprintf(format : string; value : std_logic_vector ) return string; + function sprintf(format : string; value : unsigned ) return string; + function sprintf(format : string; value : signed ) return string; + function sprintf(format : string; value : time ) return string; + + --============================================================================ + -- formatted string input + -- + subtype nibbleUlogicType is std_ulogic_vector(3 downto 0); + subtype nibbleUnsignedType is unsigned(3 downto 0); + + function sscanf(value : character) return natural; + function sscanf(value : character) return nibbleUlogicType; + function sscanf(value : character) return nibbleUnsignedType; + function sscanf(value : string ) return natural; + function sscanf(value : string ) return unsigned; + function sscanf(value : string ) return std_ulogic_vector; + function sscanf(value : string ) return time; + + procedure sscanf(value : inout line; time_val : out time); + +END testUtils; diff --git a/Libs/Common_test/hdl/testUtils_pkg_body.vhd b/Libs/Common_test/hdl/testUtils_pkg_body.vhd new file mode 100644 index 0000000..88c6205 --- /dev/null +++ b/Libs/Common_test/hdl/testUtils_pkg_body.vhd @@ -0,0 +1,924 @@ +PACKAGE BODY testUtils IS + + --============================================================================ + -- console output + -- + + procedure print(value : string) is + variable my_line : line; + begin + write(my_line, value); + writeLine(output, my_line); + deallocate(my_line); + end print; + + + --============================================================================ + -- string manipulation + -- + + ------------------------------------------------------------------------------ + -- change to lowercase + ------------------------------------------------------------------------------ + procedure lc(value: inout line) is + variable out_line: line; + begin + for index in value'range loop + if (value(index) >= 'A') and (value(index) <= 'Z') then + value(index) := character'val(character'pos(value(index)) + - character'pos('A') + + character'pos('a') + ); + end if; + end loop; + end lc; + + function lc(value: string) return string is + variable out_line: line; + begin + write(out_line, value); + lc(out_line); + return(out_line.all); + end lc; + + ------------------------------------------------------------------------------ + -- change to uppercase + ------------------------------------------------------------------------------ + procedure uc(value: inout line) is + variable out_line: line; + begin + for index in value'range loop + if (value(index) >= 'a') and (value(index) <= 'z') then + value(index) := character'val(character'pos(value(index)) + - character'pos('a') + + character'pos('A') + ); + end if; + end loop; + end uc; + + function uc(value: string) return string is + variable out_line: line; + begin + write(out_line, value); + uc(out_line); + return(out_line.all); + end uc; + + ------------------------------------------------------------------------------ + -- formatted string output: padding and justifying + ------------------------------------------------------------------------------ + function pad( + value : string; + string_length : natural; + fill_char : character := ' '; + right_justify : boolean := false + ) return string is + variable value_line : line; + variable out_line : line; + variable value_length : natural; + variable shift_sign : boolean; + begin + write(value_line, value); + value_length := value_line.all'length; + if string_length = 0 then + write(out_line, value_line.all); + elsif string_length > value_length then + if right_justify then + if (value_line.all(value_line.all'left) <= '-') and not(fill_char = ' ') then + shift_sign := true; + write(out_line, value_line.all(value_line.all'left)); + end if; + for index in 1 to string_length-value_length loop + write(out_line, fill_char); + end loop; + end if; + if shift_sign then + write(out_line, value_line.all(value_line.all'left+1 to value_line.all'right)); + else + write(out_line, value_line.all); + end if; + if not right_justify then + for index in 1 to string_length-value_length loop + write(out_line, fill_char); + end loop; + end if; + elsif string_length < value_length then + write(out_line, '#'); + write(out_line, value_line.all(value_length-string_length+2 to value_length)); + else + write(out_line, value_line.all); + end if; + deallocate(value_line); + return(out_line.all); + end pad; + + ------------------------------------------------------------------------------ + -- remove separator characters at beginning and end of line + ------------------------------------------------------------------------------ + procedure rm_side_separators( + value : inout line; + separators : in string + ) is + variable input_line : line := value; + variable found : boolean := false; + variable position : integer := 0; + begin + -- remove all separators in the beginning + position := -1; + for character_index in input_line'range loop + found := false; + for separator_index in separators'range loop + if input_line(character_index) = separators(separator_index) then + found := true; + end if; + end loop; + if found then + position := character_index; + else + exit; + end if; + end loop; + if position > -1 then + input_line := new string'( input_line(position+1 to input_line'right) ); + end if; + + -- remove all separators in the end + position := -1; + for character_index in input_line'reverse_range loop + found := false; + for separator_index in separators'range loop + if input_line(character_index) = separators(separator_index) then + found := true; + end if; + end loop; + if found then + position := character_index; + else + exit; + end if; + end loop; + if position > -1 then + input_line := new string'( input_line(input_line'left to position-1) ); + end if; + + value := input_line; + end; + + procedure rm_side_separators(value : inout line) is + begin + rm_side_separators(value, " :" & ht); + end; + + ------------------------------------------------------------------------------ + -- remove multiple occurences of separator characters, keeping one single + ------------------------------------------------------------------------------ + procedure trim_line( + value : inout line; + separators : in string + ) is + variable input_line: line := value; + variable output_line: line := new string'(""); + variable is_separator, was_separator : boolean := false; + begin + rm_side_separators(input_line); + for character_index in input_line'range loop + is_separator := false; + for separator_index in separators'range loop + if input_line.all(character_index) = separators(separator_index) then + is_separator := true; + end if; + end loop; + if not (is_separator and was_separator) then + write(output_line, input_line.all(character_index)); + end if; + was_separator := is_separator; + end loop; + + value := output_line; + end; + + procedure trim_line(value : inout line) is + begin + trim_line(value, " :" & ht); + end; + + ------------------------------------------------------------------------------ + -- remove all occurences of separator characters + ------------------------------------------------------------------------------ + procedure rm_all_separators( + value : inout line; + separators : in string + ) is + variable input_line : line := value; + variable is_separator : boolean := false; + begin + + -- remove separators from beginn and end of the line + -- rm_separator_be(value, separators); + + -- empty output line + value := new string'(""); + + -- find all separator symbols + for character_index in input_line'range loop + is_separator := false; + for separator_index in separators'range loop + if input_line(character_index) = separators(separator_index) then + is_separator := true; + end if; + end loop; + if not is_separator then + write(value, input_line.all(character_index)); + end if; + end loop; + + end; + + procedure rm_all_separators(value : inout line) is + begin + rm_all_separators(value, " _." & ht); + end; + + ------------------------------------------------------------------------------ + -- read first "word" out of a line + ------------------------------------------------------------------------------ + procedure read_first( + value : inout line; + separators : in string; + first : out line + ) is + variable input_line: line; + variable position: natural := 0; + begin + input_line := value; + for character_index in input_line.all'reverse_range loop + for separator_index in separators'range loop + if input_line.all(character_index) = separators(separator_index) then + position := character_index; + end if; + end loop; + end loop; + if position > 1 then + first := new string'(input_line.all(input_line'left to position-1)); + value := new string'(input_line(position+1 to input_line'right)); + else + first := new string'(input_line.all); + value := new string'(""); + end if; + end; + + procedure read_first(value : inout line; first : out line) is + begin + read_first(value, " :" & ht, first); + end; + + ------------------------------------------------------------------------------ + -- read last "word" out of a line + ------------------------------------------------------------------------------ + procedure read_last( + value : inout line; + separators : in string; + last : out line + ) is + variable input_line: line := value; + variable position: natural := 0; + begin + for character_index in input_line'range loop + for separator_index in separators'range loop + if input_line(character_index) = separators(separator_index) then + position := character_index; + end if; + end loop; + end loop; + if position <= input_line'right and + position > 0 then + value := new string'(input_line(input_line'left to position-1)); + last := new string'(input_line(position+1 to input_line'right)); + else + last := new string'(input_line.all); + end if; + end; + + procedure read_last(value : inout line; last : out line) is + begin + read_last(value, " :" & ht, last); + end; + + + --============================================================================ + -- formatted string output, internal functions + -- + + ------------------------------------------------------------------------------ + -- get format specification + ------------------------------------------------------------------------------ + procedure get_format_items( + format : string; + right_justify : out boolean; + add_sign : out boolean; + fill_char : out character; + total_length : out natural; + point_precision : out natural; + format_type : inout line + ) is + variable find_sign : boolean := false; + variable find_padding : boolean := false; + variable find_length : boolean := false; + variable find_precision : boolean := false; + variable find_type : boolean := false; + variable right_justify_int : boolean := true; + variable total_length_int : natural := 0; + variable point_precision_int : natural := 0; + begin + add_sign := false; + fill_char := ' '; + for index in 1 to format'length loop + if find_type then + write(format_type, format(index)); + end if; + if find_precision then + if (format(index) >= '0') and (format(index) <= '9') then + point_precision_int := 10*point_precision_int + character'pos(format(index)) - character'pos('0'); + if format(index+1) >= 'A' then + find_precision := false; + find_type := true; + end if; + end if; + end if; + if find_length then + if (format(index) >= '0') and (format(index) <= '9') then + total_length_int := 10*total_length_int + character'pos(format(index)) - character'pos('0'); + end if; + if format(index) = '.' then + find_length := false; + find_precision := true; + elsif format(index+1) >= 'A' then + find_length := false; + find_type := true; + end if; + end if; + if find_padding then + if format(index) = '0' then + if right_justify_int then + fill_char := '0'; + end if; + end if; + find_padding := false; + if format(index+1) >= 'A' then + find_type := true; + else + find_length := true; + end if; + end if; + if find_sign then + if format(index) = '-' then + right_justify_int := false; + end if; + if format(index) = '+' then + add_sign := true; + end if; + find_sign := false; + if format(index+1) <= '-' then + find_sign := true; + elsif format(index+1) = '0' then + find_padding := true; + elsif format(index+1) >= 'A' then + find_type := true; + else + find_length := true; + end if; + end if; + if format(index) = '%' then + if format(index+1) <= '-' then + find_sign := true; + elsif format(index+1) = '0' then + find_padding := true; + elsif format(index+1) >= 'A' then + find_type := true; + else + find_length := true; + end if; + end if; + end loop; + right_justify := right_justify_int; + total_length := total_length_int; + point_precision := point_precision_int; + end get_format_items; + + + ------------------------------------------------------------------------------ + -- formatted string output: converting std_ulogic to character + ------------------------------------------------------------------------------ + function to_character(value: std_ulogic) return character is + variable out_value: character; + begin + case value is + when 'U' => out_value := 'U'; + when 'X' => out_value := 'X'; + when '0' => out_value := '0'; + when '1' => out_value := '1'; + when 'Z' => out_value := 'Z'; + when 'W' => out_value := 'W'; + when 'L' => out_value := 'L'; + when 'H' => out_value := 'H'; + when '-' => out_value := '-'; + end case; + return(out_value); + end to_character; + + ------------------------------------------------------------------------------ + -- formatted string output: binary integer + ------------------------------------------------------------------------------ + function sprintf_b(value: std_ulogic_vector) return string is + variable out_line : line; + begin + for index in value'range loop + write(out_line, to_character(value(index))); + end loop; + return(out_line.all); + end sprintf_b; + + ------------------------------------------------------------------------------ + -- formatted string output: decimal integer + ------------------------------------------------------------------------------ + function sprintf_d( + right_justify : boolean; + add_sign : boolean; + fill_char : character; + string_length : natural; + value : integer + ) return string is + variable value_line : line; + begin + if add_sign and (value >= 0) then + write(value_line, '+'); + end if; + write(value_line, value); + if string_length = 0 then + return(value_line.all); + else + return(pad(value_line.all, string_length, fill_char, right_justify)); + end if; + end sprintf_d; + + ------------------------------------------------------------------------------ + -- formatted string output: fixed point real + ------------------------------------------------------------------------------ + function sprintf_f( + right_justify : boolean; + add_sign : boolean; + fill_char : character; + string_length : natural; + point_precision : natural; + value : real + ) return string is + variable point_precision_int : natural; + variable integer_part : integer; + variable decimal_part : natural; + variable value_line : line; + begin + if point_precision = 0 then + point_precision_int := 6; + else + point_precision_int := point_precision; + end if; + if value >= 0.0 then + integer_part := integer(value-0.5); + else + integer_part := - integer(-value-0.5); + end if; + decimal_part := abs(integer((value-real(integer_part))*(10.0**point_precision_int))); + if add_sign and (value >= 0.0) then + write(value_line, '+'); + end if; + write(value_line, integer_part); + write(value_line, '.'); + write(value_line, sprintf_d(true, false, '0', point_precision_int, decimal_part)); + if string_length = 0 then + return(value_line.all); + else + return(pad(value_line.all, string_length, fill_char, right_justify)); + end if; + end sprintf_f; + + ------------------------------------------------------------------------------ + -- formatted string output: hexadecimal integer + ------------------------------------------------------------------------------ + function sprintf_X( + extend_unsigned : boolean; + value : std_ulogic_vector + ) return string is + variable bit_count : positive; + variable value_line : line; + variable out_line : line; + variable nibble: string(1 to 4); + begin + bit_count := value'length; + while (bit_count mod 4) /= 0 loop + if extend_unsigned then + write(value_line, to_character('0')); + else + write(value_line, to_character(value(value'high))); + end if; + bit_count := bit_count + 1; + end loop; + write(value_line, sprintf_b(value)); + for index in value_line.all'range loop + if (index mod 4) = 0 then + nibble := value_line.all(index-3 to index); + case nibble is + when "0000" => write(out_line, 0); + when "0001" => write(out_line, 1); + when "0010" => write(out_line, 2); + when "0011" => write(out_line, 3); + when "0100" => write(out_line, 4); + when "0101" => write(out_line, 5); + when "0110" => write(out_line, 6); + when "0111" => write(out_line, 7); + when "1000" => write(out_line, 8); + when "1001" => write(out_line, 9); + when "1010" => write(out_line, 'A'); + when "1011" => write(out_line, 'B'); + when "1100" => write(out_line, 'C'); + when "1101" => write(out_line, 'D'); + when "1110" => write(out_line, 'E'); + when "1111" => write(out_line, 'F'); + when others => write(out_line, 'X'); + end case; + end if; + end loop; + return(out_line.all); + end sprintf_X; + + + --============================================================================ + -- formatted string output, interface functions + -- + + ------------------------------------------------------------------------------ + -- integer + ------------------------------------------------------------------------------ + function sprintf(format : string; value : integer) return string is + variable right_justify : boolean; + variable add_sign : boolean; + variable fill_char : character; + variable string_length : natural; + variable point_precision : natural; + variable format_type : line; + begin + get_format_items(format, right_justify, add_sign, fill_char, + string_length, point_precision, format_type); + if format_type.all = "b" then + if string_length = 0 then + string_length := 8; + end if; + return(sprintf_b(std_ulogic_vector(to_signed(value, string_length+1)(string_length-1 downto 0)))); + elsif format_type.all = "d" then + return(sprintf_d(right_justify, add_sign, fill_char, string_length, value)); + elsif format_type.all = "f" then + return(sprintf_f(right_justify, add_sign, fill_char, + string_length, point_precision, real(value))); + elsif (format_type.all = "X") or (format_type.all = "x") then + if string_length = 0 then + string_length := 8; + end if; + string_length := 4*string_length; + if format_type.all = "X" then + return(sprintf_X(false, std_ulogic_vector(to_signed(value, string_length+1)(string_length-1 downto 0)))); + else + return(lc(sprintf_X(false, std_ulogic_vector(to_signed(value, string_length+1)(string_length-1 downto 0))))); + end if; + else + return("Unhandled format type: '" & format_type.all & "'"); + end if; + end sprintf; + + ------------------------------------------------------------------------------ + -- real + ------------------------------------------------------------------------------ + function sprintf(format : string; value : real) return string is + variable right_justify : boolean; + variable add_sign : boolean; + variable fill_char : character; + variable string_length : natural; + variable point_precision : natural; + variable format_type : line; + begin + get_format_items(format, right_justify, add_sign, fill_char, + string_length, point_precision, format_type); + if (format_type.all = "d") or (point_precision = 0) then + return(sprintf_d(right_justify, add_sign, fill_char, + string_length, integer(value))); + elsif format_type.all = "f" then + return(sprintf_f(right_justify, add_sign, fill_char, + string_length, point_precision, value)); + else + return("Unhandled format type: '" & format_type.all & "'"); + end if; + end sprintf; + + ------------------------------------------------------------------------------ + -- std_logic + ------------------------------------------------------------------------------ + function sprintf(format : string; value : std_logic) return string is + variable right_justify : boolean; + variable add_sign : boolean; + variable fill_char : character; + variable string_length : natural; + variable point_precision : natural; + variable format_type : line; + variable logic_vector: std_logic_vector(1 to 1); + begin + get_format_items(format, right_justify, add_sign, fill_char, + string_length, point_precision, format_type); + if (format_type.all = "b") or (format_type.all = "d") or + (format_type.all = "X") or (format_type.all = "x") then + logic_vector(1) := value; + return(sprintf(format, std_ulogic_vector(logic_vector))); + else + return("Not a std_logic format: '" & format_type.all & "'"); + end if; + end sprintf; + + ------------------------------------------------------------------------------ + -- std_ulogic_vector + ------------------------------------------------------------------------------ + function sprintf(format : string; value : std_ulogic_vector) return string is + variable right_justify : boolean; + variable add_sign : boolean; + variable fill_char : character; + variable bit_string_length : natural; + variable point_precision : natural; + variable format_type : line; + begin + get_format_items(format, right_justify, add_sign, fill_char, + bit_string_length, point_precision, format_type); + if format_type.all = "b" then + return(pad(sprintf_b(value), bit_string_length, fill_char, right_justify)); + elsif format_type.all = "d" then + return(sprintf_d(right_justify, add_sign, fill_char, bit_string_length, to_integer(unsigned(value)))); + elsif (format_type.all = "X") or (format_type.all = "x") then + if format_type.all = "X" then + return(pad(sprintf_X(true, value), bit_string_length, fill_char, right_justify)); + else + return(lc(pad(sprintf_X(true, value), bit_string_length, fill_char, right_justify))); + end if; + else + return("Not a std_ulogic_vector format: '" & format_type.all & "'"); + end if; + end sprintf; + + ------------------------------------------------------------------------------ + -- std_logic_vector + ------------------------------------------------------------------------------ + function sprintf(format : string; value : std_logic_vector) return string is + variable right_justify : boolean; + variable add_sign : boolean; + variable fill_char : character; + variable string_length : natural; + variable point_precision : natural; + variable format_type : line; + begin + get_format_items(format, right_justify, add_sign, fill_char, + string_length, point_precision, format_type); + if (format_type.all = "b") or (format_type.all = "d") or + (format_type.all = "X") or (format_type.all = "x") then + return(sprintf(format, std_ulogic_vector(value))); + else + return("Not a std_logic_vector format: '" & format_type.all & "'"); + end if; + end sprintf; + + ------------------------------------------------------------------------------ + -- unsigned + ------------------------------------------------------------------------------ + function sprintf(format : string; value : unsigned) return string is + variable right_justify : boolean; + variable add_sign : boolean; + variable fill_char : character; + variable string_length : natural; + variable point_precision : natural; + variable format_type : line; + begin + get_format_items(format, right_justify, add_sign, fill_char, + string_length, point_precision, format_type); + if (format_type.all = "b") or (format_type.all = "d") or + (format_type.all = "X") or (format_type.all = "x") then + return(sprintf(format, std_ulogic_vector(value))); + else + return("Not an unsigned format: '" & format_type.all & "'"); + end if; + end sprintf; + + ------------------------------------------------------------------------------ + -- signed + ------------------------------------------------------------------------------ + function sprintf(format : string; value : signed) return string is + variable right_justify : boolean; + variable add_sign : boolean; + variable fill_char : character; + variable bit_string_length : natural; + variable point_precision : natural; + variable format_type : line; + begin + get_format_items(format, right_justify, add_sign, fill_char, + bit_string_length, point_precision, format_type); + if (fill_char = '0') and (value(value'left) = '1') then + fill_char := '1'; + end if; + if format_type.all = "b" then + return(pad(sprintf_b(std_ulogic_vector(value)), bit_string_length, fill_char, right_justify)); + elsif format_type.all = "d" then + return(sprintf_d(right_justify, add_sign, fill_char, bit_string_length, to_integer(signed(value)))); + elsif (format_type.all = "X") or (format_type.all = "x") then + if fill_char = '1' then + fill_char := 'F'; + end if; + if format_type.all = "X" then + return(pad(sprintf_X(true, std_ulogic_vector(value)), bit_string_length, fill_char, right_justify)); + else + return(lc(pad(sprintf_X(true, std_ulogic_vector(value)), bit_string_length, fill_char, right_justify))); + end if; + else + return("Not a signed format: '" & format_type.all & "'"); + end if; + end sprintf; + + ------------------------------------------------------------------------------ + -- time + ------------------------------------------------------------------------------ + function sprintf(format : string; value : time) return string is + variable right_justify : boolean; + variable add_sign : boolean; + variable fill_char : character; + variable string_length : natural; + variable point_precision : natural; + variable format_type : line; + variable scaling : real; + variable base_time : time; + variable unit : string(1 to 3); + begin + get_format_items(format, right_justify, add_sign, fill_char, + string_length, point_precision, format_type); + if format_type.all(format_type.all'left) = 't' then + scaling := 10.0**point_precision; + if format_type.all = "tp" then + base_time := 1 ps; + unit := " ps"; + elsif format_type.all = "tn" then + base_time := 1 ns; + unit := " ns"; + elsif format_type.all = "tu" then + base_time := 1 us; + unit := " us"; + elsif format_type.all = "tm" then + base_time := 1 ms; + unit := " ms"; + elsif format_type.all = "ts" then + base_time := 1 sec; + unit := " s."; + else + return("Undefined time format: '" & format_type.all & "'"); + end if; + if point_precision = 0 then + return(sprintf_d(right_justify, add_sign, fill_char, + string_length, value/base_time) & unit); + else + return(sprintf_f(right_justify, add_sign, fill_char, string_length, + point_precision, real(scaling*value/base_time)/scaling) & unit); + end if; + else + return("Not a time format: '" & format_type.all & "'"); + end if; + end sprintf; + + + --============================================================================ + -- formatted string input + ------------------------------------------------------------------------------ + + ------------------------------------------------------------------------------ + -- read a nibble out of a character + ------------------------------------------------------------------------------ + function sscanf(value : character) return natural is + begin + if (value >= '0') and (value <= '9') then + return(character'pos(value) - character'pos('0')); + elsif (value >= 'a') and (value <= 'f') then + return(character'pos(value) - character'pos('a') + 10); + elsif (value >= 'A') and (value <= 'F') then + return(character'pos(value) - character'pos('A') + 10); + else + return(0); + end if; + end sscanf; + + function sscanf(value : character) return nibbleUnsignedType is + begin + return(to_unsigned(sscanf(value), nibbleUnsignedType'length)); + end sscanf; + + function sscanf(value : character) return nibbleUlogicType is + variable unsigned_value : nibbleUnsignedType; + begin + unsigned_value := sscanf(value); + return(std_ulogic_vector(unsigned_value)); + end sscanf; + + ------------------------------------------------------------------------------ + -- read an binary word out of a string + ------------------------------------------------------------------------------ + function sscanf(value : string) return natural is + variable integer_value : natural; + begin + integer_value := 0; + for index in value'left to value'right loop + integer_value := integer_value*16 + sscanf(value(index)); + end loop; + return(integer_value); + end; + + function sscanf(value : string) return unsigned is + variable unsigned_value : unsigned(4*value'length-1 downto 0); + begin + unsigned_value := to_unsigned(0,unsigned_value'length); + for index in value'left to value'right loop + unsigned_value := shift_left(unsigned_value,4) + to_unsigned(sscanf(value(index)),4); + end loop; + return(unsigned_value); + end; + + function sscanf(value : string) return std_ulogic_vector is + variable unsigned_value : unsigned(4*value'length-1 downto 0); + begin + unsigned_value := sscanf(value); + return(std_ulogic_vector(unsigned_value)); + end; + + ------------------------------------------------------------------------------ + -- read time from a string + -- time can be formated as follows: + -- "1ps" or "1 ps" or " 1 ps " or " 1ps" + -- possible time units are: hr, min, sec, ms, us, ns, ps, fs + ------------------------------------------------------------------------------ + procedure sscanf( + value : inout line; + time_val : out time + ) is + variable time_line : line := value; + variable time_base : string(1 to 3); + variable time_value : integer; + variable time_int : time; + begin + -- remove all spaces and tabs + rm_all_separators(time_line); + + -- strip time base (3 last characters) + time_base := time_line(time_line'right-2 to time_line'right); + + -- separate time value and base + if time_base(2 to 3) = "hr" then + time_int := 1 hr; + time_value := integer'value(time_line(time_line'left to time_line'right -2)); + elsif time_base = "min" then + time_int := 1 min; + time_value := integer'value(time_line(time_line'left to time_line'right -3)); + elsif time_base = "sec" then + time_int := 1 sec; + time_value := integer'value(time_line(time_line'left to time_line'right -3)); + elsif time_base(2 to 3) = "ms" then + time_int := 1 ms; + time_value := integer'value(time_line(time_line'left to time_line'right -2)); + elsif time_base(2 to 3) = "us" then + time_int := 1 us; + time_value := integer'value(time_line(time_line'left to time_line'right -2)); + elsif time_base(2 to 3) = "ns" then + time_int := 1 ns; + time_value := integer'value(time_line(time_line'left to time_line'right -2)); + elsif time_base(2 to 3) = "ps" then + time_int := 1 ps; + time_value := integer'value(time_line(time_line'left to time_line'right -2)); + elsif time_base(2 to 3) = "fs" then + time_int := 1 fs; + time_value := integer'value(time_line(time_line'left to time_line'right -2)); + else + time_int := 0 ps; + time_value := 1; + end if; + + -- build time from value and base + time_val := time_int * time_value; + + end; + + function sscanf(value : string) return time is + variable value_line : line; + variable time_val : time; + begin + value_line := new string'(value); + sscanf(value_line, time_val); + return(time_val); + end; + +END testUtils; diff --git a/Libs/Common_test/hdl/testUtils_tb_test.vhd b/Libs/Common_test/hdl/testUtils_tb_test.vhd new file mode 100644 index 0000000..23d0239 --- /dev/null +++ b/Libs/Common_test/hdl/testUtils_tb_test.vhd @@ -0,0 +1,102 @@ +LIBRARY std; + USE std.textio.all; +LIBRARY Common_test; + USE Common_test.testUtils.all; + +ARCHITECTURE test OF testUtils_tb IS +BEGIN + + process + variable test_line, result_line : LINE; + begin + + print("Integers, right justified"); + print(" |" & sprintf("%6d", 12) & "| 12|"); + print(" |" & sprintf("%06d", 12) & "|000012|"); + print(" |" & sprintf("%+6d", 12) & "| +12|"); + print(" |" & sprintf("%+06d", 12) & "|+00012|"); + print(" |" & sprintf("%6d", -12) & "| -12|"); + print(" |" & sprintf("%06d", -12) & "|-00012|"); + print("Integers, left justified"); + print(" |" & sprintf("%-6d", 12) & "|12 |"); + print(" |" & sprintf("%-06d", 12) & "|12 |"); + print(" |" & sprintf("%-+6d", 12) & "|+12 |"); + print(" |" & sprintf("%-+06d", 12) & "|+12 |"); + print(" |" & sprintf("%-6d", -12) & "|-12 |"); + print(" |" & sprintf("%-06d", -12) & "|-12 |"); + print("Integers, others"); + print(" |" & sprintf("%d", 12) & "|12|"); + print(" |" & sprintf("%6tu", 12) & "|"); + print(" |" & sprintf("%6d", 123456) & "|123456|"); + print(" |" & sprintf("%6d", 12345678) & "|#45678|"); + print(" |" & sprintf("%f", 12) & "|12.000000|"); + print(" |" & sprintf("%10f", 12) & "| 12.000000|"); + print(" |" & sprintf("%8.3f", 12) & "| 12.000|"); + print(" |" & sprintf("%b", 12) & "|00001100|"); + print(" |" & sprintf("%4b", 12) & "|1100|"); + print(" |" & sprintf("%6b", 12) & "|001100|"); + print(" |" & sprintf("%X", 12) & "|0000000C|"); + print(" |" & sprintf("%4x", 12) & "|000c|"); + print(" |" & sprintf("%2X", 12) & "|0C|"); + + print(cr & "Reals, integer rounding"); + print(" |" & sprintf("%6d", 1.3) & "| 1|"); + print(" |" & sprintf("%6d", 1.5) & "| 2|"); + print(" |" & sprintf("%6d", 1.7) & "| 2|"); + print("Reals, right justified"); + print(" |" & sprintf("%8.3f", 1.03) & "| 1.030|"); + print(" |" & sprintf("%8.3f", 1.07) & "| 1.070|"); + print(" |" & sprintf("%08.3f", 1.03) & "|0001.030|"); + print(" |" & sprintf("%+08.3f", 1.03) & "|+001.030|"); + print(" |" & sprintf("%8.3f", -1.03) & "| -1.030|"); + print(" |" & sprintf("%8.3f", -1.07) & "| -1.070|"); + print("Reals, left justified"); + print(" |" & sprintf("%-8.3f", 1.3) & "|1.300 |"); + print(" |" & sprintf("%-8.3f", 1.7) & "|1.700 |"); + print(" |" & sprintf("%-08.3f", 1.3) & "|1.300 |"); + print(" |" & sprintf("%-+08.3f", 1.3) & "|+1.300 |"); + print(" |" & sprintf("%-8.3f", -1.3) & "|-1.300 |"); + print(" |" & sprintf("%-8.3f", -1.7) & "|-1.700 |"); + + print(cr & "Logic values"); + print(" |" & sprintf("%b", '0') & "|0|"); + print(" |" & sprintf("%3b", '1') & "| 1|"); + print(" |" & sprintf("%-3d", '0') & "|0 |"); + print(" |" & sprintf("%3X", '1') & "| 1|"); + + print(cr & "Logic vectors, binary"); + print(" |" & sprintf("%b", std_ulogic_vector'("1100")) & "|1100|"); + print(" |" & sprintf("%3b", std_logic_vector'("1100")) & "|#00|"); + print(" |" & sprintf("%4b", unsigned'("1100")) & "|1100|"); + print(" |" & sprintf("%8b", signed'("1100")) & "| 1100|"); + print(" |" & sprintf("%-8b", signed'("1100")) & "|1100 |"); + print(" |" & sprintf("%08b", unsigned'("1100")) & "|00001100|"); + print(" |" & sprintf("%08b", signed'("1100")) & "|11111100|"); + print("Logic vectors, hexadecimal"); + print(" |" & sprintf("%X", std_ulogic_vector'("1100101011111110")) & "|CAFE|"); + print(" |" & sprintf("%3X", std_logic_vector'("1100101011111110")) & "|#FE|"); + print(" |" & sprintf("%4x", unsigned'("1100101011111110")) & "|cafe|"); + print(" |" & sprintf("%8X", signed'("1100101011111110")) & "| CAFE|"); + print(" |" & sprintf("%02X", unsigned'("1100")) & "|0C|"); + print(" |" & sprintf("%02X", signed'("1100")) & "|FC|"); + print("Logic vectors, decimal"); + print(" |" & sprintf("%d", std_ulogic_vector'("1100")) & "|12|"); + print(" |" & sprintf("%d", unsigned'("1100")) & "|12|"); + print(" |" & sprintf("%d", signed'("1100")) & "|-4|"); + print("Logic vectors, others"); + print(" |" & sprintf("%8tu", std_ulogic_vector'("1100")) & "|"); + + print(cr & "Time"); + print(" |" & sprintf("%9tu", 1.3 us) & "| 1 us|"); + print(" |" & sprintf("%9.3tu", 1.3 us) & "| 1.300 us|"); + print(" |" & sprintf("%10tu", 1.3 us) & "| 1 us|"); + + print(cr & "Lines"); + test_line := new string'("Hello brave new world!"); + read_first(test_line, result_line); + print(" |" & result_line.all & ""& test_line.all & "|Hellobrave new world!|"); + + wait; + end process; + +END ARCHITECTURE test; diff --git a/Libs/Common_test/hdl/toggler_tester_test.vhd b/Libs/Common_test/hdl/toggler_tester_test.vhd new file mode 100644 index 0000000..ba76bc0 --- /dev/null +++ b/Libs/Common_test/hdl/toggler_tester_test.vhd @@ -0,0 +1,56 @@ +ARCHITECTURE test OF toggler_tester IS + + constant clockFrequency : real := 66.0E6; + constant clockPeriod : time := 1.0/clockFrequency * 1 sec; + signal clock_int : std_ulogic := '1'; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= '1', '0' after 3*clockPeriod; + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9/10; + + ------------------------------------------------------------------------------ + -- input signal + process + begin + input <= '0'; + wait for 10*clockPeriod; + -- transition 0 to 1 + input <= '1', + '0' after 1*clockPeriod, + '1' after 3*clockPeriod, + '0' after 5*clockPeriod, + '1' after 6*clockPeriod, + '0' after 8*clockPeriod, + '1' after 10*clockPeriod; + wait for 50*clockPeriod; + -- transition 1 to 0 + input <= '0', + '1' after 1*clockPeriod, + '0' after 3*clockPeriod, + '1' after 5*clockPeriod, + '0' after 6*clockPeriod, + '1' after 8*clockPeriod, + '0' after 10*clockPeriod; + wait for 50*clockPeriod; + -- short 1 pulse + input <= '1', + '0' after 1*clockPeriod, + '1' after 3*clockPeriod, + '0' after 5*clockPeriod, + '1' after 6*clockPeriod, + '0' after 8*clockPeriod; + wait for 50*clockPeriod; + -- further toggle commands + input <= '1', '0' after clockPeriod; + wait for 50*clockPeriod; + input <= '1', '0' after clockPeriod; + wait for 50*clockPeriod; + -- short 1 pulse + -- end of simulation + wait; + end process; + +END ARCHITECTURE test; diff --git a/Libs/Common_test/hds/.hdlsidedata/_clockGenerator_sim.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_clockGenerator_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_clockGenerator_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common_test/hds/.hdlsidedata/_commonLib_tb_test.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_commonLib_tb_test.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_commonLib_tb_test.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Common_test/hds/.hdlsidedata/_debouncerULogicVector_tester_RTL.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_debouncerULogicVector_tester_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_debouncerULogicVector_tester_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Common_test/hds/.hdlsidedata/_debouncer_tester_test.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_debouncer_tester_test.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_debouncer_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common_test/hds/.hdlsidedata/_rotaryToUnsigned_tester_test.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_rotaryToUnsigned_tester_test.vhd._fpf new file mode 100644 index 0000000..e69b3ef --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_rotaryToUnsigned_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_93 diff --git a/Libs/Common_test/hds/.hdlsidedata/_rotarytounsigned_tb_entity.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_rotarytounsigned_tb_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_rotarytounsigned_tb_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common_test/hds/.hdlsidedata/_rotarytounsigned_tb_struct.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_rotarytounsigned_tb_struct.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_rotarytounsigned_tb_struct.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common_test/hds/.hdlsidedata/_rotarytounsigned_tester_entity.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_rotarytounsigned_tester_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_rotarytounsigned_tester_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common_test/hds/.hdlsidedata/_spikeFilter_tester_test.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_spikeFilter_tester_test.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_spikeFilter_tester_test.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Common_test/hds/.hdlsidedata/_testUtils_pkg.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_testUtils_pkg.vhd._fpf new file mode 100644 index 0000000..b606e20 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_testUtils_pkg.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2002 diff --git a/Libs/Common_test/hds/.hdlsidedata/_testUtils_pkg_body.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_testUtils_pkg_body.vhd._fpf new file mode 100644 index 0000000..b606e20 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_testUtils_pkg_body.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2002 diff --git a/Libs/Common_test/hds/.hdlsidedata/_testUtils_tb_test.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_testUtils_tb_test.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_testUtils_tb_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common_test/hds/.hdlsidedata/_toggler_tester_test.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_toggler_tester_test.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_toggler_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common_test/hds/_clockgenerator._epf b/Libs/Common_test/hds/_clockgenerator._epf new file mode 100644 index 0000000..8b8ac62 --- /dev/null +++ b/Libs/Common_test/hds/_clockgenerator._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom clockGenerator_sim.vhd +DEFAULT_ARCHITECTURE atom sim diff --git a/Libs/Common_test/hds/_commonlib_tb._epf b/Libs/Common_test/hds/_commonlib_tb._epf new file mode 100644 index 0000000..092da9c --- /dev/null +++ b/Libs/Common_test/hds/_commonlib_tb._epf @@ -0,0 +1,3 @@ +TOP_MARKER atom 1 +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom commonLib_tb_test.vhd diff --git a/Libs/Common_test/hds/_debouncer_tb._epf b/Libs/Common_test/hds/_debouncer_tb._epf new file mode 100644 index 0000000..1c7f3f7 --- /dev/null +++ b/Libs/Common_test/hds/_debouncer_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom debouncer_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Common_test/hds/_debouncer_tester._epf b/Libs/Common_test/hds/_debouncer_tester._epf new file mode 100644 index 0000000..967ba8a --- /dev/null +++ b/Libs/Common_test/hds/_debouncer_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom debouncer_tester_test.vhd +DEFAULT_ARCHITECTURE atom test diff --git a/Libs/Common_test/hds/_debouncerulogicvector_tb._epf b/Libs/Common_test/hds/_debouncerulogicvector_tb._epf new file mode 100644 index 0000000..2101ec7 --- /dev/null +++ b/Libs/Common_test/hds/_debouncerulogicvector_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom debouncer@u@logic@vector_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Common_test/hds/_debouncerulogicvector_tester._epf b/Libs/Common_test/hds/_debouncerulogicvector_tester._epf new file mode 100644 index 0000000..89828c8 --- /dev/null +++ b/Libs/Common_test/hds/_debouncerulogicvector_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom debouncerULogicVector_tester_RTL.vhd diff --git a/Libs/Common_test/hds/_rotarytounsigned_tb._epf b/Libs/Common_test/hds/_rotarytounsigned_tb._epf new file mode 100755 index 0000000..2036a2a --- /dev/null +++ b/Libs/Common_test/hds/_rotarytounsigned_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom rotary@to@unsigned_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Common_test/hds/_rotarytounsigned_tester._epf b/Libs/Common_test/hds/_rotarytounsigned_tester._epf new file mode 100755 index 0000000..f758d03 --- /dev/null +++ b/Libs/Common_test/hds/_rotarytounsigned_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom rotaryToUnsigned_tester_test.vhd diff --git a/Libs/Common_test/hds/_spikefilter_tb._epf b/Libs/Common_test/hds/_spikefilter_tb._epf new file mode 100644 index 0000000..5050211 --- /dev/null +++ b/Libs/Common_test/hds/_spikefilter_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom spike@filter_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Common_test/hds/_testutils_tb._epf b/Libs/Common_test/hds/_testutils_tb._epf new file mode 100644 index 0000000..40137e1 --- /dev/null +++ b/Libs/Common_test/hds/_testutils_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom testUtils_tb_test.vhd +DEFAULT_ARCHITECTURE atom test +TOP_MARKER atom 1 diff --git a/Libs/Common_test/hds/_toggler_tb._epf b/Libs/Common_test/hds/_toggler_tb._epf new file mode 100644 index 0000000..94877cb --- /dev/null +++ b/Libs/Common_test/hds/_toggler_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom toggler_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Common_test/hds/_toggler_tester._epf b/Libs/Common_test/hds/_toggler_tester._epf new file mode 100644 index 0000000..17d8815 --- /dev/null +++ b/Libs/Common_test/hds/_toggler_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom toggler_tester_test.vhd +DEFAULT_ARCHITECTURE atom test diff --git a/Libs/Common_test/hds/clock@generator/symbol.sb b/Libs/Common_test/hds/clock@generator/symbol.sb new file mode 100644 index 0000000..6c2577f --- /dev/null +++ b/Libs/Common_test/hds/clock@generator/symbol.sb @@ -0,0 +1,1499 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "AhbLite" +unitName "ahbLite" +) +] +libraryRefs [ +"ieee" +"AhbLite" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2074,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 320,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_uLogic" +o 1 +suid 2063,0 +) +) +uid 2546,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_uLogic" +o 2 +suid 2064,0 +) +) +uid 2548,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 333,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 254,0 +optionalChildren [ +*18 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 257,0 +) +*19 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 259,0 +) +*20 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 261,0 +) +*21 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 2547,0 +) +*22 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 2549,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 255,0 +optionalChildren [ +*23 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 263,0 +) +*24 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 267,0 +) +*25 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 269,0 +) +*26 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 271,0 +) +*27 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 273,0 +) +*28 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 275,0 +) +*29 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 277,0 +) +*30 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 279,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 253,0 +vaOverrides [ +] +) +] +) +uid 319,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 335,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "100.0E6" +) +uid 3031,0 +) +] +) +pdm (PhysicalDM +uid 336,0 +optionalChildren [ +*44 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *45 (MRCItem +litem &31 +pos 1 +dimension 20 +) +uid 293,0 +optionalChildren [ +*46 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 296,0 +) +*47 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 298,0 +) +*48 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 300,0 +) +*49 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 3032,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 294,0 +optionalChildren [ +*50 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 302,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 306,0 +) +*52 (MRCItem +litem &38 +pos 2 +dimension 199 +uid 308,0 +) +*53 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 310,0 +) +*54 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 312,0 +) +*55 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 314,0 +) +*56 (MRCItem +litem &42 +pos 6 +dimension 309 +uid 316,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 292,0 +vaOverrides [ +] +) +] +) +uid 334,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/clock@generator/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/clock@generator/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/clock@generator" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/clockGenerator" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "clockGenerator" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:51" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../NanoBlaze/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "clockGenerator" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/clock@generator/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/clockGenerator/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HDS_LIBS_DIR\\NanoBlaze\\hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:51" +) +(vvPair +variable "unit" +value "clockGenerator" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*57 (SymbolBody +uid 8,0 +optionalChildren [ +*58 (CptPort +uid 2514,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3056,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42000,19625,42750,20375" +) +tg (CPTG +uid 2516,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2517,0 +va (VaSet +font "courier,12,0" +) +xt "37200,19300,41000,20700" +st "clock" +ju 2 +blo "41000,20500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2518,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11400,16500,12300" +st "clock : OUT std_uLogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_uLogic" +o 1 +suid 2063,0 +) +) +) +*59 (CptPort +uid 2519,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3057,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42000,21625,42750,22375" +) +tg (CPTG +uid 2521,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2522,0 +va (VaSet +font "courier,12,0" +) +xt "36900,21300,41000,22700" +st "reset" +ju 2 +blo "41000,22500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2523,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12300,15500,13200" +st "reset : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_uLogic" +o 2 +suid 2064,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "26000,18000,42000,24000" +) +oxt "15000,6000,47000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "26100,23700,33300,24700" +st "Common_test" +blo "26100,24500" +) +second (Text +uid 12,0 +va (VaSet +) +xt "26100,24700,35100,25700" +st "clockGenerator" +blo "26100,25500" +) +) +gi *60 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "26000,28000,41000,30700" +st "Generic Declarations + +clockFrequency real 100.0E6 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "100.0E6" +) +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*61 (Grouping +uid 136,0 +optionalChildren [ +*62 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 140,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 143,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*64 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 146,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*65 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 149,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*66 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 152,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*67 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 155,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*68 (CommentText +uid 156,0 +shape (Rectangle +uid 157,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 158,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*69 (CommentText +uid 159,0 +shape (Rectangle +uid 160,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 161,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*70 (CommentText +uid 162,0 +shape (Rectangle +uid 163,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 164,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*71 (CommentText +uid 165,0 +shape (Rectangle +uid 166,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 167,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,53000,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 137,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +*72 (CommentGraphic +uid 2462,0 +shape (PolyLine2D +pts [ +"26000,25000" +"42000,25000" +] +uid 2463,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "26000,25000,42000,25000" +) +oxt "47000,44000,63000,44000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *73 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*74 (Text +uid 103,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*75 (MLText +uid 104,0 +va (VaSet +) +xt "0,1000,18600,6000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all;" +tm "PackageList" +) +] +) +windowSize "117,42,1426,895" +viewArea "-1077,-1077,74593,50226" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +windowsPaperType 9 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "750,1000,4250,2200" +st "Panel0" +blo "750,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,47000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "29100,14700,32600,15700" +st "" +blo "29100,15500" +) +second (Text +va (VaSet +) +xt "29100,15700,31700,16700" +st "" +blo "29100,16500" +) +) +gi *76 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *77 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9400,5400,10400" +st "Declarations" +blo "0,10200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10400,2700,11400" +st "Ports:" +blo "0,11200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,13200,2500,14100" +st "User:" +blo "0,13900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9400,5800,10400" +st "Internal User:" +blo "0,10200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14100,2000,14100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9400,0,9400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 3057,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/Common_test/hds/common@lib_tb/symbol.sb b/Libs/Common_test/hds/common@lib_tb/symbol.sb new file mode 100644 index 0000000..83a224d --- /dev/null +++ b/Libs/Common_test/hds/common@lib_tb/symbol.sb @@ -0,0 +1,1276 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 68,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 97,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 98,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 101,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 102,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 103,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 104,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 105,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 106,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/common@lib_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/common@lib_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/common@lib_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/commonLib_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "commonLib_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:51" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "commonLib_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/common@lib_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/commonLib_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modeltech_6.3g\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "c:\\eda\\modeltech_6.2c\\win32" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:51" +) +(vvPair +variable "unit" +value "commonLib_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15000,28200,15900" +st "Common_test" +blo "22200,15700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15900,28700,16800" +st "commonLib_tb" +blo "22200,16600" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,62600,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,2000,44500,2900" +st "User:" +blo "42000,2700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,44000,2900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 107,0 +activeModelName "Symbol:CDM" +) diff --git a/Libs/Common_test/hds/debouncer@u@logic@vector_tb/struct.bd b/Libs/Common_test/hds/debouncer@u@logic@vector_tb/struct.bd new file mode 100644 index 0000000..6cd630a --- /dev/null +++ b/Libs/Common_test/hds/debouncer@u@logic@vector_tb/struct.bd @@ -0,0 +1,2771 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_tb" +duLibraryName "Common_test" +duName "debouncerULogicVector_tester" +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "inputBitNb" +) +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +] +mwi 0 +uid 377,0 +) +(Instance +name "I_filt" +duLibraryName "Common" +duName "debouncerULogicVector" +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "inputBitNb" +) +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +(GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +] +mwi 0 +uid 6296,0 +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncerULogicVector_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "debouncerULogicVector_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:51" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../VerifThin_TB/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "debouncerULogicVector_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncerULogicVector_tb/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "task_perl" +value "c:\\eda\\hds2007.1a\\resources\\perl\\bin\\perl.exe" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:43:51" +) +(vvPair +variable "unit" +value "debouncerULogicVector_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 52,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-1000,53000,0" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-1000,52400,0" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,-5000,57000,-4000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,-5000,56800,-4000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-3000,53000,-2000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-3000,52400,-2000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-3000,36000,-2000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-3000,35800,-2000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,-4000,73000,0" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,-3800,66400,-2800" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,-5000,73000,-4000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,-5000,59000,-4000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-5000,53000,-3000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,-4500,47000,-3500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-2000,36000,-1000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-2000,35200,-1000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-1000,36000,0" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-1000,35800,0" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-2000,53000,-1000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-2000,46400,-1000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,-5000,73000,0" +) +oxt "14000,66000,55000,71000" +) +*12 (Blk +uid 377,0 +shape (Rectangle +uid 378,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "19000,-19000,63000,-11000" +) +oxt "64000,30000,150000,40000" +ttg (MlTextGroup +uid 379,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 380,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-10500,25250,-9500" +st "Common_test" +blo "19150,-9700" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 381,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-9500,31950,-8500" +st "debouncerULogicVector_tester" +blo "19150,-8700" +tm "BlkNameMgr" +) +*15 (Text +uid 382,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-8500,20950,-7500" +st "I_tb" +blo "19150,-7700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 383,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 384,0 +text (MLText +uid 385,0 +va (VaSet +font "courier,8,0" +) +xt "19000,-7600,42000,-5800" +st "inputBitNb = inputBitNb ( positive ) +counterBitNb = counterBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "inputBitNb" +) +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +] +) +viewicon (ZoomableIcon +uid 386,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "19250,-12750,20750,-11250" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*16 (Net +uid 6090,0 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 100,0 +) +declText (MLText +uid 6091,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-35700,17000,-34800" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 6098,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 101,0 +) +declText (MLText +uid 6099,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-38400,17000,-37500" +st "SIGNAL clock : std_ulogic" +) +) +*18 (Net +uid 6106,0 +decl (Decl +n "input" +t "std_ulogic_vector" +b "(1 TO inputBitNb)" +o 3 +suid 102,0 +) +declText (MLText +uid 6107,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-36600,29000,-35700" +st "SIGNAL input : std_ulogic_vector(1 TO inputBitNb)" +) +) +*19 (Net +uid 6114,0 +decl (Decl +n "debounced" +t "std_ulogic_vector" +b "(1 TO inputBitNb)" +o 2 +suid 103,0 +) +declText (MLText +uid 6115,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-37500,29000,-36600" +st "SIGNAL debounced : std_ulogic_vector(1 TO inputBitNb)" +) +) +*20 (SaComponent +uid 6296,0 +optionalChildren [ +*21 (CptPort +uid 6280,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6281,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-31375,35000,-30625" +) +tg (CPTG +uid 6282,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6283,0 +va (VaSet +) +xt "36000,-31500,38100,-30500" +st "clock" +blo "36000,-30700" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*22 (CptPort +uid 6284,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6285,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-35375,35000,-34625" +) +tg (CPTG +uid 6286,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6287,0 +va (VaSet +) +xt "36000,-35500,38000,-34500" +st "input" +blo "36000,-34700" +) +) +thePort (LogicalPort +decl (Decl +n "input" +t "std_ulogic_vector" +b "(1 to inputBitNb)" +o 2 +suid 2,0 +) +) +) +*23 (CptPort +uid 6288,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6289,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-29375,35000,-28625" +) +tg (CPTG +uid 6290,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6291,0 +va (VaSet +) +xt "36000,-29500,38100,-28500" +st "reset" +blo "36000,-28700" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*24 (CptPort +uid 6292,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6293,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,-35375,51750,-34625" +) +tg (CPTG +uid 6294,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6295,0 +va (VaSet +) +xt "46000,-35500,50000,-34500" +st "debounced" +ju 2 +blo "50000,-34700" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "debounced" +t "std_ulogic_vector" +b "(1 to inputBitNb)" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 6297,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,-39000,51000,-27000" +) +oxt "40000,9000,56000,21000" +ttg (MlTextGroup +uid 6298,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*25 (Text +uid 6299,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-27000,39100,-26000" +st "Common" +blo "35200,-26200" +tm "BdLibraryNameMgr" +) +*26 (Text +uid 6300,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-26000,45500,-25000" +st "debouncerULogicVector" +blo "35200,-25200" +tm "CptNameMgr" +) +*27 (Text +uid 6301,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-25000,37200,-24000" +st "I_filt" +blo "35200,-24200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 6302,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 6303,0 +text (MLText +uid 6304,0 +va (VaSet +font "courier,8,0" +) +xt "35000,-24200,59200,-21500" +st "inputBitNb = inputBitNb ( positive ) +counterBitNb = counterBitNb ( positive ) +invertInput = '0' ( std_ulogic ) " +) +header "" +) +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "inputBitNb" +) +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +(GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +] +) +viewicon (ZoomableIcon +uid 6305,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "35250,-28750,36750,-27250" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*28 (Wire +uid 6092,0 +shape (OrthoPolyLine +uid 6093,0 +va (VaSet +vasetType 3 +) +xt "33000,-29000,34250,-19000" +pts [ +"34250,-29000" +"33000,-29000" +"33000,-19000" +] +) +start &23 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6096,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6097,0 +va (VaSet +) +xt "31250,-30000,34250,-29000" +st "reset" +blo "31250,-29200" +tm "WireNameMgr" +) +) +on &16 +) +*29 (Wire +uid 6100,0 +shape (OrthoPolyLine +uid 6101,0 +va (VaSet +vasetType 3 +) +xt "31000,-31000,34250,-19000" +pts [ +"34250,-31000" +"31000,-31000" +"31000,-19000" +] +) +start &21 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6104,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6105,0 +va (VaSet +) +xt "31250,-32000,34250,-31000" +st "clock" +blo "31250,-31200" +tm "WireNameMgr" +) +) +on &17 +) +*30 (Wire +uid 6108,0 +shape (OrthoPolyLine +uid 6109,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "27000,-35000,34250,-19000" +pts [ +"34250,-35000" +"27000,-35000" +"27000,-19000" +] +) +start &22 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +tg (WTG +uid 6112,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6113,0 +va (VaSet +) +xt "31250,-36000,33250,-35000" +st "input" +blo "31250,-35200" +tm "WireNameMgr" +) +) +on &18 +) +*31 (Wire +uid 6116,0 +shape (OrthoPolyLine +uid 6117,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "51750,-35000,55000,-19000" +pts [ +"51750,-35000" +"55000,-35000" +"55000,-19000" +] +) +start &24 +end &12 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +tg (WTG +uid 6120,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6121,0 +va (VaSet +) +xt "53750,-36000,59150,-35000" +st "debounced" +blo "53750,-35200" +tm "WireNameMgr" +) +) +on &19 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *32 (PackageList +uid 41,0 +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 42,0 +va (VaSet +font "courier,8,1" +) +xt "0,-49000,5400,-48000" +st "Package List" +blo "0,-48200" +) +*34 (MLText +uid 43,0 +va (VaSet +) +xt "0,-48000,18600,-45000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 44,0 +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 45,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*36 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*37 (MLText +uid 47,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32000,4000" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*38 (Text +uid 48,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*39 (MLText +uid 49,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*40 (Text +uid 50,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*41 (MLText +uid 51,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "100,37,1441,900" +viewArea "-1094,-50068,78847,1302" +cachedDiagramExtent "0,-49000,73000,6000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +windowsPaperType 9 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,-49000" +lastUid 6367,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,3500,5800,4500" +st "" +blo "2200,4300" +tm "BdLibraryNameMgr" +) +*43 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,4500,5600,5500" +st "" +blo "2200,5300" +tm "BlkNameMgr" +) +*44 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,5500,3200,6500" +st "I0" +blo "2200,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "2200,13500,2200,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +va (VaSet +font "courier,8,1" +) +xt "550,3500,3450,4500" +st "Library" +blo "550,4300" +) +*46 (Text +va (VaSet +font "courier,8,1" +) +xt "550,4500,7450,5500" +st "MWComponent" +blo "550,5300" +) +*47 (Text +va (VaSet +font "courier,8,1" +) +xt "550,5500,1550,6500" +st "I0" +blo "550,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6450,1500,-6450,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +va (VaSet +font "courier,8,1" +) +xt "900,3500,3800,4500" +st "Library" +blo "900,4300" +tm "BdLibraryNameMgr" +) +*49 (Text +va (VaSet +font "courier,8,1" +) +xt "900,4500,7100,5500" +st "SaComponent" +blo "900,5300" +tm "CptNameMgr" +) +*50 (Text +va (VaSet +font "courier,8,1" +) +xt "900,5500,1900,6500" +st "I0" +blo "900,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6100,1500,-6100,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3500,3400,4500" +st "Library" +blo "500,4300" +) +*52 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4500,7500,5500" +st "VhdlComponent" +blo "500,5300" +) +*53 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5500,1500,6500" +st "I0" +blo "500,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1500,-6500,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-450,0,8450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +font "courier,8,1" +) +xt "50,3500,2950,4500" +st "Library" +blo "50,4300" +) +*55 (Text +va (VaSet +font "courier,8,1" +) +xt "50,4500,7950,5500" +st "VerilogComponent" +blo "50,5300" +) +*56 (Text +va (VaSet +font "courier,8,1" +) +xt "50,5500,1050,6500" +st "I0" +blo "50,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6950,1500,-6950,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,4000,4850,5000" +st "eb1" +blo "3150,4800" +tm "HdlTextNameMgr" +) +*58 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,5000,3950,6000" +st "1" +blo "3150,5800" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,2600,1200" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-500,-500,500,500" +st "G" +blo "-500,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,1900,1000" +st "sig0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2400,1000" +st "dbus0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,3000,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1200,2000" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,17400,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*60 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,10800,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*62 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,-44200,5400,-43200" +st "Declarations" +blo "0,-43400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,-43200,2700,-42200" +st "Ports:" +blo "0,-42400" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,-42200,3800,-41200" +st "Pre User:" +blo "0,-41400" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-41200,21500,-39400" +st "constant inputBitNb : positive := 3; +constant counterBitNb : positive := 4;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "0,-39400,7100,-38400" +st "Diagram Signals:" +blo "0,-38600" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,-44200,4700,-43200" +st "Post User:" +blo "0,-43400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,-44200,0,-44200" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 103,0 +usingSuid 1 +emptyRow *63 (LEmptyRow +) +uid 54,0 +optionalChildren [ +*64 (RefLabelRowHdr +) +*65 (TitleRowHdr +) +*66 (FilterRowHdr +) +*67 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*68 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*69 (GroupColHdr +tm "GroupColHdrMgr" +) +*70 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*71 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*72 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*73 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*74 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*75 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*76 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 100,0 +) +) +uid 6122,0 +) +*77 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 101,0 +) +) +uid 6124,0 +) +*78 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "input" +t "std_ulogic_vector" +b "(1 TO inputBitNb)" +o 3 +suid 102,0 +) +) +uid 6126,0 +) +*79 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "debounced" +t "std_ulogic_vector" +b "(1 TO inputBitNb)" +o 2 +suid 103,0 +) +) +uid 6128,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 67,0 +optionalChildren [ +*80 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *81 (MRCItem +litem &63 +pos 4 +dimension 20 +) +uid 69,0 +optionalChildren [ +*82 (MRCItem +litem &64 +pos 0 +dimension 20 +uid 70,0 +) +*83 (MRCItem +litem &65 +pos 1 +dimension 23 +uid 71,0 +) +*84 (MRCItem +litem &66 +pos 2 +hidden 1 +dimension 20 +uid 72,0 +) +*85 (MRCItem +litem &76 +pos 0 +dimension 20 +uid 6123,0 +) +*86 (MRCItem +litem &77 +pos 1 +dimension 20 +uid 6125,0 +) +*87 (MRCItem +litem &78 +pos 2 +dimension 20 +uid 6127,0 +) +*88 (MRCItem +litem &79 +pos 3 +dimension 20 +uid 6129,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*89 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 74,0 +) +*90 (MRCItem +litem &69 +pos 1 +dimension 50 +uid 75,0 +) +*91 (MRCItem +litem &70 +pos 2 +dimension 100 +uid 76,0 +) +*92 (MRCItem +litem &71 +pos 3 +dimension 50 +uid 77,0 +) +*93 (MRCItem +litem &72 +pos 4 +dimension 100 +uid 78,0 +) +*94 (MRCItem +litem &73 +pos 5 +dimension 100 +uid 79,0 +) +*95 (MRCItem +litem &74 +pos 6 +dimension 50 +uid 80,0 +) +*96 (MRCItem +litem &75 +pos 7 +dimension 80 +uid 81,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 68,0 +vaOverrides [ +] +) +] +) +uid 53,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *97 (LEmptyRow +) +uid 83,0 +optionalChildren [ +*98 (RefLabelRowHdr +) +*99 (TitleRowHdr +) +*100 (FilterRowHdr +) +*101 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*102 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*103 (GroupColHdr +tm "GroupColHdrMgr" +) +*104 (NameColHdr +tm "GenericNameColHdrMgr" +) +*105 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*106 (InitColHdr +tm "GenericValueColHdrMgr" +) +*107 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*108 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 95,0 +optionalChildren [ +*109 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *110 (MRCItem +litem &97 +pos 0 +dimension 20 +) +uid 97,0 +optionalChildren [ +*111 (MRCItem +litem &98 +pos 0 +dimension 20 +uid 98,0 +) +*112 (MRCItem +litem &99 +pos 1 +dimension 23 +uid 99,0 +) +*113 (MRCItem +litem &100 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*114 (MRCItem +litem &101 +pos 0 +dimension 20 +uid 102,0 +) +*115 (MRCItem +litem &103 +pos 1 +dimension 50 +uid 103,0 +) +*116 (MRCItem +litem &104 +pos 2 +dimension 100 +uid 104,0 +) +*117 (MRCItem +litem &105 +pos 3 +dimension 100 +uid 105,0 +) +*118 (MRCItem +litem &106 +pos 4 +dimension 50 +uid 106,0 +) +*119 (MRCItem +litem &107 +pos 5 +dimension 50 +uid 107,0 +) +*120 (MRCItem +litem &108 +pos 6 +dimension 80 +uid 108,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 96,0 +vaOverrides [ +] +) +] +) +uid 82,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Common_test/hds/debouncer@u@logic@vector_tb/symbol.sb b/Libs/Common_test/hds/debouncer@u@logic@vector_tb/symbol.sb new file mode 100644 index 0000000..7ee2216 --- /dev/null +++ b/Libs/Common_test/hds/debouncer@u@logic@vector_tb/symbol.sb @@ -0,0 +1,1278 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 68,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 97,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 98,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 101,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 102,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 103,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 104,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 105,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 106,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncerULogicVector_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "debouncerULogicVector_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:50" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "debouncerULogicVector_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncerULogicVector_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modeltech_6.3g\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "c:\\eda\\modeltech_6.2c\\win32" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:50" +) +(vvPair +variable "unit" +value "debouncerULogicVector_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15000,28200,15900" +st "Common_test" +blo "22200,15700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15900,34700,16800" +st "debouncerULogicVector_tb" +blo "22200,16600" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,62600,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,2000,44500,2900" +st "User:" +blo "42000,2700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,44000,2900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 107,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol:CDM" +) diff --git a/Libs/Common_test/hds/debouncer@u@logic@vector_tester/interface b/Libs/Common_test/hds/debouncer@u@logic@vector_tester/interface new file mode 100644 index 0000000..5fdf9b1 --- /dev/null +++ b/Libs/Common_test/hds/debouncer@u@logic@vector_tester/interface @@ -0,0 +1,1252 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 28,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 49,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 25,0 +) +) +uid 475,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "debounced" +t "std_ulogic_vector" +b "(1 TO inputBitNb)" +o 2 +suid 26,0 +) +) +uid 477,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "input" +t "std_ulogic_vector" +b "(1 TO inputBitNb)" +o 3 +suid 27,0 +) +) +uid 479,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 28,0 +) +) +uid 481,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 62,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 64,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 65,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 66,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 67,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 476,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 478,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 480,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 482,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 68,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 69,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 70,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 71,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 73,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 74,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 75,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 76,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 63,0 +vaOverrides [ +] +) +] +) +uid 48,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 78,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "inputBitNb" +type "positive" +value "" +) +uid 229,0 +) +*48 (LogGeneric +generic (GiElement +name "counterBitNb" +type "positive" +value "" +) +uid 305,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 90,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *50 (MRCItem +litem &35 +pos 2 +dimension 20 +) +uid 92,0 +optionalChildren [ +*51 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 93,0 +) +*52 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 94,0 +) +*53 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 95,0 +) +*54 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 230,0 +) +*55 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 306,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 96,0 +optionalChildren [ +*56 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 97,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 98,0 +) +*58 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 99,0 +) +*59 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 100,0 +) +*60 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 101,0 +) +*61 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 102,0 +) +*62 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 103,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 91,0 +vaOverrides [ +] +) +] +) +uid 77,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tester/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tester/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tester" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncerULogicVector_tester" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "debouncerULogicVector_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:51" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "debouncerULogicVector_tester" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tester/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncerULogicVector_tester/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:43:51" +) +(vvPair +variable "unit" +value "debouncerULogicVector_tester" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 47,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 455,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 456,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 457,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 458,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "26550,7000,27450,9500" +st "clock" +ju 2 +blo "27250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 459,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3300,60500,4200" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 25,0 +) +) +) +*65 (CptPort +uid 460,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 461,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50625,5250,51375,6000" +) +tg (CPTG +uid 462,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 463,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "50550,7000,51450,11500" +st "debounced" +ju 2 +blo "51250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 464,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2400,72500,3300" +st "debounced : IN std_ulogic_vector (1 TO inputBitNb) ; +" +) +thePort (LogicalPort +decl (Decl +n "debounced" +t "std_ulogic_vector" +b "(1 TO inputBitNb)" +o 2 +suid 26,0 +) +) +) +*66 (CptPort +uid 465,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 466,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 467,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 468,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "22550,7000,23450,9500" +st "input" +ju 2 +blo "23250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 469,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4200,72500,5100" +st "input : OUT std_ulogic_vector (1 TO inputBitNb) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "input" +t "std_ulogic_vector" +b "(1 TO inputBitNb)" +o 3 +suid 27,0 +) +) +) +*67 (CptPort +uid 470,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 471,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 472,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 473,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "28550,7000,29450,9500" +st "reset" +ju 2 +blo "29250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 474,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5100,59500,6000" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 28,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,59000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "29750,9100,35750,10000" +st "Common_test" +blo "29750,9800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "29750,10000,44250,10900" +st "debouncerULogicVector_tester" +blo "29750,10700" +) +) +gi *68 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,9,0" +) +xt "14000,6000,26500,9600" +st "Generic Declarations + +inputBitNb positive +counterBitNb positive " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "" +) +(GiElement +name "counterBitNb" +type "positive" +value "" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *69 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*70 (Text +uid 17,0 +va (VaSet +font "courier,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*71 (MLText +uid 18,0 +va (VaSet +font "courier,9,0" +) +xt "0,1200,15500,3900" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "72,45,1089,735" +viewArea "-500,-500,71320,48820" +cachedDiagramExtent "0,0,74000,14000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Common_test" +entityName "debouncerULogicVector_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,31000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "20300,14800,25700,16000" +st "" +blo "20300,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "20300,16000,24200,17200" +st "" +blo "20300,17000" +) +) +gi *72 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,9,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *73 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,9,1" +) +xt "42000,6000,44500,6900" +st "User:" +blo "42000,6700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,9,0" +) +xt "44000,6900,44000,6900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 482,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Common_test/hds/debouncer_tb/struct.bd b/Libs/Common_test/hds/debouncer_tb/struct.bd new file mode 100644 index 0000000..6bf6f9f --- /dev/null +++ b/Libs/Common_test/hds/debouncer_tb/struct.bd @@ -0,0 +1,2714 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_tb" +duLibraryName "Common_test" +duName "debouncer_tester" +elements [ +] +mwi 0 +uid 377,0 +) +(Instance +name "I_filt" +duLibraryName "Common" +duName "debouncer" +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +] +mwi 0 +uid 6208,0 +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "debouncer_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:51" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../VerifThin_TB/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "debouncer_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "task_perl" +value "c:\\eda\\hds2007.1a\\resources\\perl\\bin\\perl.exe" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:43:51" +) +(vvPair +variable "unit" +value "debouncer_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 52,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-1000,53000,0" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-1000,52400,0" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,-5000,57000,-4000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,-5000,56800,-4000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-3000,53000,-2000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-3000,52400,-2000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-3000,36000,-2000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-3000,35800,-2000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,-4000,73000,0" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,-3800,66400,-2800" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,-5000,73000,-4000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,-5000,59000,-4000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-5000,53000,-3000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,-4500,47000,-3500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-2000,36000,-1000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-2000,35200,-1000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-1000,36000,0" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-1000,35800,0" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-2000,53000,-1000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-2000,51800,-1000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,-5000,73000,0" +) +oxt "14000,66000,55000,71000" +) +*12 (Blk +uid 377,0 +shape (Rectangle +uid 378,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "19000,-19000,63000,-11000" +) +oxt "64000,30000,150000,40000" +ttg (MlTextGroup +uid 379,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 380,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-10500,25250,-9500" +st "Common_test" +blo "19150,-9700" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 381,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-9500,26450,-8500" +st "debouncer_tester" +blo "19150,-8700" +tm "BlkNameMgr" +) +*15 (Text +uid 382,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-8500,20950,-7500" +st "I_tb" +blo "19150,-7700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 383,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 384,0 +text (MLText +uid 385,0 +va (VaSet +font "courier,8,0" +) +xt "19000,-7600,42500,-6000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 386,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "19250,-12750,20750,-11250" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*16 (Net +uid 6090,0 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 100,0 +) +declText (MLText +uid 6091,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-36600,17000,-35700" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 6098,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 101,0 +) +declText (MLText +uid 6099,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-39300,17000,-38400" +st "SIGNAL clock : std_ulogic" +) +) +*18 (Net +uid 6106,0 +decl (Decl +n "input" +t "std_ulogic" +o 3 +suid 102,0 +) +declText (MLText +uid 6107,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-37500,17000,-36600" +st "SIGNAL input : std_ulogic" +) +) +*19 (Net +uid 6114,0 +decl (Decl +n "debounced" +t "std_ulogic" +o 2 +suid 103,0 +) +declText (MLText +uid 6115,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-38400,17000,-37500" +st "SIGNAL debounced : std_ulogic" +) +) +*20 (SaComponent +uid 6208,0 +optionalChildren [ +*21 (CptPort +uid 6192,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6193,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-31375,35000,-30625" +) +tg (CPTG +uid 6194,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6195,0 +va (VaSet +) +xt "36000,-31500,38100,-30500" +st "clock" +blo "36000,-30700" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*22 (CptPort +uid 6196,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6197,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-35375,35000,-34625" +) +tg (CPTG +uid 6198,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6199,0 +va (VaSet +) +xt "36000,-35500,38000,-34500" +st "input" +blo "36000,-34700" +) +) +thePort (LogicalPort +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*23 (CptPort +uid 6200,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6201,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-29375,35000,-28625" +) +tg (CPTG +uid 6202,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6203,0 +va (VaSet +) +xt "36000,-29500,38100,-28500" +st "reset" +blo "36000,-28700" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*24 (CptPort +uid 6204,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6205,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,-35375,51750,-34625" +) +tg (CPTG +uid 6206,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6207,0 +va (VaSet +) +xt "46000,-35500,50000,-34500" +st "debounced" +ju 2 +blo "50000,-34700" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "debounced" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 6209,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,-39000,51000,-27000" +) +oxt "40000,9000,56000,21000" +ttg (MlTextGroup +uid 6210,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*25 (Text +uid 6211,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-27000,39100,-26000" +st "Common" +blo "35200,-26200" +tm "BdLibraryNameMgr" +) +*26 (Text +uid 6212,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-26000,39600,-25000" +st "debouncer" +blo "35200,-25200" +tm "CptNameMgr" +) +*27 (Text +uid 6213,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-25000,37200,-24000" +st "I_filt" +blo "35200,-24200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 6214,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 6215,0 +text (MLText +uid 6216,0 +va (VaSet +font "courier,8,0" +) +xt "35000,-24200,58000,-23300" +st "counterBitNb = counterBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +] +) +viewicon (ZoomableIcon +uid 6217,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "35250,-28750,36750,-27250" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sIVOD 1 +) +archFileType "UNKNOWN" +) +*28 (Wire +uid 6092,0 +shape (OrthoPolyLine +uid 6093,0 +va (VaSet +vasetType 3 +) +xt "33000,-29000,34250,-19000" +pts [ +"34250,-29000" +"33000,-29000" +"33000,-19000" +] +) +start &23 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6096,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6097,0 +va (VaSet +) +xt "31250,-30000,34250,-29000" +st "reset" +blo "31250,-29200" +tm "WireNameMgr" +) +) +on &16 +) +*29 (Wire +uid 6100,0 +shape (OrthoPolyLine +uid 6101,0 +va (VaSet +vasetType 3 +) +xt "31000,-31000,34250,-19000" +pts [ +"34250,-31000" +"31000,-31000" +"31000,-19000" +] +) +start &21 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6104,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6105,0 +va (VaSet +) +xt "31250,-32000,34250,-31000" +st "clock" +blo "31250,-31200" +tm "WireNameMgr" +) +) +on &17 +) +*30 (Wire +uid 6108,0 +shape (OrthoPolyLine +uid 6109,0 +va (VaSet +vasetType 3 +) +xt "27000,-35000,34250,-19000" +pts [ +"34250,-35000" +"27000,-35000" +"27000,-19000" +] +) +start &22 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6112,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6113,0 +va (VaSet +) +xt "31250,-36000,33250,-35000" +st "input" +blo "31250,-35200" +tm "WireNameMgr" +) +) +on &18 +) +*31 (Wire +uid 6116,0 +shape (OrthoPolyLine +uid 6117,0 +va (VaSet +vasetType 3 +) +xt "51750,-35000,55000,-19000" +pts [ +"51750,-35000" +"55000,-35000" +"55000,-19000" +] +) +start &24 +end &12 +sat 32 +eat 1 +st 0 +sf 1 +tg (WTG +uid 6120,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6121,0 +va (VaSet +) +xt "53750,-36000,59150,-35000" +st "debounced" +blo "53750,-35200" +tm "WireNameMgr" +) +) +on &19 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *32 (PackageList +uid 41,0 +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 42,0 +va (VaSet +font "courier,8,1" +) +xt "0,-49000,5400,-48000" +st "Package List" +blo "0,-48200" +) +*34 (MLText +uid 43,0 +va (VaSet +) +xt "0,-48000,18600,-45000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 44,0 +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 45,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*36 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*37 (MLText +uid 47,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32000,4000" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*38 (Text +uid 48,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*39 (MLText +uid 49,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*40 (Text +uid 50,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*41 (MLText +uid 51,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "101,37,1441,900" +viewArea "-1100,-50100,76249,1581" +cachedDiagramExtent "0,-49000,73000,6000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +windowsPaperType 9 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,-49000" +lastUid 6279,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,3500,5800,4500" +st "" +blo "2200,4300" +tm "BdLibraryNameMgr" +) +*43 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,4500,5600,5500" +st "" +blo "2200,5300" +tm "BlkNameMgr" +) +*44 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,5500,3200,6500" +st "I0" +blo "2200,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "2200,13500,2200,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +va (VaSet +font "courier,8,1" +) +xt "550,3500,3450,4500" +st "Library" +blo "550,4300" +) +*46 (Text +va (VaSet +font "courier,8,1" +) +xt "550,4500,7450,5500" +st "MWComponent" +blo "550,5300" +) +*47 (Text +va (VaSet +font "courier,8,1" +) +xt "550,5500,1550,6500" +st "I0" +blo "550,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6450,1500,-6450,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +va (VaSet +font "courier,8,1" +) +xt "900,3500,3800,4500" +st "Library" +blo "900,4300" +tm "BdLibraryNameMgr" +) +*49 (Text +va (VaSet +font "courier,8,1" +) +xt "900,4500,7100,5500" +st "SaComponent" +blo "900,5300" +tm "CptNameMgr" +) +*50 (Text +va (VaSet +font "courier,8,1" +) +xt "900,5500,1900,6500" +st "I0" +blo "900,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6100,1500,-6100,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3500,3400,4500" +st "Library" +blo "500,4300" +) +*52 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4500,7500,5500" +st "VhdlComponent" +blo "500,5300" +) +*53 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5500,1500,6500" +st "I0" +blo "500,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1500,-6500,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-450,0,8450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +font "courier,8,1" +) +xt "50,3500,2950,4500" +st "Library" +blo "50,4300" +) +*55 (Text +va (VaSet +font "courier,8,1" +) +xt "50,4500,7950,5500" +st "VerilogComponent" +blo "50,5300" +) +*56 (Text +va (VaSet +font "courier,8,1" +) +xt "50,5500,1050,6500" +st "I0" +blo "50,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6950,1500,-6950,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,4000,4850,5000" +st "eb1" +blo "3150,4800" +tm "HdlTextNameMgr" +) +*58 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,5000,3950,6000" +st "1" +blo "3150,5800" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,2600,1200" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-500,-500,500,500" +st "G" +blo "-500,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,1900,1000" +st "sig0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2400,1000" +st "dbus0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,3000,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1200,2000" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,17400,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*60 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,10800,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*62 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,-44200,5400,-43200" +st "Declarations" +blo "0,-43400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,-43200,2700,-42200" +st "Ports:" +blo "0,-42400" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,-42200,3800,-41200" +st "Pre User:" +blo "0,-41400" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-41200,21500,-40300" +st "constant counterBitNb : positive := 4;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "0,-40300,7100,-39300" +st "Diagram Signals:" +blo "0,-39500" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,-44200,4700,-43200" +st "Post User:" +blo "0,-43400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,-44200,0,-44200" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 103,0 +usingSuid 1 +emptyRow *63 (LEmptyRow +) +uid 54,0 +optionalChildren [ +*64 (RefLabelRowHdr +) +*65 (TitleRowHdr +) +*66 (FilterRowHdr +) +*67 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*68 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*69 (GroupColHdr +tm "GroupColHdrMgr" +) +*70 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*71 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*72 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*73 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*74 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*75 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*76 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 100,0 +) +) +uid 6122,0 +) +*77 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 101,0 +) +) +uid 6124,0 +) +*78 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "input" +t "std_ulogic" +o 3 +suid 102,0 +) +) +uid 6126,0 +) +*79 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "debounced" +t "std_ulogic" +o 2 +suid 103,0 +) +) +uid 6128,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 67,0 +optionalChildren [ +*80 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *81 (MRCItem +litem &63 +pos 4 +dimension 20 +) +uid 69,0 +optionalChildren [ +*82 (MRCItem +litem &64 +pos 0 +dimension 20 +uid 70,0 +) +*83 (MRCItem +litem &65 +pos 1 +dimension 23 +uid 71,0 +) +*84 (MRCItem +litem &66 +pos 2 +hidden 1 +dimension 20 +uid 72,0 +) +*85 (MRCItem +litem &76 +pos 0 +dimension 20 +uid 6123,0 +) +*86 (MRCItem +litem &77 +pos 1 +dimension 20 +uid 6125,0 +) +*87 (MRCItem +litem &78 +pos 2 +dimension 20 +uid 6127,0 +) +*88 (MRCItem +litem &79 +pos 3 +dimension 20 +uid 6129,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*89 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 74,0 +) +*90 (MRCItem +litem &69 +pos 1 +dimension 50 +uid 75,0 +) +*91 (MRCItem +litem &70 +pos 2 +dimension 100 +uid 76,0 +) +*92 (MRCItem +litem &71 +pos 3 +dimension 50 +uid 77,0 +) +*93 (MRCItem +litem &72 +pos 4 +dimension 100 +uid 78,0 +) +*94 (MRCItem +litem &73 +pos 5 +dimension 100 +uid 79,0 +) +*95 (MRCItem +litem &74 +pos 6 +dimension 50 +uid 80,0 +) +*96 (MRCItem +litem &75 +pos 7 +dimension 80 +uid 81,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 68,0 +vaOverrides [ +] +) +] +) +uid 53,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *97 (LEmptyRow +) +uid 83,0 +optionalChildren [ +*98 (RefLabelRowHdr +) +*99 (TitleRowHdr +) +*100 (FilterRowHdr +) +*101 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*102 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*103 (GroupColHdr +tm "GroupColHdrMgr" +) +*104 (NameColHdr +tm "GenericNameColHdrMgr" +) +*105 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*106 (InitColHdr +tm "GenericValueColHdrMgr" +) +*107 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*108 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 95,0 +optionalChildren [ +*109 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *110 (MRCItem +litem &97 +pos 0 +dimension 20 +) +uid 97,0 +optionalChildren [ +*111 (MRCItem +litem &98 +pos 0 +dimension 20 +uid 98,0 +) +*112 (MRCItem +litem &99 +pos 1 +dimension 23 +uid 99,0 +) +*113 (MRCItem +litem &100 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*114 (MRCItem +litem &101 +pos 0 +dimension 20 +uid 102,0 +) +*115 (MRCItem +litem &103 +pos 1 +dimension 50 +uid 103,0 +) +*116 (MRCItem +litem &104 +pos 2 +dimension 100 +uid 104,0 +) +*117 (MRCItem +litem &105 +pos 3 +dimension 100 +uid 105,0 +) +*118 (MRCItem +litem &106 +pos 4 +dimension 50 +uid 106,0 +) +*119 (MRCItem +litem &107 +pos 5 +dimension 50 +uid 107,0 +) +*120 (MRCItem +litem &108 +pos 6 +dimension 80 +uid 108,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 96,0 +vaOverrides [ +] +) +] +) +uid 82,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Common_test/hds/debouncer_tb/symbol.sb b/Libs/Common_test/hds/debouncer_tb/symbol.sb new file mode 100644 index 0000000..7a17155 --- /dev/null +++ b/Libs/Common_test/hds/debouncer_tb/symbol.sb @@ -0,0 +1,1278 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 68,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 97,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 98,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 101,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 102,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 103,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 104,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 105,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 106,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "debouncer_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:51" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "debouncer_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modeltech_6.3g\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "c:\\eda\\modeltech_6.2c\\win32" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:51" +) +(vvPair +variable "unit" +value "debouncer_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15000,28200,15900" +st "Common_test" +blo "22200,15700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15900,28700,16800" +st "debouncer_tb" +blo "22200,16600" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,62600,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,2000,44500,2900" +st "User:" +blo "42000,2700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,44000,2900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 107,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol:CDM" +) diff --git a/Libs/Common_test/hds/debouncer_tester/interface b/Libs/Common_test/hds/debouncer_tester/interface new file mode 100644 index 0000000..4dd7ca3 --- /dev/null +++ b/Libs/Common_test/hds/debouncer_tester/interface @@ -0,0 +1,1523 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 12,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 81,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 9,0 +) +) +uid 253,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "debounced" +t "std_ulogic" +o 2 +suid 10,0 +) +) +uid 255,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "input" +t "std_ulogic" +o 3 +suid 11,0 +) +) +uid 257,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 12,0 +) +) +uid 259,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 97,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 98,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 254,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 256,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 258,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 260,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 101,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 102,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 103,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 104,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 105,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 106,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 107,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 108,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 80,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*47 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *48 (MRCItem +litem &35 +pos 3 +dimension 20 +) +uid 124,0 +optionalChildren [ +*49 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 125,0 +) +*50 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 126,0 +) +*51 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*52 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 129,0 +) +*53 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 130,0 +) +*54 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 131,0 +) +*55 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 132,0 +) +*56 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 133,0 +) +*57 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 134,0 +) +*58 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 135,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tester/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tester/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tester" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tester" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "debouncer_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:51" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "debouncer_tester" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tester/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tester/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:43:51" +) +(vvPair +variable "unit" +value "debouncer_tester" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 233,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 234,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 235,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 236,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "26550,7000,27450,9500" +st "clock" +ju 2 +blo "27250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 237,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,60500,3800" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 9,0 +) +) +) +*61 (CptPort +uid 238,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 239,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50625,5250,51375,6000" +) +tg (CPTG +uid 240,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 241,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "50550,7000,51450,11500" +st "debounced" +ju 2 +blo "51250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 242,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,60500,2900" +st "debounced : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "debounced" +t "std_ulogic" +o 2 +suid 10,0 +) +) +) +*62 (CptPort +uid 243,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 244,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 245,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 246,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "22550,7000,23450,9500" +st "input" +ju 2 +blo "23250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 247,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,60500,4700" +st "input : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "input" +t "std_ulogic" +o 3 +suid 11,0 +) +) +) +*63 (CptPort +uid 248,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 249,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 250,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 251,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "28550,7000,29450,9500" +st "reset" +ju 2 +blo "29250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 252,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,59500,5600" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 12,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,59000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "32750,9100,38750,10000" +st "Common_test" +blo "32750,9800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "32750,10000,41250,10900" +st "debouncer_tester" +blo "32750,10700" +) +) +gi *64 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "13000,6000,23500,6900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*65 (Grouping +uid 16,0 +optionalChildren [ +*66 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *76 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*78 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Common_test" +entityName "debouncer_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *79 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *80 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,5600,44500,6500" +st "User:" +blo "42000,6300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,44000,6500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 260,0 +activeModelName "Symbol:CDM" +) diff --git a/Libs/Common_test/hds/rotary@to@unsigned_tb/struct.bd b/Libs/Common_test/hds/rotary@to@unsigned_tb/struct.bd new file mode 100644 index 0000000..766893e --- /dev/null +++ b/Libs/Common_test/hds/rotary@to@unsigned_tb/struct.bd @@ -0,0 +1,2783 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_tb" +duLibraryName "Common_test" +duName "rotaryToUnsigned_tester" +elements [ +(GiElement +name "rotaryBitNb" +type "positive" +value "rotaryBitNb" +) +(GiElement +name "outputBitNb" +type "positive" +value "outputBitNb" +) +] +mwi 0 +uid 377,0 +) +(Instance +name "I_DUT" +duLibraryName "Common" +duName "rotaryToUnsigned" +elements [ +(GiElement +name "rotaryBitNb" +type "positive" +value "rotaryBitNb" +) +(GiElement +name "outputBitNb" +type "positive" +value "outputBitNb" +) +(GiElement +name "counterBitNb" +type "positive" +value "deglitchCounterBitNb" +) +] +mwi 0 +uid 6518,0 +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotary@to@unsigned_tb/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotary@to@unsigned_tb/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotary@to@unsigned_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotaryToUnsigned_tb" +) +(vvPair +variable "date" +value "11/07/19" +) +(vvPair +variable "day" +value "Thu" +) +(vvPair +variable "day_long" +value "Thursday" +) +(vvPair +variable "dd" +value "07" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "rotaryToUnsigned_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "11/07/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:40:36" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../VerifThin_TB/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/$DESIGN_NAME/Common_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "rotaryToUnsigned_tb" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotary@to@unsigned_tb/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotaryToUnsigned_tb/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "task_perl" +value "c:\\eda\\hds2007.1a\\resources\\perl\\bin\\perl.exe" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:40:36" +) +(vvPair +variable "unit" +value "rotaryToUnsigned_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 52,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-1000,53000,0" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-1000,52400,0" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,-5000,57000,-4000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,-5000,56800,-4000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-3000,53000,-2000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-3000,52400,-2000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-3000,36000,-2000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-3000,35800,-2000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,-4000,73000,0" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,-3800,66400,-2800" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,-5000,73000,-4000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,-5000,59000,-4000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-5000,53000,-3000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,-4500,47000,-3500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-2000,36000,-1000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-2000,35200,-1000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-1000,36000,0" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-1000,35800,0" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-2000,53000,-1000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-2000,46400,-1000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,-5000,73000,0" +) +oxt "14000,66000,55000,71000" +) +*12 (Blk +uid 377,0 +shape (Rectangle +uid 378,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "19000,-19000,63000,-11000" +) +oxt "64000,30000,150000,40000" +ttg (MlTextGroup +uid 379,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 380,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-10500,25150,-9600" +st "Common_test" +blo "19150,-9800" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 381,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-9500,31150,-8600" +st "rotaryToUnsigned_tester" +blo "19150,-8800" +tm "BlkNameMgr" +) +*15 (Text +uid 382,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-8500,20950,-7500" +st "I_tb" +blo "19150,-7700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 383,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 384,0 +text (MLText +uid 385,0 +va (VaSet +font "courier,8,0" +) +xt "19000,-7600,41000,-5800" +st "rotaryBitNb = rotaryBitNb ( positive ) +outputBitNb = outputBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "rotaryBitNb" +type "positive" +value "rotaryBitNb" +) +(GiElement +name "outputBitNb" +type "positive" +value "outputBitNb" +) +] +) +viewicon (ZoomableIcon +uid 386,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "19250,-12750,20750,-11250" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*16 (Net +uid 6090,0 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 100,0 +) +declText (MLText +uid 6091,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-35700,15500,-34800" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 6098,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 101,0 +) +declText (MLText +uid 6099,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-37500,15500,-36600" +st "SIGNAL clock : std_ulogic" +) +) +*18 (Net +uid 6456,0 +decl (Decl +n "rotary" +t "unsigned" +b "(rotaryBitNb-1 downto 0)" +o 3 +suid 104,0 +) +declText (MLText +uid 6457,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-34800,26500,-33900" +st "SIGNAL rotary : unsigned(rotaryBitNb-1 downto 0)" +) +) +*19 (Net +uid 6464,0 +lang 11 +decl (Decl +n "number" +t "unsigned" +b "(outputBitNb-1 DOWNTO 0)" +o 4 +suid 105,0 +) +declText (MLText +uid 6465,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-36600,26500,-35700" +st "SIGNAL number : unsigned(outputBitNb-1 DOWNTO 0)" +) +) +*20 (SaComponent +uid 6518,0 +optionalChildren [ +*21 (CptPort +uid 6502,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6503,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,-35375,51750,-34625" +) +tg (CPTG +uid 6504,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6505,0 +va (VaSet +) +xt "46400,-35500,50000,-34500" +st "number" +ju 2 +blo "50000,-34700" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "number" +t "unsigned" +b "(outputBitNb-1 DOWNTO 0)" +o 3 +suid 1,0 +) +) +) +*22 (CptPort +uid 6506,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6507,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-35375,35000,-34625" +) +tg (CPTG +uid 6508,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6509,0 +va (VaSet +) +xt "36000,-35500,39600,-34500" +st "rotary" +blo "36000,-34700" +) +) +thePort (LogicalPort +decl (Decl +n "rotary" +t "unsigned" +b "(rotaryBitNb-1 downto 0)" +o 4 +suid 2,0 +) +) +) +*23 (CptPort +uid 6510,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6511,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-31375,35000,-30625" +) +tg (CPTG +uid 6512,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6513,0 +va (VaSet +) +xt "36000,-31500,39000,-30500" +st "clock" +blo "36000,-30700" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*24 (CptPort +uid 6514,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6515,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-29375,35000,-28625" +) +tg (CPTG +uid 6516,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6517,0 +va (VaSet +) +xt "36000,-29500,39000,-28500" +st "reset" +blo "36000,-28700" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 6519,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,-39000,51000,-27000" +) +oxt "26000,16000,42000,28000" +ttg (MlTextGroup +uid 6520,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*25 (Text +uid 6521,0 +va (VaSet +font "courier,8,1" +) +xt "35250,-27000,38250,-26100" +st "Common" +blo "35250,-26300" +tm "BdLibraryNameMgr" +) +*26 (Text +uid 6522,0 +va (VaSet +font "courier,8,1" +) +xt "35250,-26100,43750,-25200" +st "rotaryToUnsigned" +blo "35250,-25400" +tm "CptNameMgr" +) +*27 (Text +uid 6523,0 +va (VaSet +font "courier,8,1" +) +xt "35250,-25200,37750,-24300" +st "I_DUT" +blo "35250,-24500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 6524,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 6525,0 +text (MLText +uid 6526,0 +va (VaSet +font "courier,8,0" +) +xt "35000,-24200,62000,-21500" +st "rotaryBitNb = rotaryBitNb ( positive ) +outputBitNb = outputBitNb ( positive ) +counterBitNb = deglitchCounterBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "rotaryBitNb" +type "positive" +value "rotaryBitNb" +) +(GiElement +name "outputBitNb" +type "positive" +value "outputBitNb" +) +(GiElement +name "counterBitNb" +type "positive" +value "deglitchCounterBitNb" +) +] +) +viewicon (ZoomableIcon +uid 6527,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "35250,-28750,36750,-27250" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +connectByName 1 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*28 (Wire +uid 6092,0 +shape (OrthoPolyLine +uid 6093,0 +va (VaSet +vasetType 3 +) +xt "33000,-29000,34250,-19000" +pts [ +"34250,-29000" +"33000,-29000" +"33000,-19000" +] +) +start &24 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6096,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6097,0 +va (VaSet +) +xt "31250,-30000,34250,-29000" +st "reset" +blo "31250,-29200" +tm "WireNameMgr" +) +) +on &16 +) +*29 (Wire +uid 6100,0 +shape (OrthoPolyLine +uid 6101,0 +va (VaSet +vasetType 3 +) +xt "31000,-31000,34250,-19000" +pts [ +"34250,-31000" +"31000,-31000" +"31000,-19000" +] +) +start &23 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6104,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6105,0 +va (VaSet +) +xt "31250,-32000,34250,-31000" +st "clock" +blo "31250,-31200" +tm "WireNameMgr" +) +) +on &17 +) +*30 (Wire +uid 6458,0 +shape (OrthoPolyLine +uid 6459,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "27000,-35000,34250,-19000" +pts [ +"34250,-35000" +"27000,-35000" +"27000,-19000" +] +) +start &22 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6462,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6463,0 +va (VaSet +) +xt "29250,-36000,32850,-35000" +st "rotary" +blo "29250,-35200" +tm "WireNameMgr" +) +) +on &18 +) +*31 (Wire +uid 6466,0 +shape (OrthoPolyLine +uid 6467,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "51750,-35000,55000,-19000" +pts [ +"51750,-35000" +"55000,-35000" +"55000,-19000" +] +) +start &21 +end &12 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6470,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6471,0 +va (VaSet +) +xt "53750,-36000,57350,-35000" +st "number" +blo "53750,-35200" +tm "WireNameMgr" +) +) +on &19 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *32 (PackageList +uid 41,0 +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 42,0 +va (VaSet +font "courier,8,1" +) +xt "0,-49000,5400,-48000" +st "Package List" +blo "0,-48200" +) +*34 (MLText +uid 43,0 +va (VaSet +) +xt "0,-48000,18600,-45000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 44,0 +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 45,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*36 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*37 (MLText +uid 47,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32000,4000" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*38 (Text +uid 48,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*39 (MLText +uid 49,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*40 (Text +uid 50,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*41 (MLText +uid 51,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "92,43,1433,906" +viewArea "-1096,-50068,78670,-625" +cachedDiagramExtent "0,-49000,73000,6000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 595 +paperHeight 842 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210mm x 297mm)" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,-49000" +lastUid 6527,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,3500,5800,4500" +st "" +blo "2200,4300" +tm "BdLibraryNameMgr" +) +*43 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,4500,5600,5500" +st "" +blo "2200,5300" +tm "BlkNameMgr" +) +*44 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,5500,3200,6500" +st "I0" +blo "2200,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "2200,13500,2200,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +va (VaSet +font "courier,8,1" +) +xt "550,3500,3450,4500" +st "Library" +blo "550,4300" +) +*46 (Text +va (VaSet +font "courier,8,1" +) +xt "550,4500,7450,5500" +st "MWComponent" +blo "550,5300" +) +*47 (Text +va (VaSet +font "courier,8,1" +) +xt "550,5500,1550,6500" +st "I0" +blo "550,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6450,1500,-6450,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +va (VaSet +font "courier,8,1" +) +xt "900,3500,3800,4500" +st "Library" +blo "900,4300" +tm "BdLibraryNameMgr" +) +*49 (Text +va (VaSet +font "courier,8,1" +) +xt "900,4500,7100,5500" +st "SaComponent" +blo "900,5300" +tm "CptNameMgr" +) +*50 (Text +va (VaSet +font "courier,8,1" +) +xt "900,5500,1900,6500" +st "I0" +blo "900,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6100,1500,-6100,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3500,3400,4500" +st "Library" +blo "500,4300" +) +*52 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4500,7500,5500" +st "VhdlComponent" +blo "500,5300" +) +*53 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5500,1500,6500" +st "I0" +blo "500,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1500,-6500,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-450,0,8450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +font "courier,8,1" +) +xt "50,3500,2950,4500" +st "Library" +blo "50,4300" +) +*55 (Text +va (VaSet +font "courier,8,1" +) +xt "50,4500,7950,5500" +st "VerilogComponent" +blo "50,5300" +) +*56 (Text +va (VaSet +font "courier,8,1" +) +xt "50,5500,1050,6500" +st "I0" +blo "50,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6950,1500,-6950,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,4000,4850,5000" +st "eb1" +blo "3150,4800" +tm "HdlTextNameMgr" +) +*58 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,5000,3950,6000" +st "1" +blo "3150,5800" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,2600,1200" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-500,-500,500,500" +st "G" +blo "-500,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,1900,1000" +st "sig0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2400,1000" +st "dbus0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,3000,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1200,2000" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,17400,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*60 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,10800,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*62 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,-44200,5400,-43200" +st "Declarations" +blo "0,-43400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,-43200,2700,-42200" +st "Ports:" +blo "0,-42400" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,-42200,3800,-41200" +st "Pre User:" +blo "0,-41400" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-41200,25500,-38500" +st "constant rotaryBitNb : positive := 4; +constant outputBitNb : positive := 6; +constant deglitchCounterBitNb : positive := 4;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "0,-38500,7100,-37500" +st "Diagram Signals:" +blo "0,-37700" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,-44200,4700,-43200" +st "Post User:" +blo "0,-43400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,-44200,0,-44200" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 105,0 +usingSuid 1 +emptyRow *63 (LEmptyRow +) +uid 54,0 +optionalChildren [ +*64 (RefLabelRowHdr +) +*65 (TitleRowHdr +) +*66 (FilterRowHdr +) +*67 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*68 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*69 (GroupColHdr +tm "GroupColHdrMgr" +) +*70 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*71 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*72 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*73 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*74 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*75 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*76 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 100,0 +) +) +uid 6122,0 +) +*77 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 101,0 +) +) +uid 6124,0 +) +*78 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "rotary" +t "unsigned" +b "(rotaryBitNb-1 downto 0)" +o 3 +suid 104,0 +) +) +uid 6472,0 +) +*79 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "number" +t "unsigned" +b "(outputBitNb-1 DOWNTO 0)" +o 4 +suid 105,0 +) +) +uid 6474,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 67,0 +optionalChildren [ +*80 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *81 (MRCItem +litem &63 +pos 4 +dimension 20 +) +uid 69,0 +optionalChildren [ +*82 (MRCItem +litem &64 +pos 0 +dimension 20 +uid 70,0 +) +*83 (MRCItem +litem &65 +pos 1 +dimension 23 +uid 71,0 +) +*84 (MRCItem +litem &66 +pos 2 +hidden 1 +dimension 20 +uid 72,0 +) +*85 (MRCItem +litem &76 +pos 0 +dimension 20 +uid 6123,0 +) +*86 (MRCItem +litem &77 +pos 1 +dimension 20 +uid 6125,0 +) +*87 (MRCItem +litem &78 +pos 2 +dimension 20 +uid 6473,0 +) +*88 (MRCItem +litem &79 +pos 3 +dimension 20 +uid 6475,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*89 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 74,0 +) +*90 (MRCItem +litem &69 +pos 1 +dimension 50 +uid 75,0 +) +*91 (MRCItem +litem &70 +pos 2 +dimension 100 +uid 76,0 +) +*92 (MRCItem +litem &71 +pos 3 +dimension 50 +uid 77,0 +) +*93 (MRCItem +litem &72 +pos 4 +dimension 100 +uid 78,0 +) +*94 (MRCItem +litem &73 +pos 5 +dimension 100 +uid 79,0 +) +*95 (MRCItem +litem &74 +pos 6 +dimension 50 +uid 80,0 +) +*96 (MRCItem +litem &75 +pos 7 +dimension 80 +uid 81,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 68,0 +vaOverrides [ +] +) +] +) +uid 53,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *97 (LEmptyRow +) +uid 83,0 +optionalChildren [ +*98 (RefLabelRowHdr +) +*99 (TitleRowHdr +) +*100 (FilterRowHdr +) +*101 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*102 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*103 (GroupColHdr +tm "GroupColHdrMgr" +) +*104 (NameColHdr +tm "GenericNameColHdrMgr" +) +*105 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*106 (InitColHdr +tm "GenericValueColHdrMgr" +) +*107 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*108 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 95,0 +optionalChildren [ +*109 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *110 (MRCItem +litem &97 +pos 0 +dimension 20 +) +uid 97,0 +optionalChildren [ +*111 (MRCItem +litem &98 +pos 0 +dimension 20 +uid 98,0 +) +*112 (MRCItem +litem &99 +pos 1 +dimension 23 +uid 99,0 +) +*113 (MRCItem +litem &100 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*114 (MRCItem +litem &101 +pos 0 +dimension 20 +uid 102,0 +) +*115 (MRCItem +litem &103 +pos 1 +dimension 50 +uid 103,0 +) +*116 (MRCItem +litem &104 +pos 2 +dimension 100 +uid 104,0 +) +*117 (MRCItem +litem &105 +pos 3 +dimension 100 +uid 105,0 +) +*118 (MRCItem +litem &106 +pos 4 +dimension 50 +uid 106,0 +) +*119 (MRCItem +litem &107 +pos 5 +dimension 50 +uid 107,0 +) +*120 (MRCItem +litem &108 +pos 6 +dimension 80 +uid 108,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 96,0 +vaOverrides [ +] +) +] +) +uid 82,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Common_test/hds/rotary@to@unsigned_tb/symbol.sb b/Libs/Common_test/hds/rotary@to@unsigned_tb/symbol.sb new file mode 100644 index 0000000..7ee2216 --- /dev/null +++ b/Libs/Common_test/hds/rotary@to@unsigned_tb/symbol.sb @@ -0,0 +1,1278 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 68,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 97,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 98,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 101,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 102,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 103,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 104,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 105,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 106,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncerULogicVector_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "debouncerULogicVector_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:50" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "debouncerULogicVector_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncerULogicVector_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modeltech_6.3g\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "c:\\eda\\modeltech_6.2c\\win32" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:50" +) +(vvPair +variable "unit" +value "debouncerULogicVector_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15000,28200,15900" +st "Common_test" +blo "22200,15700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15900,34700,16800" +st "debouncerULogicVector_tb" +blo "22200,16600" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,62600,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,2000,44500,2900" +st "User:" +blo "42000,2700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,44000,2900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 107,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol:CDM" +) diff --git a/Libs/Common_test/hds/rotary@to@unsigned_tester/interface b/Libs/Common_test/hds/rotary@to@unsigned_tester/interface new file mode 100644 index 0000000..baea531 --- /dev/null +++ b/Libs/Common_test/hds/rotary@to@unsigned_tester/interface @@ -0,0 +1,1562 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 8,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 81,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 5,0 +) +) +uid 229,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "number" +t "unsigned" +b "(outputBitNb-1 DOWNTO 0)" +o 4 +suid 6,0 +) +) +uid 231,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 7,0 +) +) +uid 233,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "rotary" +t "unsigned" +b "(rotaryBitNb-1 downto 0)" +o 3 +suid 8,0 +) +) +uid 235,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 96,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 97,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 98,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 230,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 232,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 234,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 236,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 101,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 102,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 103,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 104,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 105,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 106,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 107,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 108,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 80,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "rotaryBitNb" +type "positive" +value "4" +) +uid 159,0 +) +*48 (LogGeneric +generic (GiElement +name "outputBitNb" +type "positive" +value "6" +) +uid 184,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *50 (MRCItem +litem &35 +pos 2 +dimension 20 +) +uid 124,0 +optionalChildren [ +*51 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 125,0 +) +*52 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 126,0 +) +*53 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*54 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 160,0 +) +*55 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 185,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*56 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 129,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 130,0 +) +*58 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 131,0 +) +*59 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 132,0 +) +*60 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 133,0 +) +*61 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 134,0 +) +*62 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 135,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotary@to@unsigned_tester/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotary@to@unsigned_tester/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotary@to@unsigned_tester" +) +(vvPair +variable "d_logical" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotaryToUnsigned_tester" +) +(vvPair +variable "date" +value "11/07/19" +) +(vvPair +variable "day" +value "Thu" +) +(vvPair +variable "day_long" +value "Thursday" +) +(vvPair +variable "dd" +value "07" +) +(vvPair +variable "entity_name" +value "rotaryToUnsigned_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "11/07/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "11:54:00" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/$DESIGN_NAME/Common_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "rotaryToUnsigned_tester" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotary@to@unsigned_tester/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotaryToUnsigned_tester/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "11:54:00" +) +(vvPair +variable "unit" +value "rotaryToUnsigned_tester" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 209,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 210,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 211,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 212,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "26550,7000,27450,9500" +st "clock" +ju 2 +blo "27250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 213,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2700,59000,3600" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 5,0 +) +) +) +*65 (CptPort +uid 214,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 215,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50625,5250,51375,6000" +) +tg (CPTG +uid 216,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 217,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "50550,7000,51450,10000" +st "number" +ju 2 +blo "51250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 218,0 +va (VaSet +font "courier,8,0" +) +xt "44000,1800,70000,2700" +st "number : IN unsigned (outputBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "number" +t "unsigned" +b "(outputBitNb-1 DOWNTO 0)" +o 4 +suid 6,0 +) +) +) +*66 (CptPort +uid 219,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 220,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 221,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 222,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "28550,7000,29450,9500" +st "reset" +ju 2 +blo "29250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 223,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3600,59000,4500" +st "reset : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 7,0 +) +) +) +*67 (CptPort +uid 224,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 225,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 226,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 227,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "22550,7000,23450,10000" +st "rotary" +ju 2 +blo "23250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 228,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4500,69000,5400" +st "rotary : OUT unsigned (rotaryBitNb-1 downto 0) +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "rotary" +t "unsigned" +b "(rotaryBitNb-1 downto 0)" +o 3 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,59000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "31000,9100,37000,10000" +st "Common_test" +blo "31000,9800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "31000,10000,43000,10900" +st "rotaryToUnsigned_tester" +blo "31000,10700" +) +) +gi *68 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "10000,6000,22500,9600" +st "Generic Declarations + +rotaryBitNb positive 4 +outputBitNb positive 6 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "rotaryBitNb" +type "positive" +value "4" +) +(GiElement +name "outputBitNb" +type "positive" +value "6" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*69 (Grouping +uid 16,0 +optionalChildren [ +*70 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,50000,49000,51000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,50050,45700,50950" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,46000,53000,47000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "49200,46050,53200,46950" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,49000,49000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,48050,45700,48950" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,48000,32000,49000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,48050,31200,48950" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,47000,69000,51000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "49200,47200,60200,48100" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,46000,69000,47000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "53200,46050,54700,46950" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,46000,49000,48000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "34000,46500,43000,47500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,49000,32000,50000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,49050,30700,49950" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,50000,32000,51000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,50050,31700,50950" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,49000,49000,50000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,49050,40700,49950" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "28000,46000,69000,51000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *80 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*82 (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "0,900,15500,3600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "59,12,1074,702" +viewArea "-500,-500,60484,39540" +cachedDiagramExtent "0,0,70000,51000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Common_test" +entityName "rotaryToUnsigned_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,39000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "24750,15100,29250,16000" +st "" +blo "24750,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "24750,16000,27750,16900" +st "" +blo "24750,16700" +) +) +gi *83 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *84 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,48500,900" +st "Declarations" +blo "42000,700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,900,45000,1800" +st "Ports:" +blo "42000,1600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,5400,44500,6300" +st "User:" +blo "42000,6100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,49500,900" +st "Internal User:" +blo "42000,700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6300,44000,6300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 236,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Common_test/hds/spike@filter_tb/struct.bd b/Libs/Common_test/hds/spike@filter_tb/struct.bd new file mode 100644 index 0000000..8f67660 --- /dev/null +++ b/Libs/Common_test/hds/spike@filter_tb/struct.bd @@ -0,0 +1,2725 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_tb" +duLibraryName "Common_test" +duName "spikeFilter_tester" +elements [ +] +mwi 0 +uid 377,0 +) +(Instance +name "I_filt" +duLibraryName "Common" +duName "spikeFilter" +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +(GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +] +mwi 0 +uid 6353,0 +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tb/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tb/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spikeFilter_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "spikeFilter_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:51" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../VerifThin_TB/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "spikeFilter_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tb/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spikeFilter_tb/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "task_perl" +value "c:\\eda\\hds2007.1a\\resources\\perl\\bin\\perl.exe" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:43:51" +) +(vvPair +variable "unit" +value "spikeFilter_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 52,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-1000,53000,0" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-1000,52400,0" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,-5000,57000,-4000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,-5000,56800,-4000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-3000,53000,-2000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-3000,52400,-2000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-3000,36000,-2000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-3000,35800,-2000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,-4000,73000,0" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,-3800,66400,-2800" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,-5000,73000,-4000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,-5000,59000,-4000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-5000,53000,-3000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,-4500,47000,-3500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-2000,36000,-1000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-2000,35200,-1000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-1000,36000,0" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-1000,35800,0" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-2000,53000,-1000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-2000,53000,-1000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,-5000,73000,0" +) +oxt "14000,66000,55000,71000" +) +*12 (Blk +uid 377,0 +shape (Rectangle +uid 378,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "19000,-19000,63000,-11000" +) +oxt "64000,30000,150000,40000" +ttg (MlTextGroup +uid 379,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 380,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-10500,25250,-9500" +st "Common_test" +blo "19150,-9700" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 381,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-9500,26250,-8500" +st "spikeFilter_tester" +blo "19150,-8700" +tm "BlkNameMgr" +) +*15 (Text +uid 382,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-8500,20950,-7500" +st "I_tb" +blo "19150,-7700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 383,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 384,0 +text (MLText +uid 385,0 +va (VaSet +font "courier,8,0" +) +xt "19000,-7600,42500,-6000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 386,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "19250,-12750,20750,-11250" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*16 (Net +uid 6090,0 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 100,0 +) +declText (MLText +uid 6091,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-36600,16500,-35700" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 6098,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 101,0 +) +declText (MLText +uid 6099,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-39300,16500,-38400" +st "SIGNAL clock : std_ulogic" +) +) +*18 (Net +uid 6106,0 +decl (Decl +n "input" +t "std_ulogic" +o 3 +suid 102,0 +) +declText (MLText +uid 6107,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-37500,16500,-36600" +st "SIGNAL input : std_ulogic" +) +) +*19 (SaComponent +uid 6353,0 +optionalChildren [ +*20 (CptPort +uid 6337,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6338,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-31375,35000,-30625" +) +tg (CPTG +uid 6339,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6340,0 +va (VaSet +) +xt "36000,-31500,38100,-30500" +st "clock" +blo "36000,-30700" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*21 (CptPort +uid 6341,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6342,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-35375,35000,-34625" +) +tg (CPTG +uid 6343,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6344,0 +va (VaSet +) +xt "36000,-35500,38000,-34500" +st "input" +blo "36000,-34700" +) +) +thePort (LogicalPort +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*22 (CptPort +uid 6345,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6346,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-29375,35000,-28625" +) +tg (CPTG +uid 6347,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6348,0 +va (VaSet +) +xt "36000,-29500,38100,-28500" +st "reset" +blo "36000,-28700" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*23 (CptPort +uid 6349,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6350,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,-35375,51750,-34625" +) +tg (CPTG +uid 6351,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6352,0 +va (VaSet +) +xt "47300,-35500,50000,-34500" +st "filtered" +ju 2 +blo "50000,-34700" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "filtered" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 6354,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,-39000,51000,-27000" +) +oxt "40000,9000,56000,21000" +ttg (MlTextGroup +uid 6355,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*24 (Text +uid 6356,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-27000,39100,-26000" +st "Common" +blo "35200,-26200" +tm "BdLibraryNameMgr" +) +*25 (Text +uid 6357,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-26000,39800,-25000" +st "spikeFilter" +blo "35200,-25200" +tm "CptNameMgr" +) +*26 (Text +uid 6358,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-25000,37200,-24000" +st "I_filt" +blo "35200,-24200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 6359,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 6360,0 +text (MLText +uid 6361,0 +va (VaSet +font "courier,8,0" +) +xt "35000,-24200,59200,-22400" +st "counterBitNb = counterBitNb ( positive ) +invertInput = '0' ( std_ulogic ) " +) +header "" +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +(GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +] +) +viewicon (ZoomableIcon +uid 6362,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "35250,-28750,36750,-27250" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sIVOD 1 +) +archFileType "UNKNOWN" +) +*27 (Net +uid 6363,0 +decl (Decl +n "filtered" +t "std_ulogic" +o 2 +suid 104,0 +) +declText (MLText +uid 6364,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-38400,16500,-37500" +st "SIGNAL filtered : std_ulogic" +) +) +*28 (Wire +uid 6092,0 +shape (OrthoPolyLine +uid 6093,0 +va (VaSet +vasetType 3 +) +xt "33000,-29000,34250,-19000" +pts [ +"34250,-29000" +"33000,-29000" +"33000,-19000" +] +) +start &22 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6096,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6097,0 +va (VaSet +) +xt "31250,-30000,34250,-29000" +st "reset" +blo "31250,-29200" +tm "WireNameMgr" +) +) +on &16 +) +*29 (Wire +uid 6100,0 +shape (OrthoPolyLine +uid 6101,0 +va (VaSet +vasetType 3 +) +xt "31000,-31000,34250,-19000" +pts [ +"34250,-31000" +"31000,-31000" +"31000,-19000" +] +) +start &20 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6104,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6105,0 +va (VaSet +) +xt "31250,-32000,34250,-31000" +st "clock" +blo "31250,-31200" +tm "WireNameMgr" +) +) +on &17 +) +*30 (Wire +uid 6108,0 +shape (OrthoPolyLine +uid 6109,0 +va (VaSet +vasetType 3 +) +xt "27000,-35000,34250,-19000" +pts [ +"34250,-35000" +"27000,-35000" +"27000,-19000" +] +) +start &21 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6112,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6113,0 +va (VaSet +) +xt "31250,-36000,33250,-35000" +st "input" +blo "31250,-35200" +tm "WireNameMgr" +) +) +on &18 +) +*31 (Wire +uid 6365,0 +shape (OrthoPolyLine +uid 6366,0 +va (VaSet +vasetType 3 +) +xt "51750,-35000,55000,-19000" +pts [ +"51750,-35000" +"55000,-35000" +"55000,-19000" +] +) +start &23 +end &12 +sat 32 +eat 1 +st 0 +sf 1 +tg (WTG +uid 6369,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6370,0 +va (VaSet +) +xt "53750,-36000,58550,-35000" +st "filtered" +blo "53750,-35200" +tm "WireNameMgr" +) +) +on &27 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *32 (PackageList +uid 41,0 +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 42,0 +va (VaSet +font "courier,8,1" +) +xt "0,-49000,5400,-48000" +st "Package List" +blo "0,-48200" +) +*34 (MLText +uid 43,0 +va (VaSet +) +xt "0,-48000,18600,-45000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 44,0 +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 45,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*36 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*37 (MLText +uid 47,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32000,4000" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*38 (Text +uid 48,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*39 (MLText +uid 49,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*40 (Text +uid 50,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*41 (MLText +uid 51,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "99,37,1441,900" +viewArea "-1100,-50100,76387,-351" +cachedDiagramExtent "0,-49000,73000,6000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +windowsPaperType 9 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,-49000" +lastUid 6434,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,3500,5800,4500" +st "" +blo "2200,4300" +tm "BdLibraryNameMgr" +) +*43 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,4500,5600,5500" +st "" +blo "2200,5300" +tm "BlkNameMgr" +) +*44 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,5500,3200,6500" +st "I0" +blo "2200,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "2200,13500,2200,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +va (VaSet +font "courier,8,1" +) +xt "550,3500,3450,4500" +st "Library" +blo "550,4300" +) +*46 (Text +va (VaSet +font "courier,8,1" +) +xt "550,4500,7450,5500" +st "MWComponent" +blo "550,5300" +) +*47 (Text +va (VaSet +font "courier,8,1" +) +xt "550,5500,1550,6500" +st "I0" +blo "550,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6450,1500,-6450,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +va (VaSet +font "courier,8,1" +) +xt "900,3500,3800,4500" +st "Library" +blo "900,4300" +tm "BdLibraryNameMgr" +) +*49 (Text +va (VaSet +font "courier,8,1" +) +xt "900,4500,7100,5500" +st "SaComponent" +blo "900,5300" +tm "CptNameMgr" +) +*50 (Text +va (VaSet +font "courier,8,1" +) +xt "900,5500,1900,6500" +st "I0" +blo "900,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6100,1500,-6100,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3500,3400,4500" +st "Library" +blo "500,4300" +) +*52 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4500,7500,5500" +st "VhdlComponent" +blo "500,5300" +) +*53 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5500,1500,6500" +st "I0" +blo "500,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1500,-6500,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-450,0,8450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +font "courier,8,1" +) +xt "50,3500,2950,4500" +st "Library" +blo "50,4300" +) +*55 (Text +va (VaSet +font "courier,8,1" +) +xt "50,4500,7950,5500" +st "VerilogComponent" +blo "50,5300" +) +*56 (Text +va (VaSet +font "courier,8,1" +) +xt "50,5500,1050,6500" +st "I0" +blo "50,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6950,1500,-6950,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,4000,4850,5000" +st "eb1" +blo "3150,4800" +tm "HdlTextNameMgr" +) +*58 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,5000,3950,6000" +st "1" +blo "3150,5800" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,2600,1200" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-500,-500,500,500" +st "G" +blo "-500,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,1900,1000" +st "sig0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2400,1000" +st "dbus0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,3000,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1200,2000" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,17400,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*60 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,10800,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*62 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,-44200,5400,-43200" +st "Declarations" +blo "0,-43400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,-43200,2700,-42200" +st "Ports:" +blo "0,-42400" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,-42200,3800,-41200" +st "Pre User:" +blo "0,-41400" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-41200,21500,-40300" +st "constant counterBitNb : positive := 3;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "0,-40300,7100,-39300" +st "Diagram Signals:" +blo "0,-39500" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,-44200,4700,-43200" +st "Post User:" +blo "0,-43400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,-44200,0,-44200" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 104,0 +usingSuid 1 +emptyRow *63 (LEmptyRow +) +uid 54,0 +optionalChildren [ +*64 (RefLabelRowHdr +) +*65 (TitleRowHdr +) +*66 (FilterRowHdr +) +*67 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*68 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*69 (GroupColHdr +tm "GroupColHdrMgr" +) +*70 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*71 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*72 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*73 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*74 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*75 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*76 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 100,0 +) +) +uid 6122,0 +) +*77 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 101,0 +) +) +uid 6124,0 +) +*78 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "input" +t "std_ulogic" +o 3 +suid 102,0 +) +) +uid 6126,0 +) +*79 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "filtered" +t "std_ulogic" +o 2 +suid 104,0 +) +) +uid 6371,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 67,0 +optionalChildren [ +*80 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *81 (MRCItem +litem &63 +pos 4 +dimension 20 +) +uid 69,0 +optionalChildren [ +*82 (MRCItem +litem &64 +pos 0 +dimension 20 +uid 70,0 +) +*83 (MRCItem +litem &65 +pos 1 +dimension 23 +uid 71,0 +) +*84 (MRCItem +litem &66 +pos 2 +hidden 1 +dimension 20 +uid 72,0 +) +*85 (MRCItem +litem &76 +pos 0 +dimension 20 +uid 6123,0 +) +*86 (MRCItem +litem &77 +pos 1 +dimension 20 +uid 6125,0 +) +*87 (MRCItem +litem &78 +pos 2 +dimension 20 +uid 6127,0 +) +*88 (MRCItem +litem &79 +pos 3 +dimension 20 +uid 6372,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*89 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 74,0 +) +*90 (MRCItem +litem &69 +pos 1 +dimension 50 +uid 75,0 +) +*91 (MRCItem +litem &70 +pos 2 +dimension 100 +uid 76,0 +) +*92 (MRCItem +litem &71 +pos 3 +dimension 50 +uid 77,0 +) +*93 (MRCItem +litem &72 +pos 4 +dimension 100 +uid 78,0 +) +*94 (MRCItem +litem &73 +pos 5 +dimension 100 +uid 79,0 +) +*95 (MRCItem +litem &74 +pos 6 +dimension 50 +uid 80,0 +) +*96 (MRCItem +litem &75 +pos 7 +dimension 80 +uid 81,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 68,0 +vaOverrides [ +] +) +] +) +uid 53,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *97 (LEmptyRow +) +uid 83,0 +optionalChildren [ +*98 (RefLabelRowHdr +) +*99 (TitleRowHdr +) +*100 (FilterRowHdr +) +*101 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*102 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*103 (GroupColHdr +tm "GroupColHdrMgr" +) +*104 (NameColHdr +tm "GenericNameColHdrMgr" +) +*105 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*106 (InitColHdr +tm "GenericValueColHdrMgr" +) +*107 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*108 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 95,0 +optionalChildren [ +*109 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *110 (MRCItem +litem &97 +pos 0 +dimension 20 +) +uid 97,0 +optionalChildren [ +*111 (MRCItem +litem &98 +pos 0 +dimension 20 +uid 98,0 +) +*112 (MRCItem +litem &99 +pos 1 +dimension 23 +uid 99,0 +) +*113 (MRCItem +litem &100 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*114 (MRCItem +litem &101 +pos 0 +dimension 20 +uid 102,0 +) +*115 (MRCItem +litem &103 +pos 1 +dimension 50 +uid 103,0 +) +*116 (MRCItem +litem &104 +pos 2 +dimension 100 +uid 104,0 +) +*117 (MRCItem +litem &105 +pos 3 +dimension 100 +uid 105,0 +) +*118 (MRCItem +litem &106 +pos 4 +dimension 50 +uid 106,0 +) +*119 (MRCItem +litem &107 +pos 5 +dimension 50 +uid 107,0 +) +*120 (MRCItem +litem &108 +pos 6 +dimension 80 +uid 108,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 96,0 +vaOverrides [ +] +) +] +) +uid 82,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Common_test/hds/spike@filter_tb/symbol.sb b/Libs/Common_test/hds/spike@filter_tb/symbol.sb new file mode 100644 index 0000000..551f7ed --- /dev/null +++ b/Libs/Common_test/hds/spike@filter_tb/symbol.sb @@ -0,0 +1,1278 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 68,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 97,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 98,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 101,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 102,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 103,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 104,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 105,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 106,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spikeFilter_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "spikeFilter_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:50" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "spikeFilter_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spikeFilter_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modeltech_6.3g\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "c:\\eda\\modeltech_6.2c\\win32" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:50" +) +(vvPair +variable "unit" +value "spikeFilter_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15000,28200,15900" +st "Common_test" +blo "22200,15700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15900,29700,16800" +st "spikeFilter_tb" +blo "22200,16600" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,62600,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,53000,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,2000,44500,2900" +st "User:" +blo "42000,2700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,44000,2900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 107,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol:CDM" +) diff --git a/Libs/Common_test/hds/spike@filter_tester/interface b/Libs/Common_test/hds/spike@filter_tester/interface new file mode 100644 index 0000000..c28676a --- /dev/null +++ b/Libs/Common_test/hds/spike@filter_tester/interface @@ -0,0 +1,1206 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 16,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 49,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 13,0 +) +) +uid 272,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "filtered" +t "std_ulogic" +o 2 +suid 14,0 +) +) +uid 274,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "input" +t "std_ulogic" +o 3 +suid 15,0 +) +) +uid 276,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 16,0 +) +) +uid 278,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 62,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 64,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 65,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 66,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 67,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 273,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 275,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 277,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 279,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 68,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 69,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 70,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 71,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 73,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 74,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 75,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 76,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 63,0 +vaOverrides [ +] +) +] +) +uid 48,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 78,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 90,0 +optionalChildren [ +*47 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *48 (MRCItem +litem &35 +pos 3 +dimension 20 +) +uid 92,0 +optionalChildren [ +*49 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 93,0 +) +*50 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 94,0 +) +*51 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 95,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 96,0 +optionalChildren [ +*52 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 97,0 +) +*53 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 98,0 +) +*54 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 99,0 +) +*55 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 100,0 +) +*56 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 101,0 +) +*57 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 102,0 +) +*58 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 103,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 91,0 +vaOverrides [ +] +) +] +) +uid 77,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tester/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tester/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tester" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spikeFilter_tester" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "spikeFilter_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:51" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "spikeFilter_tester" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tester/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spikeFilter_tester/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:43:51" +) +(vvPair +variable "unit" +value "spikeFilter_tester" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 47,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 252,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 253,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 254,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 255,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "26550,7000,27450,9500" +st "clock" +ju 2 +blo "27250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 256,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3300,60000,4200" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 13,0 +) +) +) +*61 (CptPort +uid 257,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 258,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50625,5250,51375,6000" +) +tg (CPTG +uid 259,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 260,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "50550,7000,51450,11000" +st "filtered" +ju 2 +blo "51250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 261,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2400,60000,3300" +st "filtered : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "filtered" +t "std_ulogic" +o 2 +suid 14,0 +) +) +) +*62 (CptPort +uid 262,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 263,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 264,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 265,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "22550,7000,23450,9500" +st "input" +ju 2 +blo "23250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 266,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4200,60000,5100" +st "input : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "input" +t "std_ulogic" +o 3 +suid 15,0 +) +) +) +*63 (CptPort +uid 267,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 268,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 269,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 270,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "28550,7000,29450,9500" +st "reset" +ju 2 +blo "29250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 271,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5100,59000,6000" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 16,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,59000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32250,9100,38250,10000" +st "Common_test" +blo "32250,9800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32250,10000,41750,10900" +st "spikeFilter_tester" +blo "32250,10700" +) +) +gi *64 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,9,0" +) +xt "14000,6000,24500,6900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *65 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*66 (Text +uid 17,0 +va (VaSet +font "courier,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*67 (MLText +uid 18,0 +va (VaSet +font "courier,9,0" +) +xt "0,1200,15500,3900" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Common_test" +entityName "spikeFilter_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,31000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "20300,14800,25700,16000" +st "" +blo "20300,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "20300,16000,24200,17200" +st "" +blo "20300,17000" +) +) +gi *68 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,9,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *69 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,9,1" +) +xt "42000,6000,44500,6900" +st "User:" +blo "42000,6700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,9,0" +) +xt "44000,6900,44000,6900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 279,0 +activeModelName "Symbol:CDM" +) diff --git a/Libs/Common_test/hds/test@utils_tb/symbol.sb b/Libs/Common_test/hds/test@utils_tb/symbol.sb new file mode 100644 index 0000000..d84b83f --- /dev/null +++ b/Libs/Common_test/hds/test@utils_tb/symbol.sb @@ -0,0 +1,1275 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 21,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 34,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 0 +dimension 20 +) +uid 36,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 37,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 38,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 39,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 40,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 41,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 42,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 43,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 44,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 45,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 46,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 47,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 48,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 35,0 +vaOverrides [ +] +) +] +) +uid 20,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 50,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 62,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 0 +dimension 20 +) +uid 64,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 65,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 66,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 67,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 68,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 69,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 70,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 71,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 72,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 73,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 74,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 75,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 63,0 +vaOverrides [ +] +) +] +) +uid 49,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/test@utils_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/test@utils_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/test@utils_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/testUtils_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "testUtils_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:56" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "testUtils_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/test@utils_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/testUtils_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:56" +) +(vvPair +variable "unit" +value "testUtils_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 19,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "29000,10000,47000,30000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "29200,30000,35200,30900" +st "Common_test" +blo "29200,30700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "29200,30900,35700,31800" +st "testUtils_tb" +blo "29200,31600" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "29000,33200,39500,34100" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*53 (Grouping +uid 79,0 +optionalChildren [ +*54 (CommentText +uid 81,0 +shape (Rectangle +uid 82,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 83,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41500,69800,42500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 84,0 +shape (Rectangle +uid 85,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 86,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "29750,41350,40250,42650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 87,0 +shape (Rectangle +uid 88,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 89,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47500,41000,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 90,0 +shape (Rectangle +uid 91,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 92,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41500,53000,42500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 93,0 +shape (Rectangle +uid 94,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 95,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43500,43400,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 96,0 +shape (Rectangle +uid 97,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 98,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43500,25800,44500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 99,0 +shape (Rectangle +uid 100,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 101,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45500,25200,46500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 102,0 +shape (Rectangle +uid 103,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 104,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,61400,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 105,0 +shape (Rectangle +uid 106,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 107,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45500,46400,46500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 108,0 +shape (Rectangle +uid 109,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 110,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47500,26400,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 80,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 17,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 18,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "11,88,1387,1017" +viewArea "-1100,-1100,74105,51875" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,11000,5400,12000" +st "Declarations" +blo "0,11800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,12000,2700,13000" +st "Ports:" +blo "0,12800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,13000,2500,13900" +st "User:" +blo "0,13700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,11000,5800,12000" +st "Internal User:" +blo "0,11800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13900,2000,13900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,11000,0,11000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 156,0 +activeModelName "Symbol" +) diff --git a/Libs/Common_test/hds/toggler_tb/struct.bd b/Libs/Common_test/hds/toggler_tb/struct.bd new file mode 100644 index 0000000..f12abfe --- /dev/null +++ b/Libs/Common_test/hds/toggler_tb/struct.bd @@ -0,0 +1,2714 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_tb" +duLibraryName "Common_test" +duName "toggler_tester" +elements [ +] +mwi 0 +uid 377,0 +) +(Instance +name "I_togl" +duLibraryName "Common" +duName "toggler" +elements [ +(GiElement +name "counterBitNb" +type "natural" +value "counterBitNb" +) +] +mwi 0 +uid 6332,0 +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "toggler_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:56" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../VerifThin_TB/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "toggler_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "task_perl" +value "c:\\eda\\hds2007.1a\\resources\\perl\\bin\\perl.exe" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:43:56" +) +(vvPair +variable "unit" +value "toggler_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 52,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-1000,53000,0" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-1000,52400,0" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,-5000,57000,-4000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,-5000,56800,-4000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-3000,53000,-2000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-3000,52400,-2000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-3000,36000,-2000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-3000,35800,-2000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,-4000,73000,0" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,-3800,66400,-2800" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,-5000,73000,-4000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,-5000,59000,-4000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-5000,53000,-3000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,-4500,47000,-3500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-2000,36000,-1000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-2000,35200,-1000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-1000,36000,0" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-1000,35800,0" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-2000,53000,-1000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-2000,50600,-1000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,-5000,73000,0" +) +oxt "14000,66000,55000,71000" +) +*12 (Blk +uid 377,0 +shape (Rectangle +uid 378,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "19000,-19000,63000,-11000" +) +oxt "64000,30000,150000,40000" +ttg (MlTextGroup +uid 379,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 380,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-10500,25250,-9500" +st "Common_test" +blo "19150,-9700" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 381,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-9500,25150,-8500" +st "toggler_tester" +blo "19150,-8700" +tm "BlkNameMgr" +) +*15 (Text +uid 382,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-8500,20950,-7500" +st "I_tb" +blo "19150,-7700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 383,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 384,0 +text (MLText +uid 385,0 +va (VaSet +font "courier,8,0" +) +xt "19000,-7600,42500,-6000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 386,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "19250,-12750,20750,-11250" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*16 (Net +uid 6090,0 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 100,0 +) +declText (MLText +uid 6091,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-37500,15500,-36600" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 6098,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 101,0 +) +declText (MLText +uid 6099,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-39300,15500,-38400" +st "SIGNAL clock : std_ulogic" +) +) +*18 (Net +uid 6106,0 +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 102,0 +) +declText (MLText +uid 6107,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-38400,15500,-37500" +st "SIGNAL input : std_ulogic" +) +) +*19 (Net +uid 6306,0 +decl (Decl +n "toggle" +t "std_ulogic" +o 4 +suid 104,0 +) +declText (MLText +uid 6307,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-36600,15500,-35700" +st "SIGNAL toggle : std_ulogic" +) +) +*20 (SaComponent +uid 6332,0 +optionalChildren [ +*21 (CptPort +uid 6316,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6317,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-31375,35000,-30625" +) +tg (CPTG +uid 6318,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6319,0 +va (VaSet +) +xt "36000,-31500,38100,-30500" +st "clock" +blo "36000,-30700" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*22 (CptPort +uid 6320,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6321,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-35375,35000,-34625" +) +tg (CPTG +uid 6322,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6323,0 +va (VaSet +) +xt "36000,-35500,38000,-34500" +st "input" +blo "36000,-34700" +) +) +thePort (LogicalPort +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*23 (CptPort +uid 6324,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6325,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-29375,35000,-28625" +) +tg (CPTG +uid 6326,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6327,0 +va (VaSet +) +xt "36000,-29500,38100,-28500" +st "reset" +blo "36000,-28700" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*24 (CptPort +uid 6328,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6329,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,-35375,51750,-34625" +) +tg (CPTG +uid 6330,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6331,0 +va (VaSet +) +xt "47400,-35500,50000,-34500" +st "toggle" +ju 2 +blo "50000,-34700" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "toggle" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 6333,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,-39000,51000,-27000" +) +oxt "43000,11000,59000,23000" +ttg (MlTextGroup +uid 6334,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*25 (Text +uid 6335,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-27000,39100,-26000" +st "Common" +blo "35200,-26200" +tm "BdLibraryNameMgr" +) +*26 (Text +uid 6336,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-26000,38300,-25000" +st "toggler" +blo "35200,-25200" +tm "CptNameMgr" +) +*27 (Text +uid 6337,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-25000,37700,-24000" +st "I_togl" +blo "35200,-24200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 6338,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 6339,0 +text (MLText +uid 6340,0 +va (VaSet +font "courier,8,0" +) +xt "35000,-24200,57500,-23300" +st "counterBitNb = counterBitNb ( natural ) " +) +header "" +) +elements [ +(GiElement +name "counterBitNb" +type "natural" +value "counterBitNb" +) +] +) +viewicon (ZoomableIcon +uid 6341,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "35250,-28750,36750,-27250" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sIVOD 1 +) +archFileType "UNKNOWN" +) +*28 (Wire +uid 6092,0 +shape (OrthoPolyLine +uid 6093,0 +va (VaSet +vasetType 3 +) +xt "33000,-29000,34250,-19000" +pts [ +"34250,-29000" +"33000,-29000" +"33000,-19000" +] +) +start &23 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6096,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6097,0 +va (VaSet +) +xt "31250,-30000,34250,-29000" +st "reset" +blo "31250,-29200" +tm "WireNameMgr" +) +) +on &16 +) +*29 (Wire +uid 6100,0 +shape (OrthoPolyLine +uid 6101,0 +va (VaSet +vasetType 3 +) +xt "31000,-31000,34250,-19000" +pts [ +"34250,-31000" +"31000,-31000" +"31000,-19000" +] +) +start &21 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6104,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6105,0 +va (VaSet +) +xt "31250,-32000,34250,-31000" +st "clock" +blo "31250,-31200" +tm "WireNameMgr" +) +) +on &17 +) +*30 (Wire +uid 6108,0 +shape (OrthoPolyLine +uid 6109,0 +va (VaSet +vasetType 3 +) +xt "27000,-35000,34250,-19000" +pts [ +"34250,-35000" +"27000,-35000" +"27000,-19000" +] +) +start &22 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6112,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6113,0 +va (VaSet +) +xt "31250,-36000,34250,-35000" +st "input" +blo "31250,-35200" +tm "WireNameMgr" +) +) +on &18 +) +*31 (Wire +uid 6308,0 +shape (OrthoPolyLine +uid 6309,0 +va (VaSet +vasetType 3 +) +xt "51750,-35000,55000,-19000" +pts [ +"51750,-35000" +"55000,-35000" +"55000,-19000" +] +) +start &24 +end &12 +sat 32 +eat 1 +st 0 +sf 1 +tg (WTG +uid 6312,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6313,0 +va (VaSet +) +xt "53750,-36000,56350,-35000" +st "toggle" +blo "53750,-35200" +tm "WireNameMgr" +) +) +on &19 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *32 (PackageList +uid 41,0 +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 42,0 +va (VaSet +font "courier,8,1" +) +xt "0,-49000,5400,-48000" +st "Package List" +blo "0,-48200" +) +*34 (MLText +uid 43,0 +va (VaSet +) +xt "0,-48000,18600,-45000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 44,0 +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 45,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*36 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*37 (MLText +uid 47,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32000,4000" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*38 (Text +uid 48,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*39 (MLText +uid 49,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*40 (Text +uid 50,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*41 (MLText +uid 51,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "100,37,1441,900" +viewArea "-1100,-50100,76318,-351" +cachedDiagramExtent "0,-49000,73000,6000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +windowsPaperType 9 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,-49000" +lastUid 6403,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,3500,5800,4500" +st "" +blo "2200,4300" +tm "BdLibraryNameMgr" +) +*43 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,4500,5600,5500" +st "" +blo "2200,5300" +tm "BlkNameMgr" +) +*44 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,5500,3200,6500" +st "I0" +blo "2200,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "2200,13500,2200,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +va (VaSet +font "courier,8,1" +) +xt "550,3500,3450,4500" +st "Library" +blo "550,4300" +) +*46 (Text +va (VaSet +font "courier,8,1" +) +xt "550,4500,7450,5500" +st "MWComponent" +blo "550,5300" +) +*47 (Text +va (VaSet +font "courier,8,1" +) +xt "550,5500,1550,6500" +st "I0" +blo "550,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6450,1500,-6450,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +va (VaSet +font "courier,8,1" +) +xt "900,3500,3800,4500" +st "Library" +blo "900,4300" +tm "BdLibraryNameMgr" +) +*49 (Text +va (VaSet +font "courier,8,1" +) +xt "900,4500,7100,5500" +st "SaComponent" +blo "900,5300" +tm "CptNameMgr" +) +*50 (Text +va (VaSet +font "courier,8,1" +) +xt "900,5500,1900,6500" +st "I0" +blo "900,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6100,1500,-6100,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3500,3400,4500" +st "Library" +blo "500,4300" +) +*52 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4500,7500,5500" +st "VhdlComponent" +blo "500,5300" +) +*53 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5500,1500,6500" +st "I0" +blo "500,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1500,-6500,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-450,0,8450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +font "courier,8,1" +) +xt "50,3500,2950,4500" +st "Library" +blo "50,4300" +) +*55 (Text +va (VaSet +font "courier,8,1" +) +xt "50,4500,7950,5500" +st "VerilogComponent" +blo "50,5300" +) +*56 (Text +va (VaSet +font "courier,8,1" +) +xt "50,5500,1050,6500" +st "I0" +blo "50,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6950,1500,-6950,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,4000,4850,5000" +st "eb1" +blo "3150,4800" +tm "HdlTextNameMgr" +) +*58 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,5000,3950,6000" +st "1" +blo "3150,5800" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,2600,1200" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-500,-500,500,500" +st "G" +blo "-500,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,1900,1000" +st "sig0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2400,1000" +st "dbus0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,3000,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1200,2000" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,17400,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*60 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,10800,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*62 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,-44200,5400,-43200" +st "Declarations" +blo "0,-43400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,-43200,2700,-42200" +st "Ports:" +blo "0,-42400" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,-42200,3800,-41200" +st "Pre User:" +blo "0,-41400" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-41200,21000,-40300" +st "constant counterBitNb : natural := 4;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "0,-40300,7100,-39300" +st "Diagram Signals:" +blo "0,-39500" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,-44200,4700,-43200" +st "Post User:" +blo "0,-43400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,-44200,0,-44200" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 104,0 +usingSuid 1 +emptyRow *63 (LEmptyRow +) +uid 54,0 +optionalChildren [ +*64 (RefLabelRowHdr +) +*65 (TitleRowHdr +) +*66 (FilterRowHdr +) +*67 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*68 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*69 (GroupColHdr +tm "GroupColHdrMgr" +) +*70 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*71 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*72 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*73 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*74 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*75 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*76 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 100,0 +) +) +uid 6122,0 +) +*77 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 101,0 +) +) +uid 6124,0 +) +*78 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 102,0 +) +) +uid 6126,0 +) +*79 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "toggle" +t "std_ulogic" +o 4 +suid 104,0 +) +) +uid 6314,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 67,0 +optionalChildren [ +*80 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *81 (MRCItem +litem &63 +pos 4 +dimension 20 +) +uid 69,0 +optionalChildren [ +*82 (MRCItem +litem &64 +pos 0 +dimension 20 +uid 70,0 +) +*83 (MRCItem +litem &65 +pos 1 +dimension 23 +uid 71,0 +) +*84 (MRCItem +litem &66 +pos 2 +hidden 1 +dimension 20 +uid 72,0 +) +*85 (MRCItem +litem &76 +pos 0 +dimension 20 +uid 6123,0 +) +*86 (MRCItem +litem &77 +pos 1 +dimension 20 +uid 6125,0 +) +*87 (MRCItem +litem &78 +pos 2 +dimension 20 +uid 6127,0 +) +*88 (MRCItem +litem &79 +pos 3 +dimension 20 +uid 6315,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*89 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 74,0 +) +*90 (MRCItem +litem &69 +pos 1 +dimension 50 +uid 75,0 +) +*91 (MRCItem +litem &70 +pos 2 +dimension 100 +uid 76,0 +) +*92 (MRCItem +litem &71 +pos 3 +dimension 50 +uid 77,0 +) +*93 (MRCItem +litem &72 +pos 4 +dimension 100 +uid 78,0 +) +*94 (MRCItem +litem &73 +pos 5 +dimension 100 +uid 79,0 +) +*95 (MRCItem +litem &74 +pos 6 +dimension 50 +uid 80,0 +) +*96 (MRCItem +litem &75 +pos 7 +dimension 80 +uid 81,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 68,0 +vaOverrides [ +] +) +] +) +uid 53,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *97 (LEmptyRow +) +uid 83,0 +optionalChildren [ +*98 (RefLabelRowHdr +) +*99 (TitleRowHdr +) +*100 (FilterRowHdr +) +*101 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*102 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*103 (GroupColHdr +tm "GroupColHdrMgr" +) +*104 (NameColHdr +tm "GenericNameColHdrMgr" +) +*105 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*106 (InitColHdr +tm "GenericValueColHdrMgr" +) +*107 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*108 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 95,0 +optionalChildren [ +*109 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *110 (MRCItem +litem &97 +pos 0 +dimension 20 +) +uid 97,0 +optionalChildren [ +*111 (MRCItem +litem &98 +pos 0 +dimension 20 +uid 98,0 +) +*112 (MRCItem +litem &99 +pos 1 +dimension 23 +uid 99,0 +) +*113 (MRCItem +litem &100 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*114 (MRCItem +litem &101 +pos 0 +dimension 20 +uid 102,0 +) +*115 (MRCItem +litem &103 +pos 1 +dimension 50 +uid 103,0 +) +*116 (MRCItem +litem &104 +pos 2 +dimension 100 +uid 104,0 +) +*117 (MRCItem +litem &105 +pos 3 +dimension 100 +uid 105,0 +) +*118 (MRCItem +litem &106 +pos 4 +dimension 50 +uid 106,0 +) +*119 (MRCItem +litem &107 +pos 5 +dimension 50 +uid 107,0 +) +*120 (MRCItem +litem &108 +pos 6 +dimension 80 +uid 108,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 96,0 +vaOverrides [ +] +) +] +) +uid 82,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Common_test/hds/toggler_tb/symbol.sb b/Libs/Common_test/hds/toggler_tb/symbol.sb new file mode 100644 index 0000000..f463423 --- /dev/null +++ b/Libs/Common_test/hds/toggler_tb/symbol.sb @@ -0,0 +1,1278 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 68,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 97,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 98,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 101,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 102,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 103,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 104,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 105,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 106,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "toggler_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:56" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "toggler_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modeltech_6.3g\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "c:\\eda\\modeltech_6.2c\\win32" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:56" +) +(vvPair +variable "unit" +value "toggler_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15000,28200,15900" +st "Common_test" +blo "22200,15700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15900,27700,16800" +st "toggler_tb" +blo "22200,16600" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,62600,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,50600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,2000,44500,2900" +st "User:" +blo "42000,2700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,44000,2900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 107,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol:CDM" +) diff --git a/Libs/Common_test/hds/toggler_tester/interface b/Libs/Common_test/hds/toggler_tester/interface new file mode 100644 index 0000000..e542199 --- /dev/null +++ b/Libs/Common_test/hds/toggler_tester/interface @@ -0,0 +1,1523 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 16,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 81,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 13,0 +) +) +uid 304,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 14,0 +) +) +uid 306,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 15,0 +) +) +uid 308,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "toggle" +t "std_ulogic" +o 4 +suid 16,0 +) +) +uid 310,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 97,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 98,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 305,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 307,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 309,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 311,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 101,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 102,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 103,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 104,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 105,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 106,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 107,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 108,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 80,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*47 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *48 (MRCItem +litem &35 +pos 3 +dimension 20 +) +uid 124,0 +optionalChildren [ +*49 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 125,0 +) +*50 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 126,0 +) +*51 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*52 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 129,0 +) +*53 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 130,0 +) +*54 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 131,0 +) +*55 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 132,0 +) +*56 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 133,0 +) +*57 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 134,0 +) +*58 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 135,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tester/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tester/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tester" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tester" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "toggler_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:56" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "toggler_tester" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tester/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tester/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:43:56" +) +(vvPair +variable "unit" +value "toggler_tester" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 284,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 285,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 286,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 287,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "26550,7000,27450,9500" +st "clock" +ju 2 +blo "27250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 288,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,59000,3800" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 13,0 +) +) +) +*61 (CptPort +uid 289,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 290,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 291,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 292,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "22550,7000,23450,9500" +st "input" +ju 2 +blo "23250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 293,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,59000,4700" +st "input : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 14,0 +) +) +) +*62 (CptPort +uid 294,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 295,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 296,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 297,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "28550,7000,29450,9500" +st "reset" +ju 2 +blo "29250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 298,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,58000,5600" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 15,0 +) +) +) +*63 (CptPort +uid 299,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 300,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50625,5250,51375,6000" +) +tg (CPTG +uid 301,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 302,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "50550,7000,51450,10000" +st "toggle" +ju 2 +blo "51250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 303,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,59000,2900" +st "toggle : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "toggle" +t "std_ulogic" +o 4 +suid 16,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,59000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "33250,9100,39250,10000" +st "Common_test" +blo "33250,9800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "33250,10000,40750,10900" +st "toggler_tester" +blo "33250,10700" +) +) +gi *64 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "13000,6000,23500,6900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*65 (Grouping +uid 16,0 +optionalChildren [ +*66 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,53000,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *76 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*78 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Common_test" +entityName "toggler_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *79 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *80 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,5600,44500,6500" +st "User:" +blo "42000,6300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,44000,6500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 311,0 +activeModelName "Symbol:CDM" +) diff --git a/Libs/Common_test/sim/debouncerULogicVector.do b/Libs/Common_test/sim/debouncerULogicVector.do new file mode 100644 index 0000000..dc4bdbd --- /dev/null +++ b/Libs/Common_test/sim/debouncerULogicVector.do @@ -0,0 +1,24 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /debouncerulogicvector_tb/reset +add wave -noupdate /debouncerulogicvector_tb/clock +add wave -noupdate -expand /debouncerulogicvector_tb/input +add wave -noupdate -format Analog-Step -height 30 -max 15.0 -radix unsigned /debouncerulogicvector_tb/i_filt/debouncecounter +add wave -noupdate -expand /debouncerulogicvector_tb/debounced +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {8842679128 ps} 0} +configure wave -namecolwidth 285 +configure wave -valuecolwidth 42 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1000 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits us +update +WaveRestoreZoom {0 ps} {2100 ns} diff --git a/Libs/Common_test/sim/rotaryToUnsigned.do b/Libs/Common_test/sim/rotaryToUnsigned.do new file mode 100644 index 0000000..36ec08a --- /dev/null +++ b/Libs/Common_test/sim/rotaryToUnsigned.do @@ -0,0 +1,26 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /rotarytounsigned_tb/reset +add wave -noupdate /rotarytounsigned_tb/clock +add wave -noupdate -format Analog-Step -height 50 -max 14.999999999999998 -radix unsigned -radixshowbase 0 /rotarytounsigned_tb/rotary +add wave -noupdate /rotarytounsigned_tb/I_DUT/glitchDelayCounter +add wave -noupdate -format Analog-Step -height 50 -max 14.999999999999998 -radix unsigned -childformat {{/rotarytounsigned_tb/I_DUT/rotaryStable(3) -radix unsigned} {/rotarytounsigned_tb/I_DUT/rotaryStable(2) -radix unsigned} {/rotarytounsigned_tb/I_DUT/rotaryStable(1) -radix unsigned} {/rotarytounsigned_tb/I_DUT/rotaryStable(0) -radix unsigned}} -radixshowbase 0 -subitemconfig {/rotarytounsigned_tb/I_DUT/rotaryStable(3) {-height 17 -radix unsigned -radixshowbase 0} /rotarytounsigned_tb/I_DUT/rotaryStable(2) {-height 17 -radix unsigned -radixshowbase 0} /rotarytounsigned_tb/I_DUT/rotaryStable(1) {-height 17 -radix unsigned -radixshowbase 0} /rotarytounsigned_tb/I_DUT/rotaryStable(0) {-height 17 -radix unsigned -radixshowbase 0}} /rotarytounsigned_tb/I_DUT/rotaryStable +add wave -noupdate -format Analog-Step -height 200 -max 63.0 -radix unsigned -radixshowbase 0 /rotarytounsigned_tb/number +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {0 ps} 0} +quietly wave cursor active 0 +configure wave -namecolwidth 243 +configure wave -valuecolwidth 40 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ns +update +WaveRestoreZoom {0 ps} {210 us} diff --git a/Libs/Common_test/sim/spikeFilter.do b/Libs/Common_test/sim/spikeFilter.do new file mode 100644 index 0000000..be55526 --- /dev/null +++ b/Libs/Common_test/sim/spikeFilter.do @@ -0,0 +1,23 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /spikefilter_tb/reset +add wave -noupdate /spikefilter_tb/clock +add wave -noupdate /spikefilter_tb/input +add wave -noupdate /spikefilter_tb/filtered +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {3129283489 ps} 0} +configure wave -namecolwidth 285 +configure wave -valuecolwidth 42 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1000 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits us +update +WaveRestoreZoom {0 ps} {42 us} diff --git a/Libs/Gates/hdl/and2_sim.vhd b/Libs/Gates/hdl/and2_sim.vhd new file mode 100644 index 0000000..f2c1ddd --- /dev/null +++ b/Libs/Gates/hdl/and2_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF and2 IS +BEGIN + out1 <= in1 and in2 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/and2inv1_sim.vhd b/Libs/Gates/hdl/and2inv1_sim.vhd new file mode 100644 index 0000000..61770f5 --- /dev/null +++ b/Libs/Gates/hdl/and2inv1_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF and2inv1 IS +BEGIN + out1 <= in1 and (not in2) after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/and2inv2_sim.vhd b/Libs/Gates/hdl/and2inv2_sim.vhd new file mode 100644 index 0000000..356240a --- /dev/null +++ b/Libs/Gates/hdl/and2inv2_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF and2inv2 IS +BEGIN + out1 <= (not in1) and (not in2) after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/and3_sim.vhd b/Libs/Gates/hdl/and3_sim.vhd new file mode 100644 index 0000000..c1468e4 --- /dev/null +++ b/Libs/Gates/hdl/and3_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF and3 IS +BEGIN + out1 <= in1 and in2 and in3 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/and3inv1_sim.vhd b/Libs/Gates/hdl/and3inv1_sim.vhd new file mode 100644 index 0000000..7df64cb --- /dev/null +++ b/Libs/Gates/hdl/and3inv1_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF and3inv1 IS +BEGIN + out1 <= (not in1) and in2 and in3 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/and3inv2_sim.vhd b/Libs/Gates/hdl/and3inv2_sim.vhd new file mode 100644 index 0000000..1c3d98d --- /dev/null +++ b/Libs/Gates/hdl/and3inv2_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF and3inv2 IS +BEGIN + out1 <= (not in1) and (not in2) and in3 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/and3inv3_sim.vhd b/Libs/Gates/hdl/and3inv3_sim.vhd new file mode 100644 index 0000000..1aaf84a --- /dev/null +++ b/Libs/Gates/hdl/and3inv3_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF and3inv3 IS +BEGIN + out1 <= (not in1) and (not in2) and (not in3) after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/and4_sim.vhd b/Libs/Gates/hdl/and4_sim.vhd new file mode 100644 index 0000000..a1ebcb2 --- /dev/null +++ b/Libs/Gates/hdl/and4_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF and4 IS +BEGIN + out1 <= in1 and in2 and in3 and in4 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/and4inv1_sim.vhd b/Libs/Gates/hdl/and4inv1_sim.vhd new file mode 100644 index 0000000..aee155f --- /dev/null +++ b/Libs/Gates/hdl/and4inv1_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF and4inv1 IS +BEGIN + out1 <= (not in1) and in2 and in3 and in4 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/and4inv2_sim.vhd b/Libs/Gates/hdl/and4inv2_sim.vhd new file mode 100644 index 0000000..f87e651 --- /dev/null +++ b/Libs/Gates/hdl/and4inv2_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF and4inv2 IS +BEGIN + out1 <= (not in1) and (not in2) and in3 and in4 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/and4inv3_sim.vhd b/Libs/Gates/hdl/and4inv3_sim.vhd new file mode 100644 index 0000000..6547d0f --- /dev/null +++ b/Libs/Gates/hdl/and4inv3_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF and4inv3 IS +BEGIN + out1 <= (not in1) and (not in2) and (not in3) and in4 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/and4inv4_sim.vhd b/Libs/Gates/hdl/and4inv4_sim.vhd new file mode 100644 index 0000000..55cde7f --- /dev/null +++ b/Libs/Gates/hdl/and4inv4_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF and4inv4 IS +BEGIN + out1 <= (not in1) and (not in2) and (not in3) and (not in4) after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/and5_sim.vhd b/Libs/Gates/hdl/and5_sim.vhd new file mode 100644 index 0000000..b73d266 --- /dev/null +++ b/Libs/Gates/hdl/and5_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF and5 IS +BEGIN + out1 <= in1 and in2 and in3 and in4 and in5 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/bufferLogicVector_sim.vhd b/Libs/Gates/hdl/bufferLogicVector_sim.vhd new file mode 100644 index 0000000..86feae4 --- /dev/null +++ b/Libs/Gates/hdl/bufferLogicVector_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF bufferLogicVector IS +BEGIN + out1 <= in1 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/bufferSigned_sim.vhd b/Libs/Gates/hdl/bufferSigned_sim.vhd new file mode 100644 index 0000000..64a3a6a --- /dev/null +++ b/Libs/Gates/hdl/bufferSigned_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF bufferSigned IS +BEGIN + out1 <= in1 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/bufferULogicVector_sim.vhd b/Libs/Gates/hdl/bufferULogicVector_sim.vhd new file mode 100644 index 0000000..c800c2f --- /dev/null +++ b/Libs/Gates/hdl/bufferULogicVector_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF bufferUlogicVector IS +BEGIN + out1 <= in1 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/bufferULogic_sim.vhd b/Libs/Gates/hdl/bufferULogic_sim.vhd new file mode 100644 index 0000000..ecd14af --- /dev/null +++ b/Libs/Gates/hdl/bufferULogic_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF bufferUlogic IS +BEGIN + out1 <= in1 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/bufferUnsigned_sim.vhd b/Libs/Gates/hdl/bufferUnsigned_sim.vhd new file mode 100644 index 0000000..937ada0 --- /dev/null +++ b/Libs/Gates/hdl/bufferUnsigned_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF bufferUnsigned IS +BEGIN + out1 <= in1 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/demux1to2_sim.vhd b/Libs/Gates/hdl/demux1to2_sim.vhd new file mode 100644 index 0000000..82e98b8 --- /dev/null +++ b/Libs/Gates/hdl/demux1to2_sim.vhd @@ -0,0 +1,19 @@ +ARCHITECTURE sim OF demux1to2 IS +BEGIN + + process(sel, in1) + begin + -- default values + out0 <= '0'; + out1 <= '0'; + + -- selection + case sel is + when '0' => out0 <= in1 after delay; + when '1' => out1 <= in1 after delay; + when others => NULL; + end case; + + end process; + +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/demux1to4_sim.vhd b/Libs/Gates/hdl/demux1to4_sim.vhd new file mode 100644 index 0000000..2d76d3b --- /dev/null +++ b/Libs/Gates/hdl/demux1to4_sim.vhd @@ -0,0 +1,23 @@ +ARCHITECTURE sim OF demux1to4 IS +BEGIN + + process(sel, in1) + begin + -- default values + out0 <= '0'; + out1 <= '0'; + out2 <= '0'; + out3 <= '0'; + + -- selection + case sel is + when "00" => out0 <= in1 after delay; + when "01" => out1 <= in1 after delay; + when "10" => out2 <= in1 after delay; + when "11" => out3 <= in1 after delay; + when others => NULL; + end case; + + end process; + +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/gates_pkg.vhd b/Libs/Gates/hdl/gates_pkg.vhd new file mode 100644 index 0000000..46d5370 --- /dev/null +++ b/Libs/Gates/hdl/gates_pkg.vhd @@ -0,0 +1,9 @@ +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +PACKAGE gates IS + +-- constant gateDelay: time := 1 ns; + constant gateDelay: time := 0.1 ns; + +END gates; diff --git a/Libs/Gates/hdl/inverter_sim.vhd b/Libs/Gates/hdl/inverter_sim.vhd new file mode 100644 index 0000000..82499cf --- /dev/null +++ b/Libs/Gates/hdl/inverter_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF inverter IS +BEGIN + out1 <= NOT in1 after delay; +END sim; diff --git a/Libs/Gates/hdl/logic0_sim.vhd b/Libs/Gates/hdl/logic0_sim.vhd new file mode 100644 index 0000000..4bf83a8 --- /dev/null +++ b/Libs/Gates/hdl/logic0_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF logic0 IS +BEGIN + logic_0 <= '0'; +END sim; diff --git a/Libs/Gates/hdl/logic1_sim.vhd b/Libs/Gates/hdl/logic1_sim.vhd new file mode 100644 index 0000000..7a5359e --- /dev/null +++ b/Libs/Gates/hdl/logic1_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF logic1 IS +BEGIN + logic_1 <= '1'; +END sim; diff --git a/Libs/Gates/hdl/mux16to1_sim.vhd b/Libs/Gates/hdl/mux16to1_sim.vhd new file mode 100644 index 0000000..3364b29 --- /dev/null +++ b/Libs/Gates/hdl/mux16to1_sim.vhd @@ -0,0 +1,33 @@ +ARCHITECTURE sim OF mux16to1 IS + +BEGIN + + P1: process(sel, + in0, in1, in2, in3, + in4, in5, in6, in7, + in8, in9, in10, in11, + in12, in13, in14, in15 + ) + begin + case to_integer(sel) is + when 0 => muxOut <= in0 after delay; + when 1 => muxOut <= in1 after delay; + when 2 => muxOut <= in2 after delay; + when 3 => muxOut <= in3 after delay; + when 4 => muxOut <= in4 after delay; + when 5 => muxOut <= in5 after delay; + when 6 => muxOut <= in6 after delay; + when 7 => muxOut <= in7 after delay; + when 8 => muxOut <= in8 after delay; + when 9 => muxOut <= in9 after delay; + when 10 => muxOut <= in10 after delay; + when 11 => muxOut <= in11 after delay; + when 12 => muxOut <= in12 after delay; + when 13 => muxOut <= in13 after delay; + when 14 => muxOut <= in14 after delay; + when 15 => muxOut <= in15 after delay; + when others => null; + end case; + end process P1; + +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/mux2to1Signed_sim.vhd b/Libs/Gates/hdl/mux2to1Signed_sim.vhd new file mode 100644 index 0000000..9f88771 --- /dev/null +++ b/Libs/Gates/hdl/mux2to1Signed_sim.vhd @@ -0,0 +1,22 @@ +ARCHITECTURE sim OF mux2to1Signed IS + + signal selInt: std_ulogic; + +BEGIN + + selInt <= to_X01(sel); + + muxSelect: process(selInt, in0, in1) + begin + if selInt = '0' then + muxOut <= in0 after delay; + elsif selInt = '1' then + muxOut <= in1 after delay; + elsif in0 = in1 then + muxOut <= in0 after delay; + else + muxOut <= (others => 'X') after delay; + end if; + end process muxSelect; + +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/mux2to1ULogicVector_sim.vhd b/Libs/Gates/hdl/mux2to1ULogicVector_sim.vhd new file mode 100644 index 0000000..7820eb9 --- /dev/null +++ b/Libs/Gates/hdl/mux2to1ULogicVector_sim.vhd @@ -0,0 +1,22 @@ +ARCHITECTURE sim OF mux2to1ULogicVector IS + + signal selInt: std_ulogic; + +BEGIN + + selInt <= to_X01(sel); + + muxSelect: process(selInt, in0, in1) + begin + if selInt = '0' then + muxOut <= in0 after delay; + elsif selInt = '1' then + muxOut <= in1 after delay; + elsif in0 = in1 then + muxOut <= in0 after delay; + else + muxOut <= (others => 'X') after delay; + end if; + end process muxSelect; + +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/mux2to1Unsigned_sim.vhd b/Libs/Gates/hdl/mux2to1Unsigned_sim.vhd new file mode 100644 index 0000000..6d43ff6 --- /dev/null +++ b/Libs/Gates/hdl/mux2to1Unsigned_sim.vhd @@ -0,0 +1,22 @@ +ARCHITECTURE sim OF mux2to1Unsigned IS + + signal selInt: std_ulogic; + +BEGIN + + selInt <= to_X01(sel); + + muxSelect: process(selInt, in0, in1) + begin + if selInt = '0' then + muxOut <= in0 after delay; + elsif selInt = '1' then + muxOut <= in1 after delay; + elsif in0 = in1 then + muxOut <= in0 after delay; + else + muxOut <= (others => 'X') after delay; + end if; + end process muxSelect; + +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/mux2to1_sim.vhd b/Libs/Gates/hdl/mux2to1_sim.vhd new file mode 100644 index 0000000..ebc7d46 --- /dev/null +++ b/Libs/Gates/hdl/mux2to1_sim.vhd @@ -0,0 +1,43 @@ +ARCHITECTURE sim OF mux2to1 IS + subtype tSelect is std_uLogic_vector(0 to 2); +BEGIN + + muxSelect: process(sel, in0, in1) + begin + if sel = '1' then + muxOut <= in1 after delay; + elsif sel = '0' then + muxOut <= in0 after delay; + else + muxOut <= 'X' after delay; + end if; + end process muxSelect; + +-- muxSelect: process(sel, in0, in1) +-- begin +-- -- case tSelect'(to_X01(sel & in0 & in1)) is +-- case to_X01(tSelect'(sel & in0 & in1)) is +-- -- select in0 +-- when "000" => muxOut <= '0' after delay; +-- when "001" => muxOut <= '0' after delay; +-- when "00X" => muxOut <= '0' after delay; +-- when "010" => muxOut <= '1' after delay; +-- when "011" => muxOut <= '1' after delay; +-- when "01X" => muxOut <= '1' after delay; +-- -- select in1 +-- when "100" => muxOut <= '0' after delay; +-- when "110" => muxOut <= '0' after delay; +-- when "1X0" => muxOut <= '0' after delay; +-- when "101" => muxOut <= '1' after delay; +-- when "111" => muxOut <= '1' after delay; +-- when "1X1" => muxOut <= '1' after delay; +-- -- select in0 equal to in1 +-- when "X00" => muxOut <= '0' after delay; +-- when "X11" => muxOut <= '1' after delay; +-- -- others +-- when others => muxOut <= 'X' after delay; +-- end case; +-- end process muxSelect; + + +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/mux4to1Signed_sim.vhd b/Libs/Gates/hdl/mux4to1Signed_sim.vhd new file mode 100644 index 0000000..7e9e5f4 --- /dev/null +++ b/Libs/Gates/hdl/mux4to1Signed_sim.vhd @@ -0,0 +1,16 @@ +ARCHITECTURE sim OF mux4to1Signed IS + +BEGIN + + muxSelect: process(sel, in0, in1, in2, in3) + begin + case to_integer(sel) is + when 0 => muxOut <= in0 after delay; + when 1 => muxOut <= in1 after delay; + when 2 => muxOut <= in2 after delay; + when 3 => muxOut <= in3 after delay; + when others => muxOut <= (others => 'X') after delay; + end case; + end process muxSelect; + +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/mux4to1ULogicVector_sim.vhd b/Libs/Gates/hdl/mux4to1ULogicVector_sim.vhd new file mode 100644 index 0000000..a3e8aa4 --- /dev/null +++ b/Libs/Gates/hdl/mux4to1ULogicVector_sim.vhd @@ -0,0 +1,16 @@ +ARCHITECTURE sim OF mux4to1ULogicVector IS + +BEGIN + + muxSelect: process(sel, in0, in1, in2, in3) + begin + case to_integer(sel) is + when 0 => muxOut <= in0 after delay; + when 1 => muxOut <= in1 after delay; + when 2 => muxOut <= in2 after delay; + when 3 => muxOut <= in3 after delay; + when others => muxOut <= (others => 'X') after delay; + end case; + end process muxSelect; + +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/mux4to1Unsigned_sim.vhd b/Libs/Gates/hdl/mux4to1Unsigned_sim.vhd new file mode 100644 index 0000000..eb5c7a0 --- /dev/null +++ b/Libs/Gates/hdl/mux4to1Unsigned_sim.vhd @@ -0,0 +1,16 @@ +ARCHITECTURE sim OF mux4to1Unsigned IS + +BEGIN + + muxSelect: process(sel, in0, in1, in2, in3) + begin + case to_integer(sel) is + when 0 => muxOut <= in0 after delay; + when 1 => muxOut <= in1 after delay; + when 2 => muxOut <= in2 after delay; + when 3 => muxOut <= in3 after delay; + when others => muxOut <= (others => 'X') after delay; + end case; + end process muxSelect; + +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/mux4to1_sim.vhd b/Libs/Gates/hdl/mux4to1_sim.vhd new file mode 100644 index 0000000..0b95077 --- /dev/null +++ b/Libs/Gates/hdl/mux4to1_sim.vhd @@ -0,0 +1,16 @@ +ARCHITECTURE sim OF mux4to1 IS + +BEGIN + + muxSelect: process(sel, in0, in1, in2, in3) + begin + case to_integer(sel) is + when 0 => muxOut <= in0 after delay; + when 1 => muxOut <= in1 after delay; + when 2 => muxOut <= in2 after delay; + when 3 => muxOut <= in3 after delay; + when others => muxOut <= 'X' after delay; + end case; + end process muxSelect; + +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/nand2_sim.vhd b/Libs/Gates/hdl/nand2_sim.vhd new file mode 100644 index 0000000..91a4938 --- /dev/null +++ b/Libs/Gates/hdl/nand2_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF nand2 IS +BEGIN + out1 <= not( in1 and in2 ) after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/nor2_m_sim.vhd b/Libs/Gates/hdl/nor2_m_sim.vhd new file mode 100644 index 0000000..ff972f0 --- /dev/null +++ b/Libs/Gates/hdl/nor2_m_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF nor2_m IS +BEGIN + out1 <= (not in1) and (not in2) after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/nor2_sim.vhd b/Libs/Gates/hdl/nor2_sim.vhd new file mode 100644 index 0000000..c7dc8dc --- /dev/null +++ b/Libs/Gates/hdl/nor2_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF nor2 IS +BEGIN + out1 <= not( in1 or in2 ) after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/onesSigned_sim.vhd b/Libs/Gates/hdl/onesSigned_sim.vhd new file mode 100644 index 0000000..09f9420 --- /dev/null +++ b/Libs/Gates/hdl/onesSigned_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF onesSigned IS +BEGIN + ones <= (others => '1'); +END sim; diff --git a/Libs/Gates/hdl/onesUnsigned_sim.vhd b/Libs/Gates/hdl/onesUnsigned_sim.vhd new file mode 100644 index 0000000..2778f83 --- /dev/null +++ b/Libs/Gates/hdl/onesUnsigned_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF onesUnsigned IS +BEGIN + ones <= (others => '1'); +END sim; diff --git a/Libs/Gates/hdl/or2_m_sim.vhd b/Libs/Gates/hdl/or2_m_sim.vhd new file mode 100644 index 0000000..a760cfd --- /dev/null +++ b/Libs/Gates/hdl/or2_m_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF or2_m IS +BEGIN + out1 <= in1 or in2 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/or2_sim.vhd b/Libs/Gates/hdl/or2_sim.vhd new file mode 100644 index 0000000..6675513 --- /dev/null +++ b/Libs/Gates/hdl/or2_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF or2 IS +BEGIN + out1 <= in1 or in2 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/or2inv1_sim.vhd b/Libs/Gates/hdl/or2inv1_sim.vhd new file mode 100644 index 0000000..05e1584 --- /dev/null +++ b/Libs/Gates/hdl/or2inv1_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF or2inv1 IS +BEGIN + out1 <= (not in1) or in2 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/or2inv2_sim.vhd b/Libs/Gates/hdl/or2inv2_sim.vhd new file mode 100644 index 0000000..bc6aac1 --- /dev/null +++ b/Libs/Gates/hdl/or2inv2_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF or2inv2 IS +BEGIN + out1 <= (not in1) or (not in2) after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/or3_m_sim.vhd b/Libs/Gates/hdl/or3_m_sim.vhd new file mode 100644 index 0000000..36591ca --- /dev/null +++ b/Libs/Gates/hdl/or3_m_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF or3_m IS +BEGIN + out1 <= in1 or in2 or in3 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/or3_sim.vhd b/Libs/Gates/hdl/or3_sim.vhd new file mode 100644 index 0000000..bf6745e --- /dev/null +++ b/Libs/Gates/hdl/or3_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF or3 IS +BEGIN + out1 <= in1 or in2 or in3 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/or4_m_sim.vhd b/Libs/Gates/hdl/or4_m_sim.vhd new file mode 100644 index 0000000..1d4abab --- /dev/null +++ b/Libs/Gates/hdl/or4_m_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF or4_m IS +BEGIN + out1 <= in1 or in2 or in3 or in4 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/or4_sim.vhd b/Libs/Gates/hdl/or4_sim.vhd new file mode 100644 index 0000000..9643021 --- /dev/null +++ b/Libs/Gates/hdl/or4_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF or4 IS +BEGIN + out1 <= in1 or in2 or in3 or in4 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/or5_m_sim.vhd b/Libs/Gates/hdl/or5_m_sim.vhd new file mode 100644 index 0000000..c7e2345 --- /dev/null +++ b/Libs/Gates/hdl/or5_m_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF or5_m IS +BEGIN + out1 <= in1 or in2 or in3 or in4 or in5 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/or5_sim.vhd b/Libs/Gates/hdl/or5_sim.vhd new file mode 100644 index 0000000..817c260 --- /dev/null +++ b/Libs/Gates/hdl/or5_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF or5 IS +BEGIN + out1 <= in1 or in2 or in3 or in4 or in5 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/transLogUlog_sim.vhd b/Libs/Gates/hdl/transLogUlog_sim.vhd new file mode 100644 index 0000000..85b459d --- /dev/null +++ b/Libs/Gates/hdl/transLogUlog_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF transLogUlog IS +BEGIN + out1 <= std_ulogic_vector(in1) after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/transSignedUlog_sim.vhd b/Libs/Gates/hdl/transSignedUlog_sim.vhd new file mode 100644 index 0000000..1d0a8ca --- /dev/null +++ b/Libs/Gates/hdl/transSignedUlog_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF transSignedUlog IS +BEGIN + out1 <= std_ulogic_vector(in1) after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/transSignedUnsigned_sim.vhd b/Libs/Gates/hdl/transSignedUnsigned_sim.vhd new file mode 100644 index 0000000..1d49073 --- /dev/null +++ b/Libs/Gates/hdl/transSignedUnsigned_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF transSignedUnsigned IS +BEGIN + out1 <= unsigned(in1) after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/transUlogSigned_sim.vhd b/Libs/Gates/hdl/transUlogSigned_sim.vhd new file mode 100644 index 0000000..3fa20e8 --- /dev/null +++ b/Libs/Gates/hdl/transUlogSigned_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF transUlogSigned IS +BEGIN + out1 <= signed(in1) after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/transUlogUnsigned_sim.vhd b/Libs/Gates/hdl/transUlogUnsigned_sim.vhd new file mode 100644 index 0000000..e65db96 --- /dev/null +++ b/Libs/Gates/hdl/transUlogUnsigned_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF transUlogUnsigned IS +BEGIN + out1 <= unsigned(in1) after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/transUnsignedSigned_sim.vhd b/Libs/Gates/hdl/transUnsignedSigned_sim.vhd new file mode 100644 index 0000000..31b4904 --- /dev/null +++ b/Libs/Gates/hdl/transUnsignedSigned_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF transUnsignedSigned IS +BEGIN + out1 <= signed(in1) after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/transUnsignedUlog_sim.vhd b/Libs/Gates/hdl/transUnsignedUlog_sim.vhd new file mode 100644 index 0000000..5a8817d --- /dev/null +++ b/Libs/Gates/hdl/transUnsignedUlog_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF transUnsignedUlog IS +BEGIN + out1 <= std_ulogic_vector(in1) after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/xnor2_sim.vhd b/Libs/Gates/hdl/xnor2_sim.vhd new file mode 100644 index 0000000..329e69b --- /dev/null +++ b/Libs/Gates/hdl/xnor2_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF xnor2 IS +BEGIN + xorOut <= not in1 xor in2 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/xor2_sim.vhd b/Libs/Gates/hdl/xor2_sim.vhd new file mode 100644 index 0000000..0095859 --- /dev/null +++ b/Libs/Gates/hdl/xor2_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF xor2 IS +BEGIN + xorOut <= in1 xor in2 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/xor3_sim.vhd b/Libs/Gates/hdl/xor3_sim.vhd new file mode 100644 index 0000000..34941b4 --- /dev/null +++ b/Libs/Gates/hdl/xor3_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF xor3 IS +BEGIN + xorOut <= in1 xor in2 xor in3 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/xor4_sim.vhd b/Libs/Gates/hdl/xor4_sim.vhd new file mode 100644 index 0000000..cc45303 --- /dev/null +++ b/Libs/Gates/hdl/xor4_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF xor4 IS +BEGIN + xorOut <= in1 xor in2 xor in3 xor in4 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/xor5_sim.vhd b/Libs/Gates/hdl/xor5_sim.vhd new file mode 100644 index 0000000..18f9cc0 --- /dev/null +++ b/Libs/Gates/hdl/xor5_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF xor5 IS +BEGIN + xorOut <= in1 xor in2 xor in3 xor in4 xor in5 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/zeroSigned_sim.vhd b/Libs/Gates/hdl/zeroSigned_sim.vhd new file mode 100644 index 0000000..4ca1fa5 --- /dev/null +++ b/Libs/Gates/hdl/zeroSigned_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF zeroSigned IS +BEGIN + zero <= (others => '0'); +END sim; diff --git a/Libs/Gates/hdl/zeroUnsigned_sim.vhd b/Libs/Gates/hdl/zeroUnsigned_sim.vhd new file mode 100644 index 0000000..f645f0b --- /dev/null +++ b/Libs/Gates/hdl/zeroUnsigned_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF zeroUnsigned IS +BEGIN + zero <= (others => '0'); +END sim; diff --git a/Libs/Gates/hds/.hdlsidedata/_and2_entity.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_and2_entity.vhd._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and2_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/Libs/Gates/hds/.hdlsidedata/_and2_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_and2_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and2_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and2_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_and2_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and2_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and2inv1_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_and2inv1_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and2inv1_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and2inv1_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_and2inv1_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and2inv1_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and2inv2_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_and2inv2_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and2inv2_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and2inv2_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_and2inv2_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and2inv2_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and3_entity.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_and3_entity.vhd._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and3_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/Libs/Gates/hds/.hdlsidedata/_and3_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_and3_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and3_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and3_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_and3_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and3_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and3inv1_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_and3inv1_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and3inv1_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and3inv1_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_and3inv1_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and3inv1_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and3inv2_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_and3inv2_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and3inv2_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and3inv2_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_and3inv2_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and3inv2_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and3inv3_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_and3inv3_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and3inv3_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and3inv3_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_and3inv3_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and3inv3_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and4_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_and4_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and4_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and4_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_and4_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and4_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and4inv1_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_and4inv1_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and4inv1_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and4inv1_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_and4inv1_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and4inv1_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and4inv2_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_and4inv2_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and4inv2_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and4inv2_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_and4inv2_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and4inv2_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and4inv3_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_and4inv3_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and4inv3_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and4inv3_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_and4inv3_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and4inv3_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and4inv4_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_and4inv4_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and4inv4_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and4inv4_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_and4inv4_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and4inv4_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and5_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_and5_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and5_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and5_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_and5_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and5_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_bufferLogicVector_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_bufferLogicVector_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_bufferLogicVector_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_bufferSigned_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_bufferSigned_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_bufferSigned_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_bufferULogicVector_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_bufferULogicVector_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_bufferULogicVector_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_bufferULogic_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_bufferULogic_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_bufferULogic_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_bufferUnsigned_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_bufferUnsigned_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_bufferUnsigned_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_bufferlogicvector_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_bufferlogicvector_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_bufferlogicvector_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_buffersigned_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_buffersigned_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_buffersigned_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_bufferulogic_entity.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_bufferulogic_entity.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_bufferulogic_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_bufferulogic_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_bufferulogic_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_bufferulogic_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_bufferulogicvector_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_bufferulogicvector_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_bufferulogicvector_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_bufferunsigned_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_bufferunsigned_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_bufferunsigned_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_demux1to2_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_demux1to2_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_demux1to2_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_demux1to2_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_demux1to2_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_demux1to2_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_demux1to4_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_demux1to4_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_demux1to4_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_demux1to4_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_demux1to4_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_demux1to4_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_gates_pkg.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_gates_pkg.vhd._fpf new file mode 100644 index 0000000..83d563a --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_gates_pkg.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_87 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/Gates/hds/.hdlsidedata/_inverter_entity.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_inverter_entity.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_inverter_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_inverter_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_inverter_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_inverter_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_inverter_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_inverter_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_inverter_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_logic0_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_logic0_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_logic0_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_logic0_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_logic0_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_logic0_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_logic1_entity.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_logic1_entity.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_logic1_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_logic1_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_logic1_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_logic1_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_logic1_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_logic1_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_logic1_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux16to1_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_mux16to1_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux16to1_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux16to1_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_mux16to1_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux16to1_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux2to1Signed_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_mux2to1Signed_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux2to1Signed_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux2to1ULogicVector_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_mux2to1ULogicVector_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux2to1ULogicVector_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux2to1Unsigned_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_mux2to1Unsigned_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux2to1Unsigned_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux2to1_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_mux2to1_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux2to1_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux2to1_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_mux2to1_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux2to1_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux2to1signed_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_mux2to1signed_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux2to1signed_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux2to1ulogicvector_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_mux2to1ulogicvector_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux2to1ulogicvector_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux2to1unsigned_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_mux2to1unsigned_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux2to1unsigned_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux4to1Signed_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_mux4to1Signed_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux4to1Signed_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux4to1ULogicVector_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_mux4to1ULogicVector_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux4to1ULogicVector_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux4to1Unsigned_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_mux4to1Unsigned_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux4to1Unsigned_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux4to1_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_mux4to1_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux4to1_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux4to1_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_mux4to1_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux4to1_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux4to1signed_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_mux4to1signed_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux4to1signed_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux4to1ulogicvector_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_mux4to1ulogicvector_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux4to1ulogicvector_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux4to1unsigned_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_mux4to1unsigned_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux4to1unsigned_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_nand2_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_nand2_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_nand2_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_nand2_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_nand2_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_nand2_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_nor2_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_nor2_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_nor2_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_nor2_m_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_nor2_m_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_nor2_m_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_nor2_m_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_nor2_m_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_nor2_m_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_nor2_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_nor2_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_nor2_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_onesSigned_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_onesSigned_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_onesSigned_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_onesUnsigned_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_onesUnsigned_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_onesUnsigned_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_onessigned_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_onessigned_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_onessigned_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_onesunsigned_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_onesunsigned_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_onesunsigned_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or2_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_or2_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or2_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or2_m_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_or2_m_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or2_m_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or2_m_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_or2_m_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or2_m_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or2_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_or2_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or2_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or2inv1_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_or2inv1_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or2inv1_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or2inv1_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_or2inv1_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or2inv1_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or2inv2_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_or2inv2_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or2inv2_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or2inv2_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_or2inv2_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or2inv2_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or3_entity.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_or3_entity.vhd._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or3_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/Libs/Gates/hds/.hdlsidedata/_or3_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_or3_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or3_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or3_m_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_or3_m_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or3_m_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or3_m_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_or3_m_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or3_m_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or3_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_or3_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or3_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or4_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_or4_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or4_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or4_m_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_or4_m_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or4_m_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or4_m_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_or4_m_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or4_m_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or4_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_or4_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or4_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or5_entity.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_or5_entity.vhd._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or5_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/Libs/Gates/hds/.hdlsidedata/_or5_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_or5_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or5_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or5_m_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_or5_m_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or5_m_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or5_m_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_or5_m_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or5_m_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or5_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_or5_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or5_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_transLogUlog_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_transLogUlog_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_transLogUlog_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_transSignedUlog_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_transSignedUlog_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_transSignedUlog_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_transSignedUnsigned_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_transSignedUnsigned_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_transSignedUnsigned_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_transUlogSigned_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_transUlogSigned_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_transUlogSigned_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_transUlogUnsigned_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_transUlogUnsigned_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_transUlogUnsigned_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_transUnsignedSigned_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_transUnsignedSigned_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_transUnsignedSigned_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_transUnsignedUlog_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_transUnsignedUlog_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_transUnsignedUlog_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_translogulog_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_translogulog_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_translogulog_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_transsignedulog_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_transsignedulog_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_transsignedulog_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_transsignedunsigned_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_transsignedunsigned_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_transsignedunsigned_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_transulogsigned_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_transulogsigned_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_transulogsigned_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_transulogunsigned_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_transulogunsigned_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_transulogunsigned_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_transunsignedsigned_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_transunsignedsigned_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_transunsignedsigned_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_transunsignedulog_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_transunsignedulog_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_transunsignedulog_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_xnor2_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_xnor2_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_xnor2_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_xnor2_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_xnor2_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_xnor2_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_xor2_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_xor2_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_xor2_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_xor2_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_xor2_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_xor2_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_xor3_entity.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_xor3_entity.vhd._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_xor3_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/Libs/Gates/hds/.hdlsidedata/_xor3_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_xor3_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_xor3_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_xor3_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_xor3_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_xor3_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_xor4_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_xor4_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_xor4_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_xor4_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_xor4_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_xor4_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_xor5_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_xor5_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_xor5_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_xor5_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_xor5_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_xor5_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_zeroSigned_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_zeroSigned_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_zeroSigned_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_zeroUnsigned_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_zeroUnsigned_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_zeroUnsigned_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_zerosigned_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_zerosigned_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_zerosigned_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_zerounsigned_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_zerounsigned_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_zerounsigned_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/_and2._epf b/Libs/Gates/hds/_and2._epf new file mode 100644 index 0000000..39ade64 --- /dev/null +++ b/Libs/Gates/hds/_and2._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom and2_sim.vhd diff --git a/Libs/Gates/hds/_and5._epf b/Libs/Gates/hds/_and5._epf new file mode 100644 index 0000000..423ef21 --- /dev/null +++ b/Libs/Gates/hds/_and5._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom and5_sim.vhd diff --git a/Libs/Gates/hds/_bufferlogicvector._epf b/Libs/Gates/hds/_bufferlogicvector._epf new file mode 100644 index 0000000..9df73d3 --- /dev/null +++ b/Libs/Gates/hds/_bufferlogicvector._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom bufferLogicVector_sim.vhd diff --git a/Libs/Gates/hds/_buffersigned._epf b/Libs/Gates/hds/_buffersigned._epf new file mode 100644 index 0000000..7b12431 --- /dev/null +++ b/Libs/Gates/hds/_buffersigned._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom bufferSigned_sim.vhd diff --git a/Libs/Gates/hds/_bufferulogic._epf b/Libs/Gates/hds/_bufferulogic._epf new file mode 100644 index 0000000..35a6dd8 --- /dev/null +++ b/Libs/Gates/hds/_bufferulogic._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom bufferULogic_sim.vhd diff --git a/Libs/Gates/hds/_bufferulogicvector._epf b/Libs/Gates/hds/_bufferulogicvector._epf new file mode 100644 index 0000000..dc22383 --- /dev/null +++ b/Libs/Gates/hds/_bufferulogicvector._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom bufferULogicVector_sim.vhd diff --git a/Libs/Gates/hds/_bufferunsigned._epf b/Libs/Gates/hds/_bufferunsigned._epf new file mode 100644 index 0000000..0e5d4f3 --- /dev/null +++ b/Libs/Gates/hds/_bufferunsigned._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom bufferUnsigned_sim.vhd diff --git a/Libs/Gates/hds/_inverter._epf b/Libs/Gates/hds/_inverter._epf new file mode 100644 index 0000000..ed5001c --- /dev/null +++ b/Libs/Gates/hds/_inverter._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom inverter_sim.vhd diff --git a/Libs/Gates/hds/_logic0._epf b/Libs/Gates/hds/_logic0._epf new file mode 100644 index 0000000..b4b8dc5 --- /dev/null +++ b/Libs/Gates/hds/_logic0._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom logic0_sim.vhd +DEFAULT_ARCHITECTURE atom sim diff --git a/Libs/Gates/hds/_logic1._epf b/Libs/Gates/hds/_logic1._epf new file mode 100644 index 0000000..6d2b94f --- /dev/null +++ b/Libs/Gates/hds/_logic1._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom logic1_sim.vhd +DEFAULT_ARCHITECTURE atom sim diff --git a/Libs/Gates/hds/_mux16to1._epf b/Libs/Gates/hds/_mux16to1._epf new file mode 100644 index 0000000..db9191a --- /dev/null +++ b/Libs/Gates/hds/_mux16to1._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom mux16to1_sim.vhd diff --git a/Libs/Gates/hds/_mux2to1._epf b/Libs/Gates/hds/_mux2to1._epf new file mode 100644 index 0000000..33a1958 --- /dev/null +++ b/Libs/Gates/hds/_mux2to1._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom mux2to1_sim.vhd diff --git a/Libs/Gates/hds/_mux2to1signed._epf b/Libs/Gates/hds/_mux2to1signed._epf new file mode 100644 index 0000000..3bc527c --- /dev/null +++ b/Libs/Gates/hds/_mux2to1signed._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom mux2to1Signed_sim.vhd diff --git a/Libs/Gates/hds/_mux2to1ulogicvector._epf b/Libs/Gates/hds/_mux2to1ulogicvector._epf new file mode 100644 index 0000000..576217a --- /dev/null +++ b/Libs/Gates/hds/_mux2to1ulogicvector._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom mux2to1ULogicVector_sim.vhd diff --git a/Libs/Gates/hds/_mux2to1unsigned._epf b/Libs/Gates/hds/_mux2to1unsigned._epf new file mode 100644 index 0000000..69ff576 --- /dev/null +++ b/Libs/Gates/hds/_mux2to1unsigned._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom mux2to1Unsigned_sim.vhd diff --git a/Libs/Gates/hds/_mux4to1._epf b/Libs/Gates/hds/_mux4to1._epf new file mode 100644 index 0000000..a59efcc --- /dev/null +++ b/Libs/Gates/hds/_mux4to1._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom mux4to1_sim.vhd +DEFAULT_ARCHITECTURE atom sim diff --git a/Libs/Gates/hds/_mux4to1signed._epf b/Libs/Gates/hds/_mux4to1signed._epf new file mode 100644 index 0000000..f789c2a --- /dev/null +++ b/Libs/Gates/hds/_mux4to1signed._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom mux4to1Signed_sim.vhd diff --git a/Libs/Gates/hds/_mux4to1ulogicvector._epf b/Libs/Gates/hds/_mux4to1ulogicvector._epf new file mode 100644 index 0000000..c0b56e7 --- /dev/null +++ b/Libs/Gates/hds/_mux4to1ulogicvector._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom mux4to1ULogicVector_sim.vhd diff --git a/Libs/Gates/hds/_mux4to1unsigned._epf b/Libs/Gates/hds/_mux4to1unsigned._epf new file mode 100644 index 0000000..edd319a --- /dev/null +++ b/Libs/Gates/hds/_mux4to1unsigned._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom mux4to1Unsigned_sim.vhd diff --git a/Libs/Gates/hds/_onessigned._epf b/Libs/Gates/hds/_onessigned._epf new file mode 100644 index 0000000..e10b136 --- /dev/null +++ b/Libs/Gates/hds/_onessigned._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom onesSigned_sim.vhd +DEFAULT_ARCHITECTURE atom sim diff --git a/Libs/Gates/hds/_onesunsigned._epf b/Libs/Gates/hds/_onesunsigned._epf new file mode 100644 index 0000000..68d966b --- /dev/null +++ b/Libs/Gates/hds/_onesunsigned._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom onesUnsigned_sim.vhd +DEFAULT_ARCHITECTURE atom sim diff --git a/Libs/Gates/hds/_or3_m._epf b/Libs/Gates/hds/_or3_m._epf new file mode 100644 index 0000000..64585c2 --- /dev/null +++ b/Libs/Gates/hds/_or3_m._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom or3_m_sim.vhd diff --git a/Libs/Gates/hds/_translogulog._epf b/Libs/Gates/hds/_translogulog._epf new file mode 100644 index 0000000..1dc649e --- /dev/null +++ b/Libs/Gates/hds/_translogulog._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom transLogUlog_sim.vhd diff --git a/Libs/Gates/hds/_transsignedulog._epf b/Libs/Gates/hds/_transsignedulog._epf new file mode 100644 index 0000000..88b1d5b --- /dev/null +++ b/Libs/Gates/hds/_transsignedulog._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom transSignedUlog_sim.vhd diff --git a/Libs/Gates/hds/_transsignedunsigned._epf b/Libs/Gates/hds/_transsignedunsigned._epf new file mode 100644 index 0000000..1088def --- /dev/null +++ b/Libs/Gates/hds/_transsignedunsigned._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom transSignedUnsigned_sim.vhd diff --git a/Libs/Gates/hds/_transulogsigned._epf b/Libs/Gates/hds/_transulogsigned._epf new file mode 100644 index 0000000..81da8e2 --- /dev/null +++ b/Libs/Gates/hds/_transulogsigned._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom transUlogSigned_sim.vhd diff --git a/Libs/Gates/hds/_transulogunsigned._epf b/Libs/Gates/hds/_transulogunsigned._epf new file mode 100644 index 0000000..bc39494 --- /dev/null +++ b/Libs/Gates/hds/_transulogunsigned._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom transUlogUnsigned_sim.vhd diff --git a/Libs/Gates/hds/_transunsignedsigned._epf b/Libs/Gates/hds/_transunsignedsigned._epf new file mode 100644 index 0000000..0649f33 --- /dev/null +++ b/Libs/Gates/hds/_transunsignedsigned._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom transUnsignedSigned_sim.vhd diff --git a/Libs/Gates/hds/_transunsignedulog._epf b/Libs/Gates/hds/_transunsignedulog._epf new file mode 100644 index 0000000..e952239 --- /dev/null +++ b/Libs/Gates/hds/_transunsignedulog._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom transUnsignedUlog_sim.vhd +DEFAULT_ARCHITECTURE atom sim diff --git a/Libs/Gates/hds/_xnor2._epf b/Libs/Gates/hds/_xnor2._epf new file mode 100644 index 0000000..69d89cf --- /dev/null +++ b/Libs/Gates/hds/_xnor2._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom xor2_sim1.vhd +DEFAULT_ARCHITECTURE atom sim diff --git a/Libs/Gates/hds/_zerosigned._epf b/Libs/Gates/hds/_zerosigned._epf new file mode 100644 index 0000000..78a44c6 --- /dev/null +++ b/Libs/Gates/hds/_zerosigned._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom zeroSigned_sim.vhd +DEFAULT_ARCHITECTURE atom sim diff --git a/Libs/Gates/hds/_zerounsigned._epf b/Libs/Gates/hds/_zerounsigned._epf new file mode 100644 index 0000000..4ed55f7 --- /dev/null +++ b/Libs/Gates/hds/_zerounsigned._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom zeroUnsigned_sim.vhd +DEFAULT_ARCHITECTURE atom sim diff --git a/Libs/Gates/hds/and2/symbol.sb b/Libs/Gates/hds/and2/symbol.sb new file mode 100644 index 0000000..bfeaf29 --- /dev/null +++ b/Libs/Gates/hds/and2/symbol.sb @@ -0,0 +1,1479 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 152,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 153,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 154,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +uid 155,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 156,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 95,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 98,0 +) +*20 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 100,0 +) +*21 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 102,0 +) +*22 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 121,0 +) +*23 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 122,0 +) +*24 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 123,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 96,0 +optionalChildren [ +*25 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 104,0 +) +*26 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 108,0 +) +*27 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 110,0 +) +*28 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 112,0 +) +*29 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 114,0 +) +*30 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 116,0 +) +*31 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 118,0 +) +*32 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 120,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 94,0 +vaOverrides [ +] +) +] +) +uid 151,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 158,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 149,0 +) +] +) +pdm (PhysicalDM +uid 159,0 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *47 (MRCItem +litem &33 +pos 1 +dimension 20 +) +uid 125,0 +optionalChildren [ +*48 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 128,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 130,0 +) +*50 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 132,0 +) +*51 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 150,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 126,0 +optionalChildren [ +*52 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 134,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 138,0 +) +*54 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 140,0 +) +*55 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 142,0 +) +*56 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 144,0 +) +*57 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 146,0 +) +*58 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 148,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 124,0 +vaOverrides [ +] +) +] +) +uid 157,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "and2" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:52" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "and2" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:52" +) +(vvPair +variable "unit" +value "and2" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*59 (SymbolBody +uid 11,0 +optionalChildren [ +*60 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,13625,31000,14375" +) +tg (CPTG +uid 89,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "31000,13600,32400,14600" +st "in1" +blo "31000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 84,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11000,13600,12000" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,17625,31000,18375" +) +tg (CPTG +uid 90,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "31000,17600,32400,18600" +st "in2" +blo "31000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11900,13600,12900" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +*62 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37950,15625,38700,16375" +) +tg (CPTG +uid 91,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "36200,15550,38000,16550" +st "out1" +ju 2 +blo "38000,16350" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 86,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12800,13700,13800" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +) +] +shape (And +uid 80,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "31000,13000,38000,19000" +) +showPorts 0 +oxt "9000,12000,18000,20000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "31600,18700,34700,19700" +st "gates" +blo "31600,19500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "31600,19700,34500,20700" +st "and2" +blo "31600,20500" +) +) +gi *63 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "31000,22600,41800,25600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sN 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*64 (Grouping +uid 183,0 +optionalChildren [ +*65 (CommentText +uid 185,0 +shape (Rectangle +uid 186,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 187,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 188,0 +shape (Rectangle +uid 189,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,43000,57000,44000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 190,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,43500,53200,43500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 191,0 +shape (Rectangle +uid 192,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 193,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 194,0 +shape (Rectangle +uid 195,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 196,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 197,0 +shape (Rectangle +uid 198,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,73000,48000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 199,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44200,67300,45400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 200,0 +shape (Rectangle +uid 201,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,43000,73000,44000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 202,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,43500,57200,43500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 203,0 +shape (Rectangle +uid 204,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,43000,53000,45000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 205,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,43400,47650,44600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 206,0 +shape (Rectangle +uid 207,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 208,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 209,0 +shape (Rectangle +uid 210,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 211,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 212,0 +shape (Rectangle +uid 213,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 214,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 184,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,43000,73000,48000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *75 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*76 (Text +uid 92,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-500,5400,500" +st "Package List" +blo "0,300" +) +*77 (MLText +uid 93,0 +va (VaSet +) +xt "0,500,17500,5300" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "42,37,1252,889" +viewArea "-1000,-1600,74316,54488" +cachedDiagramExtent "0,-500,73000,48000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-1000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *78 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *79 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,13700,2500,14600" +st "User:" +blo "0,14400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14600,2000,14600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "20000,9000,20000,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 306,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/and2inv1/symbol.sb b/Libs/Gates/hds/and2inv1/symbol.sb new file mode 100644 index 0000000..00912f7 --- /dev/null +++ b/Libs/Gates/hds/and2inv1/symbol.sb @@ -0,0 +1,1488 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 153,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 154,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 155,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +uid 156,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 157,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 99,0 +) +*20 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 101,0 +) +*21 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 103,0 +) +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 122,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 123,0 +) +*24 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 124,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 97,0 +optionalChildren [ +*25 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 105,0 +) +*26 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 109,0 +) +*27 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 111,0 +) +*28 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 113,0 +) +*29 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 115,0 +) +*30 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 117,0 +) +*31 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 119,0 +) +*32 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 121,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 152,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 159,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 150,0 +) +] +) +pdm (PhysicalDM +uid 160,0 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *47 (MRCItem +litem &33 +pos 1 +dimension 20 +) +uid 126,0 +optionalChildren [ +*48 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 129,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 131,0 +) +*50 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 133,0 +) +*51 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 151,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 127,0 +optionalChildren [ +*52 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 135,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 139,0 +) +*54 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 141,0 +) +*55 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 143,0 +) +*56 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 145,0 +) +*57 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 147,0 +) +*58 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 149,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 125,0 +vaOverrides [ +] +) +] +) +uid 158,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2inv1\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2inv1\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2inv1" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2inv1" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "and2inv1" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:52" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "and2inv1" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2inv1\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2inv1\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:52" +) +(vvPair +variable "unit" +value "and2inv1" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*59 (SymbolBody +uid 11,0 +optionalChildren [ +*60 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,13625,33000,14375" +) +tg (CPTG +uid 89,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "33000,13600,34400,14600" +st "in1" +blo "33000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 84,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13600,13600,14600" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 63,0 +optionalChildren [ +*62 (Circle +uid 94,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32250,17625,33000,18375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,17625,32250,18375" +) +tg (CPTG +uid 90,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "33000,17600,34400,18600" +st "in2" +blo "33000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14500,13600,15500" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +*63 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39950,15625,40700,16375" +) +tg (CPTG +uid 91,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "38200,15550,40000,16550" +st "out1" +ju 2 +blo "40000,16350" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 86,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15400,13700,16400" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +) +] +shape (And +uid 80,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,13000,40000,19000" +) +showPorts 0 +oxt "9000,12000,18000,20000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,18700,36700,19700" +st "gates" +blo "33600,19500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,19700,38500,20700" +st "and2inv1" +blo "33600,20500" +) +) +gi *64 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "33000,21600,43800,24600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sN 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*65 (Grouping +uid 188,0 +optionalChildren [ +*66 (CommentText +uid 190,0 +shape (Rectangle +uid 191,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 192,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 193,0 +shape (Rectangle +uid 194,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,43000,57000,44000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 195,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,43500,53200,43500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 196,0 +shape (Rectangle +uid 197,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 198,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 199,0 +shape (Rectangle +uid 200,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 201,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 202,0 +shape (Rectangle +uid 203,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,73000,48000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 204,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44200,67300,45400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 205,0 +shape (Rectangle +uid 206,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,43000,73000,44000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 207,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,43500,57200,43500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 208,0 +shape (Rectangle +uid 209,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,43000,53000,45000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 210,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,43400,47650,44600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 211,0 +shape (Rectangle +uid 212,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 213,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 214,0 +shape (Rectangle +uid 215,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 216,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 217,0 +shape (Rectangle +uid 218,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 219,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 189,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,43000,73000,48000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *76 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +uid 92,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-1000,5400,0" +st "Package List" +blo "0,-200" +) +*78 (MLText +uid 93,0 +va (VaSet +) +xt "0,0,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "19,52,1381,907" +viewArea "-1067,-2068,78361,47479" +cachedDiagramExtent "0,-1000,73000,48000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-1000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *79 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *80 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11600,5400,12600" +st "Declarations" +blo "0,12400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,12600,2700,13600" +st "Ports:" +blo "0,13400" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,16300,2500,17200" +st "User:" +blo "0,17000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,11600,5800,12600" +st "Internal User:" +blo "0,12400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,17200,2000,17200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "20000,11600,20000,11600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 265,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/and2inv2/symbol.sb b/Libs/Gates/hds/and2inv2/symbol.sb new file mode 100644 index 0000000..70306a6 --- /dev/null +++ b/Libs/Gates/hds/and2inv2/symbol.sb @@ -0,0 +1,1501 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 154,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 155,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +uid 156,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 157,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 158,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 97,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 100,0 +) +*20 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 102,0 +) +*21 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 104,0 +) +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 123,0 +) +*23 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 124,0 +) +*24 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 125,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 98,0 +optionalChildren [ +*25 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 106,0 +) +*26 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 110,0 +) +*27 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 112,0 +) +*28 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 114,0 +) +*29 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 116,0 +) +*30 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 118,0 +) +*31 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 120,0 +) +*32 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 122,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 96,0 +vaOverrides [ +] +) +] +) +uid 153,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 160,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 151,0 +) +] +) +pdm (PhysicalDM +uid 161,0 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *47 (MRCItem +litem &33 +pos 1 +dimension 20 +) +uid 127,0 +optionalChildren [ +*48 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 130,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 132,0 +) +*50 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +*51 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 152,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*52 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 136,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 140,0 +) +*54 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 142,0 +) +*55 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 144,0 +) +*56 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 146,0 +) +*57 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 148,0 +) +*58 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 150,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 126,0 +vaOverrides [ +] +) +] +) +uid 159,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2inv2\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2inv2\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2inv2" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2inv2" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "and2inv2" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:52" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "and2inv2" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2inv2\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2inv2\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:52" +) +(vvPair +variable "unit" +value "and2inv2" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*59 (SymbolBody +uid 11,0 +optionalChildren [ +*60 (CptPort +uid 51,0 +optionalChildren [ +*61 (Circle +uid 95,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32250,16625,33000,17375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,16625,32250,17375" +) +tg (CPTG +uid 89,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "33000,16600,34400,17600" +st "in1" +blo "33000,17400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 84,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12000,13600,13000" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*62 (CptPort +uid 63,0 +optionalChildren [ +*63 (Circle +uid 94,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32250,20625,33000,21375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,20625,32250,21375" +) +tg (CPTG +uid 90,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "33000,20600,34400,21600" +st "in2" +blo "33000,21400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12900,13600,13900" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +*64 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39950,18625,40700,19375" +) +tg (CPTG +uid 91,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "38200,18550,40000,19550" +st "out1" +ju 2 +blo "40000,19350" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 86,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13800,13700,14800" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +) +] +shape (And +uid 80,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,16000,40000,22000" +) +showPorts 0 +oxt "9000,12000,18000,20000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,21700,36700,22700" +st "gates" +blo "33600,22500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,22700,38500,23700" +st "and2inv2" +blo "33600,23500" +) +) +gi *65 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "33000,24600,43800,27600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sN 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*66 (Grouping +uid 185,0 +optionalChildren [ +*67 (CommentText +uid 187,0 +shape (Rectangle +uid 188,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 189,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 190,0 +shape (Rectangle +uid 191,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,43000,57000,44000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 192,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,43500,53200,43500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 193,0 +shape (Rectangle +uid 194,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 195,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 196,0 +shape (Rectangle +uid 197,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 198,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 199,0 +shape (Rectangle +uid 200,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,73000,48000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 201,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44200,67300,45400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 202,0 +shape (Rectangle +uid 203,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,43000,73000,44000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 204,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,43500,57200,43500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 205,0 +shape (Rectangle +uid 206,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,43000,53000,45000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 207,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,43400,47650,44600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 208,0 +shape (Rectangle +uid 209,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 210,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 211,0 +shape (Rectangle +uid 212,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 213,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 214,0 +shape (Rectangle +uid 215,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 216,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 186,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,43000,73000,48000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *77 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +uid 92,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-1000,5400,0" +st "Package List" +blo "0,-200" +) +*79 (MLText +uid 93,0 +va (VaSet +) +xt "0,0,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "22,38,1379,912" +viewArea "-1000,-2000,76384,49680" +cachedDiagramExtent "0,-1000,73000,48000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-1000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *80 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *81 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,10000,5400,11000" +st "Declarations" +blo "0,10800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11000,2700,12000" +st "Ports:" +blo "0,11800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,14700,2500,15600" +st "User:" +blo "0,15400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,10000,5800,11000" +st "Internal User:" +blo "0,10800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15600,2000,15600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "20000,10000,20000,10000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 285,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/and3/symbol.sb b/Libs/Gates/hds/and3/symbol.sb new file mode 100644 index 0000000..82f76e9 --- /dev/null +++ b/Libs/Gates/hds/and3/symbol.sb @@ -0,0 +1,1556 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 152,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 153,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 154,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 155,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 156,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 157,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 94,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 97,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 99,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 101,0 +) +*23 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 120,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 121,0 +) +*25 (MRCItem +litem &4 +pos 3 +dimension 20 +uid 122,0 +) +*26 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 123,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 95,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 103,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 107,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 109,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 111,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 113,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 115,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 117,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 119,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 93,0 +vaOverrides [ +] +) +] +) +uid 151,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 159,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 149,0 +) +] +) +pdm (PhysicalDM +uid 160,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 125,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 128,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 130,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 132,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 150,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 126,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 134,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 138,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 140,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 142,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 144,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 146,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 148,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 124,0 +vaOverrides [ +] +) +] +) +uid 158,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "and3" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:51" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "and3" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:51" +) +(vvPair +variable "unit" +value "and3" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*61 (SymbolBody +uid 11,0 +optionalChildren [ +*62 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,14625,34000,15375" +) +tg (CPTG +uid 87,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "34000,14500,35400,15500" +st "in1" +blo "34000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 81,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16200,13600,17200" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*63 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,18625,34000,19375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +) +xt "34000,18500,35400,19500" +st "in3" +blo "34000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 82,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,18000,13600,19000" +st "in3 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +) +*64 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,16625,34000,17375" +) +tg (CPTG +uid 89,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +) +xt "34000,16500,35400,17500" +st "in2" +blo "34000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 83,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,17100,13600,18100" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*65 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40950,16625,41700,17375" +) +tg (CPTG +uid 90,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +) +xt "39200,16500,41000,17500" +st "out1" +ju 2 +blo "41000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 84,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,18900,13700,19900" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +] +shape (And +uid 80,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,14000,41000,20000" +) +showPorts 0 +oxt "9000,12000,18000,20000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "34600,19700,37700,20700" +st "gates" +blo "34600,20500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "34600,20700,37500,21700" +st "and3" +blo "34600,21500" +) +) +gi *66 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "34000,23600,44800,26600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sN 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*67 (Grouping +uid 184,0 +optionalChildren [ +*68 (CommentText +uid 186,0 +shape (Rectangle +uid 187,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 188,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 189,0 +shape (Rectangle +uid 190,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 191,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 192,0 +shape (Rectangle +uid 193,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 194,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 195,0 +shape (Rectangle +uid 196,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 197,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 198,0 +shape (Rectangle +uid 199,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 200,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 201,0 +shape (Rectangle +uid 202,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 203,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 204,0 +shape (Rectangle +uid 205,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 206,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 207,0 +shape (Rectangle +uid 208,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 209,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 210,0 +shape (Rectangle +uid 211,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 212,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 213,0 +shape (Rectangle +uid 214,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 215,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 185,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *78 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +uid 91,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*80 (MLText +uid 92,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "13,33,1326,1031" +viewArea "-1600,-1100,73886,59896" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *81 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *82 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,14200,5400,15200" +st "Declarations" +blo "0,15000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,15200,2700,16200" +st "Ports:" +blo "0,16000" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,19800,2500,20700" +st "User:" +blo "0,20500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,14200,5800,15200" +st "Internal User:" +blo "0,15000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,20700,2000,20700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "18000,14200,18000,14200" +tm "SyDeclarativeTextMgr" +) +) +lastUid 307,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/and3inv1/symbol.sb b/Libs/Gates/hds/and3inv1/symbol.sb new file mode 100644 index 0000000..9d6ef16 --- /dev/null +++ b/Libs/Gates/hds/and3inv1/symbol.sb @@ -0,0 +1,1550 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 153,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 154,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 155,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 156,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 157,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 158,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 95,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 98,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 100,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 102,0 +) +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 121,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 122,0 +) +*25 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 123,0 +) +*26 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 124,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 96,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 104,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 108,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 110,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 112,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 114,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 116,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 118,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 120,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 94,0 +vaOverrides [ +] +) +] +) +uid 152,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 160,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 150,0 +) +] +) +pdm (PhysicalDM +uid 161,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 126,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 129,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 131,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 133,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 151,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 127,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 135,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 139,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 141,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 143,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 145,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 147,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 149,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 125,0 +vaOverrides [ +] +) +] +) +uid 159,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv1\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv1\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv1" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv1" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "and3inv1" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:51" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "and3inv1" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv1\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv1\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:51" +) +(vvPair +variable "unit" +value "and3inv1" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*61 (SymbolBody +uid 11,0 +optionalChildren [ +*62 (CptPort +uid 51,0 +optionalChildren [ +*63 (Circle +uid 93,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "31250,14625,32000,15375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30500,14625,31250,15375" +) +tg (CPTG +uid 87,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "33000,14500,34400,15500" +st "in1" +blo "33000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 81,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,17200,13600,18200" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*64 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,18625,32000,19375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "33000,18500,34400,19500" +st "in3" +blo "33000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 82,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,19000,13600,20000" +st "in3 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +) +*65 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,16625,32000,17375" +) +tg (CPTG +uid 89,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "33000,16500,34400,17500" +st "in2" +blo "33000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 83,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,18100,13600,19100" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*66 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38950,16625,39700,17375" +) +tg (CPTG +uid 90,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "36200,16500,38000,17500" +st "out1" +ju 2 +blo "38000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 84,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,19900,13700,20900" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +] +shape (And +uid 80,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,14000,39000,20000" +) +showPorts 0 +oxt "9000,12000,18000,20000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "32600,19700,35700,20700" +st "gates" +blo "32600,20500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "32600,20700,37500,21700" +st "and3inv1" +blo "32600,21500" +) +) +gi *67 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "32000,22600,42800,25600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sN 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*68 (Grouping +uid 185,0 +optionalChildren [ +*69 (CommentText +uid 187,0 +shape (Rectangle +uid 188,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 189,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 190,0 +shape (Rectangle +uid 191,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 192,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 193,0 +shape (Rectangle +uid 194,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 195,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 196,0 +shape (Rectangle +uid 197,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 198,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 199,0 +shape (Rectangle +uid 200,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 201,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 202,0 +shape (Rectangle +uid 203,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 204,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 205,0 +shape (Rectangle +uid 206,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 207,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 208,0 +shape (Rectangle +uid 209,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 210,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 211,0 +shape (Rectangle +uid 212,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 213,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 214,0 +shape (Rectangle +uid 215,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 216,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 186,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *79 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +uid 91,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*81 (MLText +uid 92,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,37,1379,953" +viewArea "-1076,-1076,74612,49426" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *82 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *83 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,15200,5400,16200" +st "Declarations" +blo "0,16000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,16200,2700,17200" +st "Ports:" +blo "0,17000" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,20800,2500,21700" +st "User:" +blo "0,21500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15200,5800,16200" +st "Internal User:" +blo "0,16000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,21700,2000,21700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "18000,15200,18000,15200" +tm "SyDeclarativeTextMgr" +) +) +lastUid 262,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/and3inv2/symbol.sb b/Libs/Gates/hds/and3inv2/symbol.sb new file mode 100644 index 0000000..9aa8701 --- /dev/null +++ b/Libs/Gates/hds/and3inv2/symbol.sb @@ -0,0 +1,1563 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 154,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 155,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 156,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 157,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 158,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 159,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 96,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 99,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 101,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 103,0 +) +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 122,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 123,0 +) +*25 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 124,0 +) +*26 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 125,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 97,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 105,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 109,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 111,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 113,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 115,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 117,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 119,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 121,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 153,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 161,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 151,0 +) +] +) +pdm (PhysicalDM +uid 162,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 127,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 130,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 132,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 152,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 136,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 140,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 142,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 144,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 146,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 148,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 150,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 126,0 +vaOverrides [ +] +) +] +) +uid 160,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv2\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv2\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv2" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv2" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "and3inv2" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:51" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "and3inv2" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv2\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv2\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:51" +) +(vvPair +variable "unit" +value "and3inv2" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*61 (SymbolBody +uid 11,0 +optionalChildren [ +*62 (CptPort +uid 51,0 +optionalChildren [ +*63 (Circle +uid 93,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "32250,17625,33000,18375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,17625,32250,18375" +) +tg (CPTG +uid 87,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "33000,17500,34400,18500" +st "in1" +blo "33000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 81,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,13600,10800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*64 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,21625,33000,22375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "33000,21500,34400,22500" +st "in3" +blo "33000,22300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 82,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11600,13600,12600" +st "in3 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +) +*65 (CptPort +uid 63,0 +optionalChildren [ +*66 (Circle +uid 94,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "32250,19625,33000,20375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,19625,32250,20375" +) +tg (CPTG +uid 89,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "33000,19500,34400,20500" +st "in2" +blo "33000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 83,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10700,13600,11700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*67 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39950,19625,40700,20375" +) +tg (CPTG +uid 90,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "38200,19500,40000,20500" +st "out1" +ju 2 +blo "40000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 84,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12500,13700,13500" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +] +shape (And +uid 80,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,17000,40000,23000" +) +showPorts 0 +oxt "9000,12000,18000,20000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,22700,36700,23700" +st "gates" +blo "33600,23500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,23700,38500,24700" +st "and3inv2" +blo "33600,24500" +) +) +gi *68 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "33000,25600,43800,28600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sN 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*69 (Grouping +uid 186,0 +optionalChildren [ +*70 (CommentText +uid 188,0 +shape (Rectangle +uid 189,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 190,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 191,0 +shape (Rectangle +uid 192,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 193,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 194,0 +shape (Rectangle +uid 195,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 196,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 197,0 +shape (Rectangle +uid 198,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 199,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 200,0 +shape (Rectangle +uid 201,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 202,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 203,0 +shape (Rectangle +uid 204,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 205,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 206,0 +shape (Rectangle +uid 207,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 208,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 209,0 +shape (Rectangle +uid 210,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 211,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 212,0 +shape (Rectangle +uid 213,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 214,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 215,0 +shape (Rectangle +uid 216,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 217,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 187,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *80 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 91,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*82 (MLText +uid 92,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,35,1387,950" +viewArea "-1100,-1100,74820,50965" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *83 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *84 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,13400,2500,14300" +st "User:" +blo "0,14100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,7800,5800,8800" +st "Internal User:" +blo "0,8600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14300,2000,14300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "18000,7800,18000,7800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 309,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/and3inv3/symbol.sb b/Libs/Gates/hds/and3inv3/symbol.sb new file mode 100644 index 0000000..3fb7ac6 --- /dev/null +++ b/Libs/Gates/hds/and3inv3/symbol.sb @@ -0,0 +1,1576 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 155,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 156,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 157,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 158,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 159,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 160,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 97,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 100,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 102,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 104,0 +) +*23 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 123,0 +) +*24 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 124,0 +) +*25 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 125,0 +) +*26 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 126,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 98,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 106,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 110,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 112,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 114,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 116,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 118,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 120,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 122,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 96,0 +vaOverrides [ +] +) +] +) +uid 154,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 162,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 152,0 +) +] +) +pdm (PhysicalDM +uid 163,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 128,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 131,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 133,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 135,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 153,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 129,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 137,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 141,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 143,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 145,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 147,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 149,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 151,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 127,0 +vaOverrides [ +] +) +] +) +uid 161,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv3\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv3\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv3" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv3" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "and3inv3" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:51" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "and3inv3" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv3\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv3\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:51" +) +(vvPair +variable "unit" +value "and3inv3" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*61 (SymbolBody +uid 11,0 +optionalChildren [ +*62 (CptPort +uid 51,0 +optionalChildren [ +*63 (Circle +uid 93,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "32250,17625,33000,18375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,17625,32250,18375" +) +tg (CPTG +uid 87,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "33000,17500,34400,18500" +st "in1" +blo "33000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 81,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,13600,10800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*64 (CptPort +uid 57,0 +optionalChildren [ +*65 (Circle +uid 94,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "32250,21625,33000,22375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,21625,32250,22375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "33000,21500,34400,22500" +st "in3" +blo "33000,22300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 82,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11600,13600,12600" +st "in3 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +) +*66 (CptPort +uid 63,0 +optionalChildren [ +*67 (Circle +uid 95,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "32250,19625,33000,20375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,19625,32250,20375" +) +tg (CPTG +uid 89,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "33000,19500,34400,20500" +st "in2" +blo "33000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 83,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10700,13600,11700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*68 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39950,19625,40700,20375" +) +tg (CPTG +uid 90,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "38200,19500,40000,20500" +st "out1" +ju 2 +blo "40000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 84,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12500,13700,13500" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +] +shape (And +uid 80,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,17000,40000,23000" +) +showPorts 0 +oxt "9000,12000,18000,20000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,22700,36700,23700" +st "gates" +blo "33600,23500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,23700,38500,24700" +st "and3inv3" +blo "33600,24500" +) +) +gi *69 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "33000,25600,43800,28600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sN 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*70 (Grouping +uid 187,0 +optionalChildren [ +*71 (CommentText +uid 189,0 +shape (Rectangle +uid 190,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 191,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 192,0 +shape (Rectangle +uid 193,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 194,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 195,0 +shape (Rectangle +uid 196,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 197,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 198,0 +shape (Rectangle +uid 199,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 200,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 201,0 +shape (Rectangle +uid 202,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 203,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 204,0 +shape (Rectangle +uid 205,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 206,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 207,0 +shape (Rectangle +uid 208,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 209,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 210,0 +shape (Rectangle +uid 211,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 212,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 213,0 +shape (Rectangle +uid 214,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 215,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 216,0 +shape (Rectangle +uid 217,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 218,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 188,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *81 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 91,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*83 (MLText +uid 92,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "-1,39,1391,964" +viewArea "-1064,-1064,74611,49450" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *84 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *85 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,13400,2500,14300" +st "User:" +blo "0,14100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,7800,5800,8800" +st "Internal User:" +blo "0,8600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14300,2000,14300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "18000,7800,18000,7800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 264,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/and4/symbol.sb b/Libs/Gates/hds/and4/symbol.sb new file mode 100644 index 0000000..ea4fea4 --- /dev/null +++ b/Libs/Gates/hds/and4/symbol.sb @@ -0,0 +1,1598 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 171,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 5 +suid 4,0 +) +) +uid 172,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +uid 173,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 174,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 175,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 176,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 177,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 112,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 115,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 117,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 119,0 +) +*24 (MRCItem +litem &2 +pos 4 +dimension 20 +uid 138,0 +) +*25 (MRCItem +litem &3 +pos 3 +dimension 20 +uid 139,0 +) +*26 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 140,0 +) +*27 (MRCItem +litem &5 +pos 2 +dimension 20 +uid 141,0 +) +*28 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 142,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 113,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 121,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 125,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 127,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 129,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 131,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 133,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 135,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 137,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 111,0 +vaOverrides [ +] +) +] +) +uid 170,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 179,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 168,0 +) +] +) +pdm (PhysicalDM +uid 180,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 1 +dimension 20 +) +uid 144,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 147,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 149,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 151,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 169,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 145,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 153,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 157,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 159,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 161,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 163,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 165,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 167,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 143,0 +vaOverrides [ +] +) +] +) +uid 178,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "and4" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:50" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "and4" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:50" +) +(vvPair +variable "unit" +value "and4" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*63 (SymbolBody +uid 11,0 +optionalChildren [ +*64 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,17625,33000,18375" +) +tg (CPTG +uid 104,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "33000,17400,34400,18400" +st "in1" +blo "33000,18200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 97,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10800,13600,11800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,20625,33000,21375" +) +tg (CPTG +uid 105,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "33000,20400,34400,21400" +st "in3" +blo "33000,21200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 98,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,13600,13600" +st "in3 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +) +*66 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,18625,33000,19375" +) +tg (CPTG +uid 106,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "33000,18250,34400,19250" +st "in2" +blo "33000,19050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 99,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11700,13600,12700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*67 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39950,19625,40700,20375" +) +tg (CPTG +uid 107,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "38150,19400,39950,20400" +st "out1" +ju 2 +blo "39950,20200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 100,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14400,13700,15400" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 5 +suid 4,0 +) +) +) +*68 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,21625,33000,22375" +) +tg (CPTG +uid 108,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +isHidden 1 +) +xt "33000,21400,34400,22400" +st "in4" +blo "33000,22200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 101,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13500,13600,14500" +st "in4 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +) +] +shape (And +uid 80,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,17000,40000,23000" +) +showPorts 0 +oxt "9000,12000,18000,20000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,22700,36700,23700" +st "gates" +blo "33600,23500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,23700,36500,24700" +st "and4" +blo "33600,24500" +) +) +gi *69 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "33000,25600,43800,28600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sN 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*70 (Grouping +uid 204,0 +optionalChildren [ +*71 (CommentText +uid 206,0 +shape (Rectangle +uid 207,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 208,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 209,0 +shape (Rectangle +uid 210,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 211,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 212,0 +shape (Rectangle +uid 213,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 214,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 215,0 +shape (Rectangle +uid 216,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 217,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 218,0 +shape (Rectangle +uid 219,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 220,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 221,0 +shape (Rectangle +uid 222,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 223,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 224,0 +shape (Rectangle +uid 225,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 226,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 227,0 +shape (Rectangle +uid 228,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 229,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 230,0 +shape (Rectangle +uid 231,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 232,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 233,0 +shape (Rectangle +uid 234,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 235,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 205,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *81 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 109,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*83 (MLText +uid 110,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "1,42,1386,980" +viewArea "-1060,-1060,74589,50101" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *84 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *85 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,5400,9800" +st "Declarations" +blo "0,9600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9800,2700,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,15300,2500,16200" +st "User:" +blo "0,16000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,8800,5800,9800" +st "Internal User:" +blo "0,9600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16200,2000,16200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "24000,8800,24000,8800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 281,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/and4inv1/symbol.sb b/Libs/Gates/hds/and4inv1/symbol.sb new file mode 100644 index 0000000..00ee489 --- /dev/null +++ b/Libs/Gates/hds/and4inv1/symbol.sb @@ -0,0 +1,1611 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 175,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 176,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +uid 177,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 5 +suid 4,0 +) +) +uid 178,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 179,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 180,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 181,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 116,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 119,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 121,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 123,0 +) +*24 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 142,0 +) +*25 (MRCItem +litem &3 +pos 3 +dimension 20 +uid 143,0 +) +*26 (MRCItem +litem &4 +pos 4 +dimension 20 +uid 144,0 +) +*27 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 145,0 +) +*28 (MRCItem +litem &6 +pos 2 +dimension 20 +uid 146,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 117,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 125,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 129,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 131,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 133,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 135,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 137,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 139,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 141,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 115,0 +vaOverrides [ +] +) +] +) +uid 174,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 183,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 172,0 +) +] +) +pdm (PhysicalDM +uid 184,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 1 +dimension 20 +) +uid 148,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 151,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 153,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 155,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 173,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 149,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 157,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 161,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 163,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 165,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 167,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 169,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 171,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 147,0 +vaOverrides [ +] +) +] +) +uid 182,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv1\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv1\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv1" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv1" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "and4inv1" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:50" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "and4inv1" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv1\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv1\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:50" +) +(vvPair +variable "unit" +value "and4inv1" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*63 (SymbolBody +uid 11,0 +optionalChildren [ +*64 (CptPort +uid 51,0 +optionalChildren [ +*65 (Circle +uid 111,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "33250,15625,34000,16375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32500,15625,33250,16375" +) +tg (CPTG +uid 104,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "34000,15400,35400,16400" +st "in1" +blo "34000,16200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 97,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10800,13600,11800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*66 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,18625,34000,19375" +) +tg (CPTG +uid 105,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "34000,18400,35400,19400" +st "in3" +blo "34000,19200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 98,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,13600,13600" +st "in3 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +) +*67 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,16625,34000,17375" +) +tg (CPTG +uid 106,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "34000,16250,35400,17250" +st "in2" +blo "34000,17050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 99,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11700,13600,12700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*68 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40950,17625,41700,18375" +) +tg (CPTG +uid 107,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "39150,17400,40950,18400" +st "out1" +ju 2 +blo "40950,18200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 100,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14400,13700,15400" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 5 +suid 4,0 +) +) +) +*69 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,19625,34000,20375" +) +tg (CPTG +uid 108,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +isHidden 1 +) +xt "34000,19400,35400,20400" +st "in4" +blo "34000,20200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 101,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13500,13600,14500" +st "in4 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +) +] +shape (And +uid 80,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,15000,41000,21000" +) +showPorts 0 +oxt "9000,12000,18000,20000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "34600,20700,37700,21700" +st "gates" +blo "34600,21500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "34600,21700,39500,22700" +st "and4inv1" +blo "34600,22500" +) +) +gi *70 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "34000,23600,44800,26600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sN 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*71 (Grouping +uid 208,0 +optionalChildren [ +*72 (CommentText +uid 210,0 +shape (Rectangle +uid 211,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 212,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 213,0 +shape (Rectangle +uid 214,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 215,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 216,0 +shape (Rectangle +uid 217,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 218,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 219,0 +shape (Rectangle +uid 220,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 221,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 222,0 +shape (Rectangle +uid 223,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 224,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 225,0 +shape (Rectangle +uid 226,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 227,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 228,0 +shape (Rectangle +uid 229,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 230,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 231,0 +shape (Rectangle +uid 232,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 233,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 234,0 +shape (Rectangle +uid 235,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 236,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 237,0 +shape (Rectangle +uid 238,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 239,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 209,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *82 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +uid 109,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*84 (MLText +uid 110,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "10,37,1385,966" +viewArea "-1079,-1079,74587,50434" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *85 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *86 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,5400,9800" +st "Declarations" +blo "0,9600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9800,2700,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,15300,2500,16200" +st "User:" +blo "0,16000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,8800,5800,9800" +st "Internal User:" +blo "0,9600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16200,2000,16200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "24000,8800,24000,8800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 285,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/and4inv2/symbol.sb b/Libs/Gates/hds/and4inv2/symbol.sb new file mode 100644 index 0000000..b2d01c4 --- /dev/null +++ b/Libs/Gates/hds/and4inv2/symbol.sb @@ -0,0 +1,1624 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 175,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 5 +suid 4,0 +) +) +uid 176,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +uid 177,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 178,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 179,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 180,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 181,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 116,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 119,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 121,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 123,0 +) +*24 (MRCItem +litem &2 +pos 4 +dimension 20 +uid 142,0 +) +*25 (MRCItem +litem &3 +pos 3 +dimension 20 +uid 143,0 +) +*26 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 144,0 +) +*27 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 145,0 +) +*28 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 146,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 117,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 125,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 129,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 131,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 133,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 135,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 137,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 139,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 141,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 115,0 +vaOverrides [ +] +) +] +) +uid 174,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 183,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 172,0 +) +] +) +pdm (PhysicalDM +uid 184,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 1 +dimension 20 +) +uid 148,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 151,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 153,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 155,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 173,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 149,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 157,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 161,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 163,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 165,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 167,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 169,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 171,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 147,0 +vaOverrides [ +] +) +] +) +uid 182,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv2\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv2\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv2" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv2" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "and4inv2" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:50" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "and4inv2" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv2\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv2\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:50" +) +(vvPair +variable "unit" +value "and4inv2" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*63 (SymbolBody +uid 11,0 +optionalChildren [ +*64 (CptPort +uid 51,0 +optionalChildren [ +*65 (Circle +uid 111,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "33250,17625,34000,18375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32500,17625,33250,18375" +) +tg (CPTG +uid 104,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "34000,17400,35400,18400" +st "in1" +blo "34000,18200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 97,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10800,13600,11800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*66 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,20625,34000,21375" +) +tg (CPTG +uid 105,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "34000,20400,35400,21400" +st "in3" +blo "34000,21200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 98,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,13600,13600" +st "in3 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +) +*67 (CptPort +uid 63,0 +optionalChildren [ +*68 (Circle +uid 114,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "33250,18625,34000,19375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32500,18625,33250,19375" +) +tg (CPTG +uid 106,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "34000,18250,35400,19250" +st "in2" +blo "34000,19050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 99,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11700,13600,12700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*69 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40950,19625,41700,20375" +) +tg (CPTG +uid 107,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "39150,19400,40950,20400" +st "out1" +ju 2 +blo "40950,20200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 100,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14400,13700,15400" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 5 +suid 4,0 +) +) +) +*70 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,21625,34000,22375" +) +tg (CPTG +uid 108,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +isHidden 1 +) +xt "34000,21400,35400,22400" +st "in4" +blo "34000,22200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 101,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13500,13600,14500" +st "in4 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +) +] +shape (And +uid 80,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,17000,41000,23000" +) +showPorts 0 +oxt "9000,12000,18000,20000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "34600,22700,37700,23700" +st "gates" +blo "34600,23500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "34600,23700,39500,24700" +st "and4inv2" +blo "34600,24500" +) +) +gi *71 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "34000,25600,44800,28600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sN 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*72 (Grouping +uid 208,0 +optionalChildren [ +*73 (CommentText +uid 210,0 +shape (Rectangle +uid 211,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 212,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 213,0 +shape (Rectangle +uid 214,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 215,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 216,0 +shape (Rectangle +uid 217,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 218,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 219,0 +shape (Rectangle +uid 220,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 221,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 222,0 +shape (Rectangle +uid 223,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 224,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 225,0 +shape (Rectangle +uid 226,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 227,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 228,0 +shape (Rectangle +uid 229,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 230,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 231,0 +shape (Rectangle +uid 232,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 233,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 234,0 +shape (Rectangle +uid 235,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 236,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 237,0 +shape (Rectangle +uid 238,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 239,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 209,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *83 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 109,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*85 (MLText +uid 110,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "9,28,1386,953" +viewArea "-1078,-1078,74624,50109" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *86 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *87 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,5400,9800" +st "Declarations" +blo "0,9600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9800,2700,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,15300,2500,16200" +st "User:" +blo "0,16000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,8800,5800,9800" +st "Internal User:" +blo "0,9600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16200,2000,16200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "24000,8800,24000,8800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 285,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/and4inv3/symbol.sb b/Libs/Gates/hds/and4inv3/symbol.sb new file mode 100644 index 0000000..8172b65 --- /dev/null +++ b/Libs/Gates/hds/and4inv3/symbol.sb @@ -0,0 +1,1637 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 175,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 176,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 177,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 178,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 5 +suid 4,0 +) +) +uid 179,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +uid 180,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 181,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 116,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 119,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 121,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 123,0 +) +*24 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 142,0 +) +*25 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 143,0 +) +*26 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 144,0 +) +*27 (MRCItem +litem &5 +pos 4 +dimension 20 +uid 145,0 +) +*28 (MRCItem +litem &6 +pos 3 +dimension 20 +uid 146,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 117,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 125,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 129,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 131,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 133,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 135,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 137,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 139,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 141,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 115,0 +vaOverrides [ +] +) +] +) +uid 174,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 183,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 172,0 +) +] +) +pdm (PhysicalDM +uid 184,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 1 +dimension 20 +) +uid 148,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 151,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 153,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 155,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 173,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 149,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 157,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 161,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 163,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 165,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 167,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 169,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 171,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 147,0 +vaOverrides [ +] +) +] +) +uid 182,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv3\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv3\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv3" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv3" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "and4inv3" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:49" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "and4inv3" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv3\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv3\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:49" +) +(vvPair +variable "unit" +value "and4inv3" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*63 (SymbolBody +uid 11,0 +optionalChildren [ +*64 (CptPort +uid 51,0 +optionalChildren [ +*65 (Circle +uid 111,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "31250,14625,32000,15375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30500,14625,31250,15375" +) +tg (CPTG +uid 104,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "32000,14400,33400,15400" +st "in1" +blo "32000,15200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 97,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10800,13600,11800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*66 (CptPort +uid 57,0 +optionalChildren [ +*67 (Circle +uid 113,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "31250,17625,32000,18375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30500,17625,31250,18375" +) +tg (CPTG +uid 105,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "32000,17400,33400,18400" +st "in3" +blo "32000,18200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 98,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,13600,13600" +st "in3 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +) +*68 (CptPort +uid 63,0 +optionalChildren [ +*69 (Circle +uid 114,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "31250,15625,32000,16375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30500,15625,31250,16375" +) +tg (CPTG +uid 106,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "32000,15250,33400,16250" +st "in2" +blo "32000,16050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 99,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11700,13600,12700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*70 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38950,16625,39700,17375" +) +tg (CPTG +uid 107,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "37150,16400,38950,17400" +st "out1" +ju 2 +blo "38950,17200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 100,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14400,13700,15400" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 5 +suid 4,0 +) +) +) +*71 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,18625,32000,19375" +) +tg (CPTG +uid 108,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +isHidden 1 +) +xt "32000,18400,33400,19400" +st "in4" +blo "32000,19200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 101,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13500,13600,14500" +st "in4 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +) +] +shape (And +uid 80,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,14000,39000,20000" +) +showPorts 0 +oxt "9000,12000,18000,20000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "32600,19700,35700,20700" +st "gates" +blo "32600,20500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "32600,20700,37500,21700" +st "and4inv3" +blo "32600,21500" +) +) +gi *72 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "32000,22600,42800,25600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sN 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*73 (Grouping +uid 208,0 +optionalChildren [ +*74 (CommentText +uid 210,0 +shape (Rectangle +uid 211,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 212,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 213,0 +shape (Rectangle +uid 214,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 215,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 216,0 +shape (Rectangle +uid 217,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 218,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 219,0 +shape (Rectangle +uid 220,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 221,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 222,0 +shape (Rectangle +uid 223,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 224,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 225,0 +shape (Rectangle +uid 226,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 227,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 228,0 +shape (Rectangle +uid 229,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 230,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 231,0 +shape (Rectangle +uid 232,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 233,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 234,0 +shape (Rectangle +uid 235,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 236,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 237,0 +shape (Rectangle +uid 238,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 239,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 209,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *84 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +uid 109,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*86 (MLText +uid 110,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "9,33,1381,942" +viewArea "-1082,-1082,74581,49250" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *87 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *88 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,5400,9800" +st "Declarations" +blo "0,9600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9800,2700,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,15300,2500,16200" +st "User:" +blo "0,16000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,8800,5800,9800" +st "Internal User:" +blo "0,9600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16200,2000,16200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "24000,8800,24000,8800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 285,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/and4inv4/symbol.sb b/Libs/Gates/hds/and4inv4/symbol.sb new file mode 100644 index 0000000..8519a3d --- /dev/null +++ b/Libs/Gates/hds/and4inv4/symbol.sb @@ -0,0 +1,1650 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 175,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +uid 176,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 177,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 5 +suid 4,0 +) +) +uid 178,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 179,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 180,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 181,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 116,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 119,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 121,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 123,0 +) +*24 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 142,0 +) +*25 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 143,0 +) +*26 (MRCItem +litem &4 +pos 4 +dimension 20 +uid 144,0 +) +*27 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 145,0 +) +*28 (MRCItem +litem &6 +pos 2 +dimension 20 +uid 146,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 117,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 125,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 129,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 131,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 133,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 135,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 137,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 139,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 141,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 115,0 +vaOverrides [ +] +) +] +) +uid 174,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 183,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 172,0 +) +] +) +pdm (PhysicalDM +uid 184,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 1 +dimension 20 +) +uid 148,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 151,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 153,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 155,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 173,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 149,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 157,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 161,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 163,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 165,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 167,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 169,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 171,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 147,0 +vaOverrides [ +] +) +] +) +uid 182,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv4\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv4\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv4" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv4" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "and4inv4" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:49" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "and4inv4" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv4\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv4\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:49" +) +(vvPair +variable "unit" +value "and4inv4" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*63 (SymbolBody +uid 11,0 +optionalChildren [ +*64 (CptPort +uid 51,0 +optionalChildren [ +*65 (Circle +uid 111,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "32250,15625,33000,16375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,15625,32250,16375" +) +tg (CPTG +uid 104,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "33000,15400,34400,16400" +st "in1" +blo "33000,16200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 97,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10800,13600,11800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*66 (CptPort +uid 57,0 +optionalChildren [ +*67 (Circle +uid 113,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "32250,18625,33000,19375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,18625,32250,19375" +) +tg (CPTG +uid 105,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "33000,18400,34400,19400" +st "in3" +blo "33000,19200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 98,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,13600,13600" +st "in3 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +) +*68 (CptPort +uid 63,0 +optionalChildren [ +*69 (Circle +uid 114,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "32250,16625,33000,17375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,16625,32250,17375" +) +tg (CPTG +uid 106,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "33000,16250,34400,17250" +st "in2" +blo "33000,17050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 99,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11700,13600,12700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*70 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39950,17625,40700,18375" +) +tg (CPTG +uid 107,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "38150,17400,39950,18400" +st "out1" +ju 2 +blo "39950,18200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 100,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14400,13700,15400" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 5 +suid 4,0 +) +) +) +*71 (CptPort +uid 81,0 +optionalChildren [ +*72 (Circle +uid 112,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "32250,19625,33000,20375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,19625,32250,20375" +) +tg (CPTG +uid 108,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +isHidden 1 +) +xt "33000,19400,34400,20400" +st "in4" +blo "33000,20200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 101,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13500,13600,14500" +st "in4 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +) +] +shape (And +uid 80,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,15000,40000,21000" +) +showPorts 0 +oxt "9000,12000,18000,20000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,20700,36700,21700" +st "gates" +blo "33600,21500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,21700,38500,22700" +st "and4inv4" +blo "33600,22500" +) +) +gi *73 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "33000,23600,43800,26600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sN 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*74 (Grouping +uid 208,0 +optionalChildren [ +*75 (CommentText +uid 210,0 +shape (Rectangle +uid 211,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 212,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 213,0 +shape (Rectangle +uid 214,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 215,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 216,0 +shape (Rectangle +uid 217,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 218,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 219,0 +shape (Rectangle +uid 220,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 221,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 222,0 +shape (Rectangle +uid 223,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 224,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 225,0 +shape (Rectangle +uid 226,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 227,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 228,0 +shape (Rectangle +uid 229,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 230,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 231,0 +shape (Rectangle +uid 232,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 233,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 234,0 +shape (Rectangle +uid 235,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 236,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 237,0 +shape (Rectangle +uid 238,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 239,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 209,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *85 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*86 (Text +uid 109,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*87 (MLText +uid 110,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "-3,44,1386,960" +viewArea "-1066,-1066,74604,48992" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *88 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *89 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,5400,9800" +st "Declarations" +blo "0,9600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9800,2700,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,15300,2500,16200" +st "User:" +blo "0,16000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,8800,5800,9800" +st "Internal User:" +blo "0,9600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16200,2000,16200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "24000,8800,24000,8800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 285,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/and5/symbol.sb b/Libs/Gates/hds/and5/symbol.sb new file mode 100644 index 0000000..d84972c --- /dev/null +++ b/Libs/Gates/hds/and5/symbol.sb @@ -0,0 +1,1679 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2006,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 187,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 6 +suid 4,0 +) +) +uid 188,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 189,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +uid 190,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 191,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "in5" +t "std_uLogic" +o 5 +suid 6,0 +) +) +uid 192,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 193,0 +) +*8 (RefLabelRowHdr +) +*9 (TitleRowHdr +) +*10 (FilterRowHdr +) +*11 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*12 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*13 (GroupColHdr +tm "GroupColHdrMgr" +) +*14 (NameColHdr +tm "NameColHdrMgr" +) +*15 (ModeColHdr +tm "ModeColHdrMgr" +) +*16 (TypeColHdr +tm "TypeColHdrMgr" +) +*17 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*18 (InitColHdr +tm "InitColHdrMgr" +) +*19 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 194,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 127,0 +optionalChildren [ +*22 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 130,0 +) +*23 (MRCItem +litem &9 +pos 1 +dimension 23 +uid 132,0 +) +*24 (MRCItem +litem &10 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +*25 (MRCItem +litem &2 +pos 5 +dimension 20 +uid 153,0 +) +*26 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 154,0 +) +*27 (MRCItem +litem &4 +pos 3 +dimension 20 +uid 155,0 +) +*28 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 156,0 +) +*29 (MRCItem +litem &6 +pos 4 +dimension 20 +uid 157,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 20 +uid 158,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*31 (MRCItem +litem &11 +pos 0 +dimension 20 +uid 136,0 +) +*32 (MRCItem +litem &13 +pos 1 +dimension 50 +uid 140,0 +) +*33 (MRCItem +litem &14 +pos 2 +dimension 100 +uid 142,0 +) +*34 (MRCItem +litem &15 +pos 3 +dimension 50 +uid 144,0 +) +*35 (MRCItem +litem &16 +pos 4 +dimension 100 +uid 146,0 +) +*36 (MRCItem +litem &17 +pos 5 +dimension 100 +uid 148,0 +) +*37 (MRCItem +litem &18 +pos 6 +dimension 50 +uid 150,0 +) +*38 (MRCItem +litem &19 +pos 7 +dimension 80 +uid 152,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 126,0 +vaOverrides [ +] +) +] +) +uid 186,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 196,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 184,0 +) +] +) +pdm (PhysicalDM +uid 197,0 +optionalChildren [ +*52 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *53 (MRCItem +litem &39 +pos 1 +dimension 20 +) +uid 160,0 +optionalChildren [ +*54 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 163,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 165,0 +) +*56 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 167,0 +) +*57 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 185,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 161,0 +optionalChildren [ +*58 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 169,0 +) +*59 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 173,0 +) +*60 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 175,0 +) +*61 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 177,0 +) +*62 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 179,0 +) +*63 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 181,0 +) +*64 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 183,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 159,0 +vaOverrides [ +] +) +] +) +uid 195,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and5\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and5\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and5" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and5" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "and5" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:49" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "and5" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and5\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and5\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:49" +) +(vvPair +variable "unit" +value "and5" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*65 (SymbolBody +uid 11,0 +optionalChildren [ +*66 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,17625,35000,18375" +) +tg (CPTG +uid 118,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "35000,17400,36400,18400" +st "in1" +blo "35000,18200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 110,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10800,13600,11800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*67 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,19625,35000,20375" +) +tg (CPTG +uid 119,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "35000,19500,36400,20500" +st "in3" +blo "35000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 111,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,13600,13600" +st "in3 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +) +*68 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,18625,35000,19375" +) +tg (CPTG +uid 120,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "35000,18250,36400,19250" +st "in2" +blo "35000,19050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 112,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11700,13600,12700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*69 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41950,19625,42700,20375" +) +tg (CPTG +uid 121,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "40150,19400,41950,20400" +st "out1" +ju 2 +blo "41950,20200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 113,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15300,13700,16300" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 6 +suid 4,0 +) +) +) +*70 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,20625,35000,21375" +) +tg (CPTG +uid 122,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +isHidden 1 +) +xt "35000,20400,36400,21400" +st "in4" +blo "35000,21200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 114,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13500,13600,14500" +st "in4 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +) +*71 (CptPort +uid 92,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 93,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,21625,35000,22375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 95,0 +va (VaSet +isHidden 1 +) +xt "35000,21400,36400,22400" +st "in5" +blo "35000,22200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 115,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14400,13600,15400" +st "in5 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in5" +t "std_uLogic" +o 5 +suid 6,0 +) +) +) +] +shape (And +uid 80,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,17000,42000,23000" +) +showPorts 0 +oxt "9000,12000,18000,22000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "35600,22700,38700,23700" +st "gates" +blo "35600,23500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "35600,23700,38500,24700" +st "and5" +blo "35600,24500" +) +) +gi *72 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "35000,25600,45800,28600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sN 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*73 (Grouping +uid 221,0 +optionalChildren [ +*74 (CommentText +uid 223,0 +shape (Rectangle +uid 224,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 225,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 226,0 +shape (Rectangle +uid 227,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 228,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 229,0 +shape (Rectangle +uid 230,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 231,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 232,0 +shape (Rectangle +uid 233,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 234,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 235,0 +shape (Rectangle +uid 236,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 237,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 238,0 +shape (Rectangle +uid 239,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 240,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 241,0 +shape (Rectangle +uid 242,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 243,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 244,0 +shape (Rectangle +uid 245,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 246,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 247,0 +shape (Rectangle +uid 248,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 249,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 250,0 +shape (Rectangle +uid 251,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 252,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 222,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *84 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +uid 124,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*86 (MLText +uid 125,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "213,36,1428,858" +viewArea "-1031,-1031,74581,50591" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *87 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *88 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,5400,9800" +st "Declarations" +blo "0,9600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9800,2700,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,16200,2500,17100" +st "User:" +blo "0,16900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,8800,5800,9800" +st "Internal User:" +blo "0,9600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,17100,2000,17100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "32000,8800,32000,8800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 321,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/buffer@logic@vector/symbol.sb b/Libs/Gates/hds/buffer@logic@vector/symbol.sb new file mode 100644 index 0000000..24046da --- /dev/null +++ b/Libs/Gates/hds/buffer@logic@vector/symbol.sb @@ -0,0 +1,1465 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 101,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 102,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 103,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 104,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 43,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 70,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 100,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 106,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 96,0 +) +*44 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 97,0 +) +] +) +pdm (PhysicalDM +uid 107,0 +optionalChildren [ +*45 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *46 (MRCItem +litem &31 +pos 2 +dimension 20 +) +uid 72,0 +optionalChildren [ +*47 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 75,0 +) +*48 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 77,0 +) +*49 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*50 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 98,0 +) +*51 (MRCItem +litem &44 +pos 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*52 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 81,0 +) +*53 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 85,0 +) +*54 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 87,0 +) +*55 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 89,0 +) +*56 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 91,0 +) +*57 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 93,0 +) +*58 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 105,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@logic@vector\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@logic@vector\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@logic@vector" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\bufferLogicVector" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "bufferLogicVector" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:49" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "bufferLogicVector" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@logic@vector\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\bufferLogicVector\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:49" +) +(vvPair +variable "unit" +value "bufferLogicVector" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,17625,35000,18375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +) +xt "35000,17700,47800,18900" +st "in1 : (dataBitNb-1:0)" +blo "35000,18700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,26800,10800" +st "in1 : IN std_logic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,17625,40750,18375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +) +xt "26500,17700,40000,18900" +st "out1 : (dataBitNb-1:0)" +ju 2 +blo "40000,18700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10700,26900,11700" +st "out1 : OUT std_logic_vector (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,15000,40000,21000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "35910,20700,39010,21700" +st "gates" +blo "35910,21500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "35910,21700,45310,22700" +st "bufferLogicVector" +blo "35910,22500" +) +) +gi *62 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "35000,23800,48200,27800" +st "Generic Declarations + +dataBitNb positive 8 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*63 (Grouping +uid 131,0 +optionalChildren [ +*64 (CommentText +uid 133,0 +shape (Rectangle +uid 134,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 135,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 136,0 +shape (Rectangle +uid 137,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,41000,57000,42000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 138,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,41500,53200,41500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 139,0 +shape (Rectangle +uid 140,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,43000,53000,44000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 141,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,43500,36200,43500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 142,0 +shape (Rectangle +uid 143,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,43000,36000,44000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 144,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,43500,32200,43500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 145,0 +shape (Rectangle +uid 146,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,73000,46000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 147,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,42200,67300,43400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 148,0 +shape (Rectangle +uid 149,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,41000,73000,42000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 150,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,41500,57200,41500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 151,0 +shape (Rectangle +uid 152,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,41000,53000,43000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 153,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,41400,47650,42600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 154,0 +shape (Rectangle +uid 155,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 156,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,44500,32200,44500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 157,0 +shape (Rectangle +uid 158,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 159,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 160,0 +shape (Rectangle +uid 161,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 162,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,44500,36200,44500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 132,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,41000,73000,46000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *74 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-3000,5400,-2000" +st "Package List" +blo "0,-2200" +) +*76 (MLText +uid 41,0 +va (VaSet +) +xt "0,-2000,17500,2800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "65,0,1388,900" +viewArea "-1100,-4100,75076,48202" +cachedDiagramExtent "0,-3000,73000,46000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-3000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1100,1100,3900,2100" +st "Panel0" +blo "1100,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *77 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *78 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11600,2500,12500" +st "User:" +blo "0,12300" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12500,2000,12500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 231,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/buffer@signed/symbol.sb b/Libs/Gates/hds/buffer@signed/symbol.sb new file mode 100644 index 0000000..331f63b --- /dev/null +++ b/Libs/Gates/hds/buffer@signed/symbol.sb @@ -0,0 +1,1466 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 101,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 102,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 103,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 104,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 43,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*21 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 70,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 100,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 106,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 96,0 +) +*44 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 97,0 +) +] +) +pdm (PhysicalDM +uid 107,0 +optionalChildren [ +*45 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *46 (MRCItem +litem &31 +pos 2 +dimension 20 +) +uid 72,0 +optionalChildren [ +*47 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 75,0 +) +*48 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 77,0 +) +*49 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*50 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 98,0 +) +*51 (MRCItem +litem &44 +pos 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*52 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 81,0 +) +*53 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 85,0 +) +*54 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 87,0 +) +*55 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 89,0 +) +*56 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 91,0 +) +*57 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 93,0 +) +*58 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 105,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@signed\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@signed\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@signed" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\bufferSigned" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "bufferSigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:48" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "bufferSigned" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@signed\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\bufferSigned\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:48" +) +(vvPair +variable "unit" +value "bufferSigned" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,20625,35000,21375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +) +xt "35000,20700,47800,21900" +st "in1 : (dataBitNb-1:0)" +blo "35000,21700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,22900,10800" +st "in1 : IN signed (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,20625,40750,21375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +) +xt "26500,20700,40000,21900" +st "out1 : (dataBitNb-1:0)" +ju 2 +blo "40000,21700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10700,23000,11700" +st "out1 : OUT signed (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,18000,40000,24000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "35910,23700,39010,24700" +st "gates" +blo "35910,24500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "35910,24700,43010,25700" +st "bufferSigned" +blo "35910,25500" +) +) +gi *62 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "35000,26800,48200,30800" +st "Generic Declarations + +dataBitNb positive 8 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*63 (Grouping +uid 131,0 +optionalChildren [ +*64 (CommentText +uid 133,0 +shape (Rectangle +uid 134,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 135,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 136,0 +shape (Rectangle +uid 137,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 138,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 139,0 +shape (Rectangle +uid 140,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 141,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 142,0 +shape (Rectangle +uid 143,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 144,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 145,0 +shape (Rectangle +uid 146,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 147,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 148,0 +shape (Rectangle +uid 149,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 150,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 151,0 +shape (Rectangle +uid 152,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 153,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 154,0 +shape (Rectangle +uid 155,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 156,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 157,0 +shape (Rectangle +uid 158,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 159,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 160,0 +shape (Rectangle +uid 161,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 162,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 132,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *74 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*76 (MLText +uid 41,0 +va (VaSet +) +xt "0,1000,17500,7000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "118,67,1339,900" +viewArea "-1098,-1098,74523,51052" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *77 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *78 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11600,2500,12500" +st "User:" +blo "0,12300" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12500,2000,12500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 231,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/buffer@ulogic/symbol.sb b/Libs/Gates/hds/buffer@ulogic/symbol.sb new file mode 100644 index 0000000..c8c1898 --- /dev/null +++ b/Libs/Gates/hds/buffer@ulogic/symbol.sb @@ -0,0 +1,1437 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 99,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 100,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 101,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 102,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 43,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 70,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 98,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 104,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 96,0 +) +] +) +pdm (PhysicalDM +uid 105,0 +optionalChildren [ +*44 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *45 (MRCItem +litem &31 +pos 1 +dimension 20 +) +uid 72,0 +optionalChildren [ +*46 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 75,0 +) +*47 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 77,0 +) +*48 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*49 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 97,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*50 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 81,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 85,0 +) +*52 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 87,0 +) +*53 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 89,0 +) +*54 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 91,0 +) +*55 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 93,0 +) +*56 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 103,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@ulogic\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@ulogic\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@ulogic" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\bufferUlogic" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "bufferUlogic" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:48" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "bufferUlogic" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@ulogic\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\bufferUlogic\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:48" +) +(vvPair +variable "unit" +value "bufferUlogic" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*57 (SymbolBody +uid 8,0 +optionalChildren [ +*58 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,17625,32000,18375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +) +xt "32000,17700,33400,18700" +st "in1" +blo "32000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,13600,10800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*59 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37000,17625,37750,18375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +) +xt "35200,17700,37000,18700" +st "out1" +ju 2 +blo "37000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10700,13700,11700" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,15000,37000,21000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "32910,20700,36010,21700" +st "gates" +blo "32910,21500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "32910,21700,39810,22700" +st "bufferUlogic" +blo "32910,22500" +) +) +gi *60 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "32000,23600,42800,26600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*61 (Grouping +uid 129,0 +optionalChildren [ +*62 (CommentText +uid 131,0 +shape (Rectangle +uid 132,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 133,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 134,0 +shape (Rectangle +uid 135,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 136,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*64 (CommentText +uid 137,0 +shape (Rectangle +uid 138,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 139,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 140,0 +shape (Rectangle +uid 141,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 142,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 143,0 +shape (Rectangle +uid 144,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 145,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 146,0 +shape (Rectangle +uid 147,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 148,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 149,0 +shape (Rectangle +uid 150,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 151,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 152,0 +shape (Rectangle +uid 153,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 154,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 155,0 +shape (Rectangle +uid 156,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 157,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 158,0 +shape (Rectangle +uid 159,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 160,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 130,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *72 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*74 (MLText +uid 41,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "113,47,1387,900" +viewArea "-1043,-1043,75380,50461" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *75 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *76 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11600,2500,12500" +st "User:" +blo "0,12300" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12500,2000,12500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 229,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/buffer@ulogic@vector/symbol.sb b/Libs/Gates/hds/buffer@ulogic@vector/symbol.sb new file mode 100644 index 0000000..70a00ca --- /dev/null +++ b/Libs/Gates/hds/buffer@ulogic@vector/symbol.sb @@ -0,0 +1,1461 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 101,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 102,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 103,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 104,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 43,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 70,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 100,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 106,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 96,0 +) +*44 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 97,0 +) +] +) +pdm (PhysicalDM +uid 107,0 +optionalChildren [ +*45 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *46 (MRCItem +litem &31 +pos 2 +dimension 20 +) +uid 72,0 +optionalChildren [ +*47 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 75,0 +) +*48 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 77,0 +) +*49 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*50 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 98,0 +) +*51 (MRCItem +litem &44 +pos 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*52 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 81,0 +) +*53 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 85,0 +) +*54 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 87,0 +) +*55 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 89,0 +) +*56 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 91,0 +) +*57 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 93,0 +) +*58 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 105,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@ulogic@vector\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@ulogic@vector\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@ulogic@vector" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\bufferUlogicVector" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "bufferUlogicVector" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:48" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "bufferUlogicVector" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@ulogic@vector\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\bufferUlogicVector\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:48" +) +(vvPair +variable "unit" +value "bufferUlogicVector" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,18625,35000,19375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +) +xt "35000,18700,47800,19900" +st "in1 : (dataBitNb-1:0)" +blo "35000,19700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,6400,27500,7400" +st "in1 : IN std_uLogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,18625,40750,19375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +) +xt "26500,18700,40000,19900" +st "out1 : (dataBitNb-1:0)" +ju 2 +blo "40000,19700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,7300,27600,8300" +st "out1 : OUT std_uLogic_vector (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,16000,40000,22000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "35910,21700,39010,22700" +st "gates" +blo "35910,22500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "35910,22700,45810,23700" +st "bufferUlogicVector" +blo "35910,23500" +) +) +gi *62 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "35000,24800,48200,28800" +st "Generic Declarations + +dataBitNb positive 8 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*63 (Grouping +uid 131,0 +optionalChildren [ +*64 (CommentText +uid 133,0 +shape (Rectangle +uid 134,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 135,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 136,0 +shape (Rectangle +uid 137,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,41000,57000,42000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 138,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,41500,53200,41500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 139,0 +shape (Rectangle +uid 140,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,43000,53000,44000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 141,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,43500,36200,43500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 142,0 +shape (Rectangle +uid 143,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,43000,36000,44000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 144,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,43500,32200,43500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 145,0 +shape (Rectangle +uid 146,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,73000,46000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 147,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,42200,67300,43400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 148,0 +shape (Rectangle +uid 149,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,41000,73000,42000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 150,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,41500,57200,41500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 151,0 +shape (Rectangle +uid 152,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,41000,53000,43000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 153,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,41400,47650,42600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 154,0 +shape (Rectangle +uid 155,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 156,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,44500,32200,44500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 157,0 +shape (Rectangle +uid 158,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 159,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 160,0 +shape (Rectangle +uid 161,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 162,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,44500,36200,44500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 132,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,41000,73000,46000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *74 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-3000,5400,-2000" +st "Package List" +blo "0,-2200" +) +*76 (MLText +uid 41,0 +va (VaSet +) +xt "0,-2000,17500,2800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "168,61,1425,899" +viewArea "-1065,-4045,75674,47410" +cachedDiagramExtent "0,-3000,73000,46000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-3000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *77 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *78 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,4400,5400,5400" +st "Declarations" +blo "0,5200" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,5400,2700,6400" +st "Ports:" +blo "0,6200" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8200,2500,9100" +st "User:" +blo "0,8900" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,12500,5800,13500" +st "Internal User:" +blo "0,13300" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9100,2000,9100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,14200,2000,14200" +tm "SyDeclarativeTextMgr" +) +) +lastUid 231,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/buffer@unsigned/symbol.sb b/Libs/Gates/hds/buffer@unsigned/symbol.sb new file mode 100644 index 0000000..2ae5de8 --- /dev/null +++ b/Libs/Gates/hds/buffer@unsigned/symbol.sb @@ -0,0 +1,1466 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 101,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 102,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 103,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 104,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 43,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*21 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 70,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 100,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 106,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 96,0 +) +*44 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 97,0 +) +] +) +pdm (PhysicalDM +uid 107,0 +optionalChildren [ +*45 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *46 (MRCItem +litem &31 +pos 2 +dimension 20 +) +uid 72,0 +optionalChildren [ +*47 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 75,0 +) +*48 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 77,0 +) +*49 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*50 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 98,0 +) +*51 (MRCItem +litem &44 +pos 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*52 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 81,0 +) +*53 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 85,0 +) +*54 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 87,0 +) +*55 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 89,0 +) +*56 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 91,0 +) +*57 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 93,0 +) +*58 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 105,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@unsigned\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@unsigned\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@unsigned" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\bufferUnsigned" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "bufferUnsigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:47" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "bufferUnsigned" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@unsigned\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\bufferUnsigned\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:47" +) +(vvPair +variable "unit" +value "bufferUnsigned" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,18625,36000,19375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +) +xt "36000,18700,48800,19900" +st "in1 : (dataBitNb-1:0)" +blo "36000,19700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,23900,10800" +st "in1 : IN unsigned (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,18625,41750,19375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +) +xt "27500,18700,41000,19900" +st "out1 : (dataBitNb-1:0)" +ju 2 +blo "41000,19700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10700,24000,11700" +st "out1 : OUT unsigned (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "36000,16000,41000,22000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "36910,21700,40010,22700" +st "gates" +blo "36910,22500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "36910,22700,45210,23700" +st "bufferUnsigned" +blo "36910,23500" +) +) +gi *62 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "36000,24800,49200,28800" +st "Generic Declarations + +dataBitNb positive 8 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*63 (Grouping +uid 131,0 +optionalChildren [ +*64 (CommentText +uid 133,0 +shape (Rectangle +uid 134,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 135,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 136,0 +shape (Rectangle +uid 137,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 138,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 139,0 +shape (Rectangle +uid 140,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 141,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 142,0 +shape (Rectangle +uid 143,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 144,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 145,0 +shape (Rectangle +uid 146,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 147,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 148,0 +shape (Rectangle +uid 149,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 150,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 151,0 +shape (Rectangle +uid 152,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 153,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 154,0 +shape (Rectangle +uid 155,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 156,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 157,0 +shape (Rectangle +uid 158,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 159,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 160,0 +shape (Rectangle +uid 161,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 162,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 132,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *74 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*76 (MLText +uid 41,0 +va (VaSet +) +xt "0,1000,17500,7000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "175,61,1412,886" +viewArea "-1085,-1085,75591,50359" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *77 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *78 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11600,2500,12500" +st "User:" +blo "0,12300" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12500,2000,12500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 231,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/demux1to2/symbol.sb b/Libs/Gates/hds/demux1to2/symbol.sb new file mode 100644 index 0000000..12782d6 --- /dev/null +++ b/Libs/Gates/hds/demux1to2/symbol.sb @@ -0,0 +1,1537 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2006,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 204,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out0" +t "std_uLogic" +o 3 +suid 1,0 +) +) +uid 206,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 4 +suid 2,0 +) +) +uid 207,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 5,0 +) +) +uid 209,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "sel" +t "std_ulogic" +o 2 +suid 6,0 +) +) +uid 210,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 211,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 144,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 147,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 149,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 151,0 +) +*23 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 171,0 +) +*24 (MRCItem +litem &3 +pos 3 +dimension 20 +uid 172,0 +) +*25 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 174,0 +) +*26 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 175,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 145,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 153,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 157,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 159,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 161,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 163,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 165,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 167,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 169,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 143,0 +vaOverrides [ +] +) +] +) +uid 203,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 213,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 201,0 +) +] +) +pdm (PhysicalDM +uid 214,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 177,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 180,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 182,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 184,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 202,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 178,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 186,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 190,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 192,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 194,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 196,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 198,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 200,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 176,0 +vaOverrides [ +] +) +] +) +uid 212,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\demux1to2\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\demux1to2\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\demux1to2" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\demux1to2" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "demux1to2" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:47" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "demux1to2" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\demux1to2\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\demux1to2\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:47" +) +(vvPair +variable "unit" +value "demux1to2" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*61 (SymbolBody +uid 11,0 +optionalChildren [ +*62 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 130,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,8625,45750,9375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "42200,8500,44000,9500" +st "out0" +ju 2 +blo "44000,9300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 114,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11200,14400,12200" +st "out0 : OUT std_uLogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out0" +t "std_uLogic" +o 3 +suid 1,0 +) +) +) +*63 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 131,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,16625,45750,17375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +) +xt "42200,16500,44000,17500" +st "out1" +ju 2 +blo "44000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 115,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12100,13700,13100" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 4 +suid 2,0 +) +) +) +*64 (CptPort +uid 75,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 134,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,12625,37000,13375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 78,0 +va (VaSet +) +xt "38000,12500,39400,13500" +st "in1" +blo "38000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9400,13600,10400" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 5,0 +) +) +) +*65 (CptPort +uid 88,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 89,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40625,19000,41375,19750" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 91,0 +va (VaSet +) +xt "41000,18000,42400,19000" +st "sel" +blo "41000,18800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 119,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10300,13300,11300" +st "sel : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "sel" +t "std_ulogic" +o 2 +suid 6,0 +) +) +) +] +shape (Mux +uid 87,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "37000,4000,45000,22000" +) +showPorts 0 +oxt "12000,13000,18000,26000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "35600,17700,38700,18700" +st "gates" +blo "35600,18500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "35600,18700,41400,19700" +st "demux1to2" +blo "35600,19500" +) +) +gi *66 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "35000,21600,45800,24600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +) +) +*67 (Grouping +uid 238,0 +optionalChildren [ +*68 (CommentText +uid 240,0 +shape (Rectangle +uid 241,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 242,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 243,0 +shape (Rectangle +uid 244,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,41000,57000,42000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 245,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,41500,53200,41500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 246,0 +shape (Rectangle +uid 247,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,43000,53000,44000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 248,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,43500,36200,43500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 249,0 +shape (Rectangle +uid 250,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,43000,36000,44000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 251,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,43500,32200,43500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 252,0 +shape (Rectangle +uid 253,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,73000,46000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 254,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,42200,67300,43400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 255,0 +shape (Rectangle +uid 256,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,41000,73000,42000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 257,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,41500,57200,41500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 258,0 +shape (Rectangle +uid 259,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,41000,53000,43000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 260,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,41400,47650,42600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 261,0 +shape (Rectangle +uid 262,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 263,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,44500,32200,44500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 264,0 +shape (Rectangle +uid 265,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 266,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 267,0 +shape (Rectangle +uid 268,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 269,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,44500,36200,44500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 239,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,41000,73000,46000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *78 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +uid 141,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-2500,5400,-1500" +st "Package List" +blo "0,-1700" +) +*80 (MLText +uid 142,0 +va (VaSet +) +xt "0,-1500,17500,3300" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,34,1388,942" +viewArea "-1100,-3600,74885,48010" +cachedDiagramExtent "0,-2500,73000,46000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-3000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1600,1100,4400,2100" +st "Panel0" +blo "1600,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *81 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *82 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7400,5400,8400" +st "Declarations" +blo "0,8200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8400,2700,9400" +st "Ports:" +blo "0,9200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,13000,2500,13900" +st "User:" +blo "0,13700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,7400,5800,8400" +st "Internal User:" +blo "0,8200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13900,2000,13900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "56000,7400,56000,7400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 384,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/demux1to4/symbol.sb b/Libs/Gates/hds/demux1to4/symbol.sb new file mode 100644 index 0000000..c4cb228 --- /dev/null +++ b/Libs/Gates/hds/demux1to4/symbol.sb @@ -0,0 +1,1670 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2006,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 204,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out2" +t "std_uLogic" +o 5 +suid 3,0 +) +) +uid 205,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out0" +t "std_uLogic" +o 3 +suid 1,0 +) +) +uid 206,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 4 +suid 2,0 +) +) +uid 207,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out3" +t "std_uLogic" +o 6 +suid 4,0 +) +) +uid 208,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 7 +suid 5,0 +) +) +uid 209,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "sel" +t "unsigned" +b "(1 DOWNTO 0)" +o 1 +suid 6,0 +) +) +uid 210,0 +) +*8 (RefLabelRowHdr +) +*9 (TitleRowHdr +) +*10 (FilterRowHdr +) +*11 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*12 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*13 (GroupColHdr +tm "GroupColHdrMgr" +) +*14 (NameColHdr +tm "NameColHdrMgr" +) +*15 (ModeColHdr +tm "ModeColHdrMgr" +) +*16 (TypeColHdr +tm "TypeColHdrMgr" +) +*17 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*18 (InitColHdr +tm "InitColHdrMgr" +) +*19 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 211,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 144,0 +optionalChildren [ +*22 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 147,0 +) +*23 (MRCItem +litem &9 +pos 1 +dimension 23 +uid 149,0 +) +*24 (MRCItem +litem &10 +pos 2 +hidden 1 +dimension 20 +uid 151,0 +) +*25 (MRCItem +litem &2 +pos 4 +dimension 20 +uid 170,0 +) +*26 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 171,0 +) +*27 (MRCItem +litem &4 +pos 3 +dimension 20 +uid 172,0 +) +*28 (MRCItem +litem &5 +pos 5 +dimension 20 +uid 173,0 +) +*29 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 174,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 20 +uid 175,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 145,0 +optionalChildren [ +*31 (MRCItem +litem &11 +pos 0 +dimension 20 +uid 153,0 +) +*32 (MRCItem +litem &13 +pos 1 +dimension 50 +uid 157,0 +) +*33 (MRCItem +litem &14 +pos 2 +dimension 100 +uid 159,0 +) +*34 (MRCItem +litem &15 +pos 3 +dimension 50 +uid 161,0 +) +*35 (MRCItem +litem &16 +pos 4 +dimension 100 +uid 163,0 +) +*36 (MRCItem +litem &17 +pos 5 +dimension 100 +uid 165,0 +) +*37 (MRCItem +litem &18 +pos 6 +dimension 50 +uid 167,0 +) +*38 (MRCItem +litem &19 +pos 7 +dimension 80 +uid 169,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 143,0 +vaOverrides [ +] +) +] +) +uid 203,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 213,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 201,0 +) +] +) +pdm (PhysicalDM +uid 214,0 +optionalChildren [ +*52 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *53 (MRCItem +litem &39 +pos 1 +dimension 20 +) +uid 177,0 +optionalChildren [ +*54 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 180,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 182,0 +) +*56 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 184,0 +) +*57 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 202,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 178,0 +optionalChildren [ +*58 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 186,0 +) +*59 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 190,0 +) +*60 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 192,0 +) +*61 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 194,0 +) +*62 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 196,0 +) +*63 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 198,0 +) +*64 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 200,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 176,0 +vaOverrides [ +] +) +] +) +uid 212,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\demux1to4\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\demux1to4\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\demux1to4" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\demux1to4" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "demux1to4" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:47" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "demux1to4" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\demux1to4\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\demux1to4\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:47" +) +(vvPair +variable "unit" +value "demux1to4" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*65 (SymbolBody +uid 11,0 +optionalChildren [ +*66 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 130,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,6625,45750,7375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "42200,6500,44000,7500" +st "out0" +ju 2 +blo "44000,7300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 114,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11200,14400,12200" +st "out0 : OUT std_uLogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out0" +t "std_uLogic" +o 3 +suid 1,0 +) +) +) +*67 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 131,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,10625,45750,11375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +) +xt "42200,10500,44000,11500" +st "out1" +ju 2 +blo "44000,11300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 115,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12100,14400,13100" +st "out1 : OUT std_uLogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 4 +suid 2,0 +) +) +) +*68 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 132,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,14625,45750,15375" +) +tg (CPTG +uid 125,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +) +xt "42200,14500,44000,15500" +st "out2" +ju 2 +blo "44000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 116,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13000,14400,14000" +st "out2 : OUT std_uLogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out2" +t "std_uLogic" +o 5 +suid 3,0 +) +) +) +*69 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 133,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,18625,45750,19375" +) +tg (CPTG +uid 126,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +) +xt "42200,18500,44000,19500" +st "out3" +ju 2 +blo "44000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 117,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13900,13700,14900" +st "out3 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out3" +t "std_uLogic" +o 6 +suid 4,0 +) +) +) +*70 (CptPort +uid 75,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 134,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,12625,37000,13375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 78,0 +va (VaSet +) +xt "38000,12500,39400,13500" +st "in1" +blo "38000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9400,13600,10400" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 7 +suid 5,0 +) +) +) +*71 (CptPort +uid 88,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 89,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40625,19000,41375,19750" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 91,0 +va (VaSet +) +xt "41000,17000,42400,18000" +st "sel" +blo "41000,17800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 119,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10300,19000,11300" +st "sel : IN unsigned (1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "sel" +t "unsigned" +b "(1 DOWNTO 0)" +o 1 +suid 6,0 +) +) +) +] +shape (Mux +uid 87,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "37000,4000,45000,22000" +) +showPorts 0 +oxt "12000,13000,18000,26000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "35600,17700,38700,18700" +st "gates" +blo "35600,18500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "35600,18700,41400,19700" +st "demux1to4" +blo "35600,19500" +) +) +gi *72 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "35000,21600,45800,24600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +) +) +*73 (Grouping +uid 238,0 +optionalChildren [ +*74 (CommentText +uid 240,0 +shape (Rectangle +uid 241,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 242,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 243,0 +shape (Rectangle +uid 244,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,41000,57000,42000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 245,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,41500,53200,41500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 246,0 +shape (Rectangle +uid 247,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,43000,53000,44000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 248,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,43500,36200,43500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 249,0 +shape (Rectangle +uid 250,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,43000,36000,44000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 251,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,43500,32200,43500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 252,0 +shape (Rectangle +uid 253,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,73000,46000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 254,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,42200,67300,43400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 255,0 +shape (Rectangle +uid 256,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,41000,73000,42000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 257,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,41500,57200,41500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 258,0 +shape (Rectangle +uid 259,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,41000,53000,43000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 260,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,41400,47650,42600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 261,0 +shape (Rectangle +uid 262,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 263,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,44500,32200,44500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 264,0 +shape (Rectangle +uid 265,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 266,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 267,0 +shape (Rectangle +uid 268,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 269,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,44500,36200,44500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 239,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,41000,73000,46000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *84 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +uid 141,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-2500,5400,-1500" +st "Package List" +blo "0,-1700" +) +*86 (MLText +uid 142,0 +va (VaSet +) +xt "0,-1500,17500,4500" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,34,1386,942" +viewArea "-1100,-3600,74755,48010" +cachedDiagramExtent "0,-2500,73000,46000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-3000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1600,1100,4400,2100" +st "Panel0" +blo "1600,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *87 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *88 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7400,5400,8400" +st "Declarations" +blo "0,8200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8400,2700,9400" +st "Ports:" +blo "0,9200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,14800,2500,15700" +st "User:" +blo "0,15500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,7400,5800,8400" +st "Internal User:" +blo "0,8200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15700,2000,15700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "56000,7400,56000,7400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 338,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/inverter/symbol.sb b/Libs/Gates/hds/inverter/symbol.sb new file mode 100644 index 0000000..c3dab14 --- /dev/null +++ b/Libs/Gates/hds/inverter/symbol.sb @@ -0,0 +1,1449 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 99,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 100,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 101,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 102,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 43,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*21 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 70,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 98,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 104,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 96,0 +) +] +) +pdm (PhysicalDM +uid 105,0 +optionalChildren [ +*44 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *45 (MRCItem +litem &31 +pos 1 +dimension 20 +) +uid 72,0 +optionalChildren [ +*46 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 75,0 +) +*47 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 77,0 +) +*48 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*49 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 97,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*50 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 81,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 85,0 +) +*52 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 87,0 +) +*53 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 89,0 +) +*54 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 91,0 +) +*55 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 93,0 +) +*56 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 103,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\inverter\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\inverter\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\inverter" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\inverter" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "inverter" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:14" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "inverter" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\inverter\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\inverter\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:14" +) +(vvPair +variable "unit" +value "inverter" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*57 (SymbolBody +uid 8,0 +optionalChildren [ +*58 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,17625,34000,18375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +) +xt "34000,17700,35400,18700" +st "in1" +blo "34000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,13600,10800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*59 (CptPort +uid 22,0 +optionalChildren [ +*60 (Circle +uid 28,0 +va (VaSet +fg "0,65535,0" +) +xt "39000,17625,39750,18375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39750,17625,40500,18375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +) +xt "36950,17700,38750,18700" +st "out1" +ju 2 +blo "38750,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10700,13700,11700" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,15000,39000,21000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "33910,20700,37010,21700" +st "gates" +blo "33910,21500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "33910,21700,38110,22700" +st "inverter" +blo "33910,22500" +) +) +gi *61 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "34000,23600,44800,26600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*62 (Grouping +uid 175,0 +optionalChildren [ +*63 (CommentText +uid 177,0 +shape (Rectangle +uid 178,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 179,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*64 (CommentText +uid 180,0 +shape (Rectangle +uid 181,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 182,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 183,0 +shape (Rectangle +uid 184,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 185,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 186,0 +shape (Rectangle +uid 187,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 188,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 189,0 +shape (Rectangle +uid 190,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 191,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 192,0 +shape (Rectangle +uid 193,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 194,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 195,0 +shape (Rectangle +uid 196,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 197,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 198,0 +shape (Rectangle +uid 199,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 200,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 201,0 +shape (Rectangle +uid 202,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 203,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 204,0 +shape (Rectangle +uid 205,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 206,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 176,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *73 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*74 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*75 (MLText +uid 41,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "169,43,1425,884" +viewArea "-1062,-1062,75386,50469" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *76 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *77 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11600,2500,12500" +st "User:" +blo "0,12300" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12500,2000,12500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 298,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/logic0/symbol.sb b/Libs/Gates/hds/logic0/symbol.sb new file mode 100644 index 0000000..00b165b --- /dev/null +++ b/Libs/Gates/hds/logic0/symbol.sb @@ -0,0 +1,1337 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 99,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "logic_0" +t "std_uLogic" +o 1 +suid 2,0 +) +) +uid 100,0 +) +*3 (RefLabelRowHdr +) +*4 (TitleRowHdr +) +*5 (FilterRowHdr +) +*6 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*7 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*8 (GroupColHdr +tm "GroupColHdrMgr" +) +*9 (NameColHdr +tm "NameColHdrMgr" +) +*10 (ModeColHdr +tm "ModeColHdrMgr" +) +*11 (TypeColHdr +tm "TypeColHdrMgr" +) +*12 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*13 (InitColHdr +tm "InitColHdrMgr" +) +*14 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 102,0 +optionalChildren [ +*15 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *16 (MRCItem +litem &1 +pos 1 +dimension 20 +) +uid 43,0 +optionalChildren [ +*17 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 46,0 +) +*18 (MRCItem +litem &4 +pos 1 +dimension 23 +uid 48,0 +) +*19 (MRCItem +litem &5 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*21 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 52,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 50 +uid 56,0 +) +*23 (MRCItem +litem &9 +pos 2 +dimension 100 +uid 58,0 +) +*24 (MRCItem +litem &10 +pos 3 +dimension 50 +uid 60,0 +) +*25 (MRCItem +litem &11 +pos 4 +dimension 100 +uid 62,0 +) +*26 (MRCItem +litem &12 +pos 5 +dimension 100 +uid 64,0 +) +*27 (MRCItem +litem &13 +pos 6 +dimension 50 +uid 66,0 +) +*28 (MRCItem +litem &14 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 98,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *29 (LEmptyRow +) +uid 104,0 +optionalChildren [ +*30 (RefLabelRowHdr +) +*31 (TitleRowHdr +) +*32 (FilterRowHdr +) +*33 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*34 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*35 (GroupColHdr +tm "GroupColHdrMgr" +) +*36 (NameColHdr +tm "GenericNameColHdrMgr" +) +*37 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*38 (InitColHdr +tm "GenericValueColHdrMgr" +) +*39 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*40 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 105,0 +optionalChildren [ +*41 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *42 (MRCItem +litem &29 +pos 0 +dimension 20 +) +uid 72,0 +optionalChildren [ +*43 (MRCItem +litem &30 +pos 0 +dimension 20 +uid 75,0 +) +*44 (MRCItem +litem &31 +pos 1 +dimension 23 +uid 77,0 +) +*45 (MRCItem +litem &32 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*46 (MRCItem +litem &33 +pos 0 +dimension 20 +uid 81,0 +) +*47 (MRCItem +litem &35 +pos 1 +dimension 50 +uid 85,0 +) +*48 (MRCItem +litem &36 +pos 2 +dimension 100 +uid 87,0 +) +*49 (MRCItem +litem &37 +pos 3 +dimension 100 +uid 89,0 +) +*50 (MRCItem +litem &38 +pos 4 +dimension 50 +uid 91,0 +) +*51 (MRCItem +litem &39 +pos 5 +dimension 50 +uid 93,0 +) +*52 (MRCItem +litem &40 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 103,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\logic0\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\logic0\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\logic0" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\logic0" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "logic0" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:14" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "logic0" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\logic0\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\logic0\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Projects\\Levisat\\Onboard\\FPGA\\board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:14" +) +(vvPair +variable "unit" +value "logic0" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*53 (SymbolBody +uid 8,0 +optionalChildren [ +*54 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36625,14250,37375,15000" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +) +xt "37600,15000,40500,16000" +st "logic_0" +ju 2 +blo "40500,15800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,14700,10800" +st "logic_0 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "logic_0" +t "std_uLogic" +o 1 +suid 2,0 +) +) +) +] +shape (Pd +uid 255,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,15000,39000,21000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "33910,20700,37010,21700" +st "gates" +blo "33910,21500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "33910,21700,37410,22700" +st "logic0" +blo "33910,22500" +) +) +gi *55 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "34000,23600,43700,24600" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*56 (Grouping +uid 175,0 +optionalChildren [ +*57 (CommentText +uid 177,0 +shape (Rectangle +uid 178,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 179,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*58 (CommentText +uid 180,0 +shape (Rectangle +uid 181,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 182,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*59 (CommentText +uid 183,0 +shape (Rectangle +uid 184,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 185,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*60 (CommentText +uid 186,0 +shape (Rectangle +uid 187,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 188,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 189,0 +shape (Rectangle +uid 190,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 191,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 192,0 +shape (Rectangle +uid 193,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 194,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 195,0 +shape (Rectangle +uid 196,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 197,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*64 (CommentText +uid 198,0 +shape (Rectangle +uid 199,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 200,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 201,0 +shape (Rectangle +uid 202,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 203,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 204,0 +shape (Rectangle +uid 205,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 206,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 176,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *67 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*68 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*69 (MLText +uid 41,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,42,1282,960" +viewArea "-1100,-1100,74373,53996" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *70 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *71 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,10700,2500,11600" +st "User:" +blo "0,11400" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11600,2000,11600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 301,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/logic1/symbol.sb b/Libs/Gates/hds/logic1/symbol.sb new file mode 100644 index 0000000..90f6b4f --- /dev/null +++ b/Libs/Gates/hds/logic1/symbol.sb @@ -0,0 +1,1337 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 99,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "logic_1" +t "std_uLogic" +o 1 +suid 2,0 +) +) +uid 100,0 +) +*3 (RefLabelRowHdr +) +*4 (TitleRowHdr +) +*5 (FilterRowHdr +) +*6 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*7 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*8 (GroupColHdr +tm "GroupColHdrMgr" +) +*9 (NameColHdr +tm "NameColHdrMgr" +) +*10 (ModeColHdr +tm "ModeColHdrMgr" +) +*11 (TypeColHdr +tm "TypeColHdrMgr" +) +*12 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*13 (InitColHdr +tm "InitColHdrMgr" +) +*14 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 102,0 +optionalChildren [ +*15 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *16 (MRCItem +litem &1 +pos 1 +dimension 20 +) +uid 43,0 +optionalChildren [ +*17 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 46,0 +) +*18 (MRCItem +litem &4 +pos 1 +dimension 23 +uid 48,0 +) +*19 (MRCItem +litem &5 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*21 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 52,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 50 +uid 56,0 +) +*23 (MRCItem +litem &9 +pos 2 +dimension 100 +uid 58,0 +) +*24 (MRCItem +litem &10 +pos 3 +dimension 50 +uid 60,0 +) +*25 (MRCItem +litem &11 +pos 4 +dimension 100 +uid 62,0 +) +*26 (MRCItem +litem &12 +pos 5 +dimension 100 +uid 64,0 +) +*27 (MRCItem +litem &13 +pos 6 +dimension 50 +uid 66,0 +) +*28 (MRCItem +litem &14 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 98,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *29 (LEmptyRow +) +uid 104,0 +optionalChildren [ +*30 (RefLabelRowHdr +) +*31 (TitleRowHdr +) +*32 (FilterRowHdr +) +*33 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*34 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*35 (GroupColHdr +tm "GroupColHdrMgr" +) +*36 (NameColHdr +tm "GenericNameColHdrMgr" +) +*37 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*38 (InitColHdr +tm "GenericValueColHdrMgr" +) +*39 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*40 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 105,0 +optionalChildren [ +*41 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *42 (MRCItem +litem &29 +pos 0 +dimension 20 +) +uid 72,0 +optionalChildren [ +*43 (MRCItem +litem &30 +pos 0 +dimension 20 +uid 75,0 +) +*44 (MRCItem +litem &31 +pos 1 +dimension 23 +uid 77,0 +) +*45 (MRCItem +litem &32 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*46 (MRCItem +litem &33 +pos 0 +dimension 20 +uid 81,0 +) +*47 (MRCItem +litem &35 +pos 1 +dimension 50 +uid 85,0 +) +*48 (MRCItem +litem &36 +pos 2 +dimension 100 +uid 87,0 +) +*49 (MRCItem +litem &37 +pos 3 +dimension 100 +uid 89,0 +) +*50 (MRCItem +litem &38 +pos 4 +dimension 50 +uid 91,0 +) +*51 (MRCItem +litem &39 +pos 5 +dimension 50 +uid 93,0 +) +*52 (MRCItem +litem &40 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 103,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\logic1\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\logic1\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\logic1" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\logic1" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "logic1" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:13" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "logic1" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\logic1\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\logic1\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Projects\\Levisat\\Onboard\\FPGA\\board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:13" +) +(vvPair +variable "unit" +value "logic1" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*53 (SymbolBody +uid 8,0 +optionalChildren [ +*54 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36625,21000,37375,21750" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +) +xt "38000,20000,40900,21000" +st "logic_1" +blo "38000,20800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,14700,10800" +st "logic_1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "logic_1" +t "std_uLogic" +o 1 +suid 2,0 +) +) +) +] +shape (Pu +uid 279,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,15000,39000,21000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "33910,18700,37010,19700" +st "gates" +blo "33910,19500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "33910,19700,37410,20700" +st "logic1" +blo "33910,20500" +) +) +gi *55 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "34000,23600,43700,24600" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*56 (Grouping +uid 175,0 +optionalChildren [ +*57 (CommentText +uid 177,0 +shape (Rectangle +uid 178,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 179,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*58 (CommentText +uid 180,0 +shape (Rectangle +uid 181,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 182,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*59 (CommentText +uid 183,0 +shape (Rectangle +uid 184,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 185,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*60 (CommentText +uid 186,0 +shape (Rectangle +uid 187,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 188,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 189,0 +shape (Rectangle +uid 190,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 191,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 192,0 +shape (Rectangle +uid 193,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 194,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 195,0 +shape (Rectangle +uid 196,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 197,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*64 (CommentText +uid 198,0 +shape (Rectangle +uid 199,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 200,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 201,0 +shape (Rectangle +uid 202,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 203,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 204,0 +shape (Rectangle +uid 205,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 206,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 176,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *67 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*68 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*69 (MLText +uid 41,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,42,1282,960" +viewArea "-1100,-1100,74373,53996" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *70 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *71 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,10700,2500,11600" +st "User:" +blo "0,11400" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11600,2000,11600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 325,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/mux16to1/symbol.sb b/Libs/Gates/hds/mux16to1/symbol.sb new file mode 100644 index 0000000..1d092b1 --- /dev/null +++ b/Libs/Gates/hds/mux16to1/symbol.sb @@ -0,0 +1,2408 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_STD" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2018,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 283,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in9" +t "std_uLogic" +o 13 +suid 12,0 +) +) +uid 284,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 4 +suid 2,0 +) +) +uid 285,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in6" +t "std_uLogic" +o 8 +suid 9,0 +) +) +uid 286,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "muxOut" +t "std_uLogic" +o 7 +suid 5,0 +) +) +uid 287,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "sel" +t "unsigned" +b "(3 DOWNTO 0)" +o 1 +suid 6,0 +) +) +uid 288,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 7 +suid 7,0 +) +) +uid 289,0 +) +*8 (LogPort +port (LogicalPort +decl (Decl +n "in7" +t "std_uLogic" +o 10 +suid 10,0 +) +) +uid 290,0 +) +*9 (LogPort +port (LogicalPort +decl (Decl +n "in0" +t "std_uLogic" +o 3 +suid 1,0 +) +) +uid 291,0 +) +*10 (LogPort +port (LogicalPort +decl (Decl +n "in15" +t "std_uLogic" +o 16 +suid 18,0 +) +) +uid 292,0 +) +*11 (LogPort +port (LogicalPort +decl (Decl +n "in11" +t "std_uLogic" +o 14 +suid 14,0 +) +) +uid 293,0 +) +*12 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 5 +suid 3,0 +) +) +uid 294,0 +) +*13 (LogPort +port (LogicalPort +decl (Decl +n "in8" +t "std_uLogic" +o 11 +suid 11,0 +) +) +uid 295,0 +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "in14" +t "std_uLogic" +o 18 +suid 17,0 +) +) +uid 296,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "in10" +t "std_uLogic" +o 12 +suid 13,0 +) +) +uid 297,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "in5" +t "std_uLogic" +o 9 +suid 8,0 +) +) +uid 298,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "in12" +t "std_uLogic" +o 17 +suid 15,0 +) +) +uid 299,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 6 +suid 4,0 +) +) +uid 300,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "in13" +t "std_uLogic" +o 15 +suid 16,0 +) +) +uid 301,0 +) +*20 (RefLabelRowHdr +) +*21 (TitleRowHdr +) +*22 (FilterRowHdr +) +*23 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*24 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*25 (GroupColHdr +tm "GroupColHdrMgr" +) +*26 (NameColHdr +tm "NameColHdrMgr" +) +*27 (ModeColHdr +tm "ModeColHdrMgr" +) +*28 (TypeColHdr +tm "TypeColHdrMgr" +) +*29 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*30 (InitColHdr +tm "InitColHdrMgr" +) +*31 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 302,0 +optionalChildren [ +*32 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *33 (MRCItem +litem &1 +pos 18 +dimension 20 +) +uid 211,0 +optionalChildren [ +*34 (MRCItem +litem &20 +pos 0 +dimension 20 +uid 214,0 +) +*35 (MRCItem +litem &21 +pos 1 +dimension 23 +uid 216,0 +) +*36 (MRCItem +litem &22 +pos 2 +hidden 1 +dimension 20 +uid 218,0 +) +*37 (MRCItem +litem &2 +pos 12 +dimension 20 +uid 237,0 +) +*38 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 238,0 +) +*39 (MRCItem +litem &4 +pos 7 +dimension 20 +uid 239,0 +) +*40 (MRCItem +litem &5 +pos 5 +dimension 20 +uid 240,0 +) +*41 (MRCItem +litem &6 +pos 4 +dimension 20 +uid 241,0 +) +*42 (MRCItem +litem &7 +pos 6 +dimension 20 +uid 242,0 +) +*43 (MRCItem +litem &8 +pos 9 +dimension 20 +uid 243,0 +) +*44 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 244,0 +) +*45 (MRCItem +litem &10 +pos 15 +dimension 20 +uid 245,0 +) +*46 (MRCItem +litem &11 +pos 13 +dimension 20 +uid 246,0 +) +*47 (MRCItem +litem &12 +pos 2 +dimension 20 +uid 247,0 +) +*48 (MRCItem +litem &13 +pos 10 +dimension 20 +uid 248,0 +) +*49 (MRCItem +litem &14 +pos 17 +dimension 20 +uid 249,0 +) +*50 (MRCItem +litem &15 +pos 11 +dimension 20 +uid 250,0 +) +*51 (MRCItem +litem &16 +pos 8 +dimension 20 +uid 251,0 +) +*52 (MRCItem +litem &17 +pos 16 +dimension 20 +uid 252,0 +) +*53 (MRCItem +litem &18 +pos 3 +dimension 20 +uid 253,0 +) +*54 (MRCItem +litem &19 +pos 14 +dimension 20 +uid 254,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 212,0 +optionalChildren [ +*55 (MRCItem +litem &23 +pos 0 +dimension 20 +uid 220,0 +) +*56 (MRCItem +litem &25 +pos 1 +dimension 50 +uid 224,0 +) +*57 (MRCItem +litem &26 +pos 2 +dimension 100 +uid 226,0 +) +*58 (MRCItem +litem &27 +pos 3 +dimension 50 +uid 228,0 +) +*59 (MRCItem +litem &28 +pos 4 +dimension 100 +uid 230,0 +) +*60 (MRCItem +litem &29 +pos 5 +dimension 100 +uid 232,0 +) +*61 (MRCItem +litem &30 +pos 6 +dimension 50 +uid 234,0 +) +*62 (MRCItem +litem &31 +pos 7 +dimension 80 +uid 236,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 210,0 +vaOverrides [ +] +) +] +) +uid 282,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *63 (LEmptyRow +) +uid 304,0 +optionalChildren [ +*64 (RefLabelRowHdr +) +*65 (TitleRowHdr +) +*66 (FilterRowHdr +) +*67 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*68 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*69 (GroupColHdr +tm "GroupColHdrMgr" +) +*70 (NameColHdr +tm "GenericNameColHdrMgr" +) +*71 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*72 (InitColHdr +tm "GenericValueColHdrMgr" +) +*73 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*74 (EolColHdr +tm "GenericEolColHdrMgr" +) +*75 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 280,0 +) +] +) +pdm (PhysicalDM +uid 305,0 +optionalChildren [ +*76 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *77 (MRCItem +litem &63 +pos 1 +dimension 20 +) +uid 256,0 +optionalChildren [ +*78 (MRCItem +litem &64 +pos 0 +dimension 20 +uid 259,0 +) +*79 (MRCItem +litem &65 +pos 1 +dimension 23 +uid 261,0 +) +*80 (MRCItem +litem &66 +pos 2 +hidden 1 +dimension 20 +uid 263,0 +) +*81 (MRCItem +litem &75 +pos 0 +dimension 20 +uid 281,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 257,0 +optionalChildren [ +*82 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 265,0 +) +*83 (MRCItem +litem &69 +pos 1 +dimension 50 +uid 269,0 +) +*84 (MRCItem +litem &70 +pos 2 +dimension 100 +uid 271,0 +) +*85 (MRCItem +litem &71 +pos 3 +dimension 100 +uid 273,0 +) +*86 (MRCItem +litem &72 +pos 4 +dimension 50 +uid 275,0 +) +*87 (MRCItem +litem &73 +pos 5 +dimension 50 +uid 277,0 +) +*88 (MRCItem +litem &74 +pos 6 +dimension 80 +uid 279,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 255,0 +vaOverrides [ +] +) +] +) +uid 303,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux16to1\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux16to1\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux16to1" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux16to1" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "mux16to1" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:13" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "mux16to1" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux16to1\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux16to1\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:13" +) +(vvPair +variable "unit" +value "mux16to1" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*89 (SymbolBody +uid 11,0 +optionalChildren [ +*90 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,2625,32000,3375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "33000,2500,34400,3500" +st "in0" +blo "33000,3300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 114,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9400,14200,10400" +st "in0 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in0" +t "std_uLogic" +o 3 +suid 1,0 +) +) +) +*91 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,4625,32000,5375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +) +xt "33000,4500,34400,5500" +st "in1" +blo "33000,5300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 115,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10300,14200,11300" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 4 +suid 2,0 +) +) +) +*92 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,6625,32000,7375" +) +tg (CPTG +uid 125,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +) +xt "33000,6500,34400,7500" +st "in2" +blo "33000,7300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 116,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16600,14200,17600" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 5 +suid 3,0 +) +) +) +*93 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,8625,32000,9375" +) +tg (CPTG +uid 126,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +) +xt "33000,8500,34400,9500" +st "in3" +blo "33000,9300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 117,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,17500,14200,18500" +st "in3 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 6 +suid 4,0 +) +) +) +*94 (CptPort +uid 75,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 76,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,17625,40750,18375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 78,0 +va (VaSet +) +xt "37100,17600,40000,18600" +st "muxOut" +ju 2 +blo "40000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,24700,15100,25700" +st "muxOut : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "muxOut" +t "std_uLogic" +o 7 +suid 5,0 +) +) +) +*95 (CptPort +uid 88,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 89,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35625,30667,36375,31417" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 91,0 +va (VaSet +) +xt "35000,29900,39000,30900" +st "sel : (3:0)" +blo "35000,30700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 119,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,23800,19600,24800" +st "sel : IN unsigned (3 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "sel" +t "unsigned" +b "(3 DOWNTO 0)" +o 1 +suid 6,0 +) +) +) +*96 (CptPort +uid 138,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 139,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,10625,32000,11375" +) +tg (CPTG +uid 140,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 141,0 +va (VaSet +) +xt "33000,10500,34400,11500" +st "in4" +blo "33000,11300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 143,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,18400,14200,19400" +st "in4 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 7 +suid 7,0 +) +) +) +*97 (CptPort +uid 144,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 145,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,12625,32000,13375" +) +tg (CPTG +uid 146,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 147,0 +va (VaSet +) +xt "33000,12500,34400,13500" +st "in5" +blo "33000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 149,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,19300,14200,20300" +st "in5 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in5" +t "std_uLogic" +o 9 +suid 8,0 +) +) +) +*98 (CptPort +uid 150,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 151,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,14625,32000,15375" +) +tg (CPTG +uid 152,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 153,0 +va (VaSet +) +xt "33000,14500,34400,15500" +st "in6" +blo "33000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 155,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,20200,14200,21200" +st "in6 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in6" +t "std_uLogic" +o 8 +suid 9,0 +) +) +) +*99 (CptPort +uid 156,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 157,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,16625,32000,17375" +) +tg (CPTG +uid 158,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 159,0 +va (VaSet +) +xt "33000,16500,34400,17500" +st "in7" +blo "33000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 161,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,21100,14200,22100" +st "in7 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in7" +t "std_uLogic" +o 10 +suid 10,0 +) +) +) +*100 (CptPort +uid 162,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 163,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,18625,32000,19375" +) +tg (CPTG +uid 164,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 165,0 +va (VaSet +) +xt "33000,18500,34400,19500" +st "in8" +blo "33000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 167,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,22000,14200,23000" +st "in8 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in8" +t "std_uLogic" +o 11 +suid 11,0 +) +) +) +*101 (CptPort +uid 168,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 169,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,20625,32000,21375" +) +tg (CPTG +uid 170,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 171,0 +va (VaSet +) +xt "33000,20500,34400,21500" +st "in9" +blo "33000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 173,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,22900,14200,23900" +st "in9 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in9" +t "std_uLogic" +o 13 +suid 12,0 +) +) +) +*102 (CptPort +uid 174,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 175,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,22625,32000,23375" +) +tg (CPTG +uid 176,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 177,0 +va (VaSet +) +xt "33000,22500,34800,23500" +st "in10" +blo "33000,23300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 179,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11200,14400,12200" +st "in10 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in10" +t "std_uLogic" +o 12 +suid 13,0 +) +) +) +*103 (CptPort +uid 180,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 181,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,24625,32000,25375" +) +tg (CPTG +uid 182,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 183,0 +va (VaSet +) +xt "33000,24500,34800,25500" +st "in11" +blo "33000,25300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 185,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12100,14400,13100" +st "in11 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in11" +t "std_uLogic" +o 14 +suid 14,0 +) +) +) +*104 (CptPort +uid 186,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 187,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,26625,32000,27375" +) +tg (CPTG +uid 188,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 189,0 +va (VaSet +) +xt "33000,26500,34800,27500" +st "in12" +blo "33000,27300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 191,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13000,14400,14000" +st "in12 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in12" +t "std_uLogic" +o 17 +suid 15,0 +) +) +) +*105 (CptPort +uid 192,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 193,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,28625,32000,29375" +) +tg (CPTG +uid 194,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 195,0 +va (VaSet +) +xt "33000,28500,34800,29500" +st "in13" +blo "33000,29300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 197,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13900,14400,14900" +st "in13 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in13" +t "std_uLogic" +o 15 +suid 16,0 +) +) +) +*106 (CptPort +uid 198,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 199,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,30625,32000,31375" +) +tg (CPTG +uid 200,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 201,0 +va (VaSet +) +xt "33000,30500,34800,31500" +st "in14" +blo "33000,31300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 203,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14800,14400,15800" +st "in14 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in14" +t "std_uLogic" +o 18 +suid 17,0 +) +) +) +*107 (CptPort +uid 204,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 205,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,32625,32000,33375" +) +tg (CPTG +uid 206,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 207,0 +va (VaSet +) +xt "33000,32500,34800,33500" +st "in15" +blo "33000,33300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 209,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15700,14400,16700" +st "in15 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in15" +t "std_uLogic" +o 16 +suid 18,0 +) +) +) +] +shape (Mux +uid 306,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,-1000,40000,37000" +) +showPorts 0 +oxt "12000,13000,18000,26000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "38600,27700,41700,28700" +st "gates" +blo "38600,28500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "38600,28700,43900,29700" +st "mux16to1" +blo "38600,29500" +) +) +gi *108 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "38000,30600,48800,33600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*109 (Grouping +uid 353,0 +optionalChildren [ +*110 (CommentText +uid 355,0 +shape (Rectangle +uid 356,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 357,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*111 (CommentText +uid 358,0 +shape (Rectangle +uid 359,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,41000,57000,42000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 360,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,41500,53200,41500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*112 (CommentText +uid 361,0 +shape (Rectangle +uid 362,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,43000,53000,44000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 363,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,43500,36200,43500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*113 (CommentText +uid 364,0 +shape (Rectangle +uid 365,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,43000,36000,44000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 366,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,43500,32200,43500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*114 (CommentText +uid 367,0 +shape (Rectangle +uid 368,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,73000,46000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 369,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,42200,67300,43400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*115 (CommentText +uid 370,0 +shape (Rectangle +uid 371,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,41000,73000,42000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 372,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,41500,57200,41500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*116 (CommentText +uid 373,0 +shape (Rectangle +uid 374,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,41000,53000,43000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 375,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,41400,47650,42600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*117 (CommentText +uid 376,0 +shape (Rectangle +uid 377,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 378,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,44500,32200,44500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*118 (CommentText +uid 379,0 +shape (Rectangle +uid 380,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 381,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*119 (CommentText +uid 382,0 +shape (Rectangle +uid 383,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 384,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,44500,36200,44500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 354,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,41000,73000,46000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *120 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*121 (Text +uid 136,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-3000,5400,-2000" +st "Package List" +blo "0,-2200" +) +*122 (MLText +uid 137,0 +va (VaSet +) +xt "0,-2000,17500,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.NUMERIC_STD.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "-1673,33,-307,919" +viewArea "-1100,-4100,75146,44877" +cachedDiagramExtent "0,-3000,73000,46000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-3000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1600,1100,4400,2100" +st "Panel0" +blo "1600,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *123 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *124 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7400,5400,8400" +st "Declarations" +blo "0,8200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8400,2700,9400" +st "Ports:" +blo "0,9200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,25600,2500,26500" +st "User:" +blo "0,26300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,7400,5800,8400" +st "Internal User:" +blo "0,8200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,26500,2000,26500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "56000,7400,56000,7400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 453,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/mux2to1/symbol.sb b/Libs/Gates/hds/mux2to1/symbol.sb new file mode 100644 index 0000000..f8236bf --- /dev/null +++ b/Libs/Gates/hds/mux2to1/symbol.sb @@ -0,0 +1,1569 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 197,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in0" +t "std_uLogic" +o 3 +suid 1,0 +) +) +uid 198,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 4 +suid 2,0 +) +) +uid 199,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "MuxOut" +t "std_uLogic" +o 7 +suid 3,0 +) +) +uid 200,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "sel" +t "std_uLogic" +o 1 +suid 4,0 +) +) +uid 201,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 202,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 139,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 142,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 144,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 146,0 +) +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 165,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 166,0 +) +*25 (MRCItem +litem &4 +pos 3 +dimension 20 +uid 167,0 +) +*26 (MRCItem +litem &5 +pos 2 +dimension 20 +uid 168,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 140,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 148,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 152,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 154,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 156,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 158,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 160,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 162,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 164,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 138,0 +vaOverrides [ +] +) +] +) +uid 196,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 204,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 194,0 +) +] +) +pdm (PhysicalDM +uid 205,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 170,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 173,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 175,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 177,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 195,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 171,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 179,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 183,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 185,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 187,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 189,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 191,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 193,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 169,0 +vaOverrides [ +] +) +] +) +uid 203,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "mux2to1" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:13" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "mux2to1" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_SimulationPath" +value "$SIMULATION_DIR" +) +(vvPair +variable "task_SynplifyPath" +value "$SYNPLIFY_HOME" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:13" +) +(vvPair +variable "unit" +value "mux2to1" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*61 (SymbolBody +uid 11,0 +optionalChildren [ +*62 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,13625,34000,14375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "34000,13500,35400,14500" +st "in0" +blo "34000,14300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 114,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9200,14200,10200" +st "in0 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in0" +t "std_uLogic" +o 3 +suid 1,0 +) +) +) +*63 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,19625,34000,20375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +) +xt "33550,19400,35850,20600" +st "in1" +blo "33550,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 115,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10100,14200,11100" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 4 +suid 2,0 +) +) +) +*64 (CptPort +uid 75,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 76,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,16625,40750,17375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 78,0 +va (VaSet +) +xt "35300,16400,40000,17600" +st "MuxOut" +ju 2 +blo "40000,17400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11900,15000,12900" +st "MuxOut : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "MuxOut" +t "std_uLogic" +o 7 +suid 3,0 +) +) +) +*65 (CptPort +uid 88,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 89,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36625,21667,37375,22417" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 91,0 +va (VaSet +) +xt "36000,20800,38200,22000" +st "sel" +blo "36000,21800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 119,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11000,14100,12000" +st "sel : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "sel" +t "std_uLogic" +o 1 +suid 4,0 +) +) +) +] +shape (Mux +uid 330,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,10000,40000,24000" +) +showPorts 0 +oxt "12000,13000,18000,26000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "34600,24700,37700,25700" +st "gates" +blo "34600,25500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "34600,25700,39300,26700" +st "mux2to1" +blo "34600,26500" +) +) +gi *66 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "34000,26600,44800,29600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +selT 0 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*67 (Grouping +uid 229,0 +optionalChildren [ +*68 (CommentText +uid 231,0 +shape (Rectangle +uid 232,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 233,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 234,0 +shape (Rectangle +uid 235,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 236,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 237,0 +shape (Rectangle +uid 238,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 239,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 240,0 +shape (Rectangle +uid 241,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 242,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 243,0 +shape (Rectangle +uid 244,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 245,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 246,0 +shape (Rectangle +uid 247,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 248,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 249,0 +shape (Rectangle +uid 250,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 251,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 252,0 +shape (Rectangle +uid 253,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 254,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 255,0 +shape (Rectangle +uid 256,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 257,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 258,0 +shape (Rectangle +uid 259,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 260,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 230,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *78 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +uid 136,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*80 (MLText +uid 137,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "-1358,103,-144,958" +viewArea "-1100,-600,74822,53300" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *81 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *82 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7200,5400,8200" +st "Declarations" +blo "0,8000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8200,2700,9200" +st "Ports:" +blo "0,9000" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,12800,2500,13700" +st "User:" +blo "0,13500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,7200,5800,8200" +st "Internal User:" +blo "0,8000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13700,2000,13700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "56000,7200,56000,7200" +tm "SyDeclarativeTextMgr" +) +) +lastUid 426,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/mux2to1@signed/symbol.sb b/Libs/Gates/hds/mux2to1@signed/symbol.sb new file mode 100644 index 0000000..7011d5a --- /dev/null +++ b/Libs/Gates/hds/mux2to1@signed/symbol.sb @@ -0,0 +1,1591 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 205,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "sel" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 206,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in0" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 207,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "muxOut" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 2,0 +) +) +uid 208,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 209,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 210,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 145,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 148,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 150,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 152,0 +) +*23 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 171,0 +) +*24 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 172,0 +) +*25 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 173,0 +) +*26 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 174,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 146,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 154,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 158,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 160,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 162,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 164,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 166,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 168,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 170,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 144,0 +vaOverrides [ +] +) +] +) +uid 204,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 212,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 200,0 +) +*48 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 201,0 +) +] +) +pdm (PhysicalDM +uid 213,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *50 (MRCItem +litem &35 +pos 2 +dimension 20 +) +uid 176,0 +optionalChildren [ +*51 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 179,0 +) +*52 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 181,0 +) +*53 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 183,0 +) +*54 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 202,0 +) +*55 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 203,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 177,0 +optionalChildren [ +*56 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 185,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 189,0 +) +*58 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 191,0 +) +*59 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 193,0 +) +*60 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 195,0 +) +*61 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 197,0 +) +*62 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 199,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 175,0 +vaOverrides [ +] +) +] +) +uid 211,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1@signed\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1@signed\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1@signed" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1Signed" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "mux2to1Signed" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:13" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "mux2to1Signed" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1@signed\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1Signed\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:13" +) +(vvPair +variable "unit" +value "mux2to1Signed" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*63 (SymbolBody +uid 11,0 +optionalChildren [ +*64 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,10625,38000,11375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "38000,10400,40300,11600" +st "in0" +blo "38000,11400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 114,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9200,23500,10200" +st "in0 : IN signed (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in0" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 75,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 76,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44000,14625,44750,15375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 78,0 +va (VaSet +) +xt "39300,14500,44000,15700" +st "muxOut" +ju 2 +blo "44000,15500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11900,24400,12900" +st "muxOut : OUT signed (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "muxOut" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 2,0 +) +) +) +*66 (CptPort +uid 88,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 89,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40625,20333,41375,21083" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 91,0 +va (VaSet +) +xt "40000,19000,41400,20000" +st "sel" +blo "40000,19800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 119,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11000,14100,12000" +st "sel : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "sel" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*67 (CptPort +uid 138,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 139,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,18625,38000,19375" +) +tg (CPTG +uid 140,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 141,0 +va (VaSet +) +xt "38000,18500,40300,19700" +st "in1" +blo "38000,19500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 143,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10100,23500,11100" +st "in1 : IN signed (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +] +shape (Mux +uid 87,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,7000,44000,23000" +) +showPorts 0 +oxt "12000,13000,18000,26000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "43600,18700,46700,19700" +st "gates" +blo "43600,19500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "43600,19700,52100,20700" +st "mux2to1Signed" +blo "43600,20500" +) +) +gi *68 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "44000,21800,57200,25800" +st "Generic Declarations + +dataBitNb positive 8 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +) +) +*69 (Grouping +uid 237,0 +optionalChildren [ +*70 (CommentText +uid 239,0 +shape (Rectangle +uid 240,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 241,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 242,0 +shape (Rectangle +uid 243,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,43000,57000,44000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 244,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,43500,53200,43500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 245,0 +shape (Rectangle +uid 246,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 247,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 248,0 +shape (Rectangle +uid 249,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 250,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 251,0 +shape (Rectangle +uid 252,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,73000,48000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 253,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44200,67300,45400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 254,0 +shape (Rectangle +uid 255,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,43000,73000,44000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 256,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,43500,57200,43500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 257,0 +shape (Rectangle +uid 258,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,43000,53000,45000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 259,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,43400,47650,44600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 260,0 +shape (Rectangle +uid 261,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 262,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 263,0 +shape (Rectangle +uid 264,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 265,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 266,0 +shape (Rectangle +uid 267,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 268,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 238,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,43000,73000,48000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *80 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 136,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-1000,5400,0" +st "Package List" +blo "0,-200" +) +*82 (MLText +uid 137,0 +va (VaSet +) +xt "0,0,17500,6000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "148,48,1421,898" +viewArea "-1000,-2000,75285,48735" +cachedDiagramExtent "0,-1000,73000,48000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-1000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "950,1000,3750,2000" +st "Panel0" +blo "950,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *83 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *84 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7200,5400,8200" +st "Declarations" +blo "0,8000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8200,2700,9200" +st "Ports:" +blo "0,9000" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,12800,2500,13700" +st "User:" +blo "0,13500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,7200,5800,8200" +st "Internal User:" +blo "0,8000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13700,2000,13700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "56000,7200,56000,7200" +tm "SyDeclarativeTextMgr" +) +) +lastUid 360,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/mux2to1@u@logic@vector/symbol.sb b/Libs/Gates/hds/mux2to1@u@logic@vector/symbol.sb new file mode 100644 index 0000000..88c544e --- /dev/null +++ b/Libs/Gates/hds/mux2to1@u@logic@vector/symbol.sb @@ -0,0 +1,1591 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 205,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "muxOut" +t "std_uLogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 2,0 +) +) +uid 206,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 207,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "sel" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 208,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "in0" +t "std_uLogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 209,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 210,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 145,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 148,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 150,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 152,0 +) +*23 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 171,0 +) +*24 (MRCItem +litem &3 +pos 3 +dimension 20 +uid 172,0 +) +*25 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 173,0 +) +*26 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 174,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 146,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 154,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 158,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 160,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 162,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 164,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 166,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 168,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 170,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 144,0 +vaOverrides [ +] +) +] +) +uid 204,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 212,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 200,0 +) +*48 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 201,0 +) +] +) +pdm (PhysicalDM +uid 213,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *50 (MRCItem +litem &35 +pos 2 +dimension 20 +) +uid 176,0 +optionalChildren [ +*51 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 179,0 +) +*52 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 181,0 +) +*53 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 183,0 +) +*54 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 202,0 +) +*55 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 203,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 177,0 +optionalChildren [ +*56 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 185,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 189,0 +) +*58 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 191,0 +) +*59 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 193,0 +) +*60 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 195,0 +) +*61 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 197,0 +) +*62 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 199,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 175,0 +vaOverrides [ +] +) +] +) +uid 211,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1@u@logic@vector\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1@u@logic@vector\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1@u@logic@vector" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1ULogicVector" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "mux2to1ULogicVector" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:12" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "mux2to1ULogicVector" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1@u@logic@vector\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1ULogicVector\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:12" +) +(vvPair +variable "unit" +value "mux2to1ULogicVector" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*63 (SymbolBody +uid 11,0 +optionalChildren [ +*64 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,-7375,42000,-6625" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "42000,-7600,44300,-6400" +st "in0" +blo "42000,-6600" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 114,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,-11200,28100,-10200" +st "in0 : IN std_uLogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in0" +t "std_uLogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 75,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 76,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,-3375,48750,-2625" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 78,0 +va (VaSet +) +xt "43300,-3500,48000,-2300" +st "muxOut" +ju 2 +blo "48000,-2500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,-8500,29000,-7500" +st "muxOut : OUT std_uLogic_vector (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "muxOut" +t "std_uLogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 2,0 +) +) +) +*66 (CptPort +uid 88,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 89,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44625,2333,45375,3083" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 91,0 +va (VaSet +) +xt "44000,1000,45400,2000" +st "sel" +blo "44000,1800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 119,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,-9400,14100,-8400" +st "sel : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "sel" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*67 (CptPort +uid 138,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 139,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,625,42000,1375" +) +tg (CPTG +uid 140,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 141,0 +va (VaSet +) +xt "42000,400,44300,1600" +st "in1" +blo "42000,1400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 143,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,-10300,28100,-9300" +st "in1 : IN std_uLogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +] +shape (Mux +uid 87,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "42000,-11000,48000,5000" +) +showPorts 0 +oxt "12000,13000,18000,26000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "46600,700,49700,1700" +st "gates" +blo "46600,1500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "46600,1700,58100,2700" +st "mux2to1ULogicVector" +blo "46600,2500" +) +) +gi *68 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "47000,3800,60200,7800" +st "Generic Declarations + +dataBitNb positive 8 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +) +) +*69 (Grouping +uid 237,0 +optionalChildren [ +*70 (CommentText +uid 239,0 +shape (Rectangle +uid 240,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,27000,53000,28000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 241,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,27500,36200,27500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 242,0 +shape (Rectangle +uid 243,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,23000,57000,24000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 244,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,23500,53200,23500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 245,0 +shape (Rectangle +uid 246,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,25000,53000,26000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 247,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,25500,36200,25500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 248,0 +shape (Rectangle +uid 249,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,25000,36000,26000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 250,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,25500,32200,25500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 251,0 +shape (Rectangle +uid 252,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,24000,73000,28000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 253,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,24200,67300,25400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 254,0 +shape (Rectangle +uid 255,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,23000,73000,24000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 256,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,23500,57200,23500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 257,0 +shape (Rectangle +uid 258,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,23000,53000,25000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 259,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,23400,47650,24600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 260,0 +shape (Rectangle +uid 261,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,26000,36000,27000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 262,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,26500,32200,26500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 263,0 +shape (Rectangle +uid 264,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,27000,36000,28000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 265,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,27500,32200,27500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 266,0 +shape (Rectangle +uid 267,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,26000,53000,27000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 268,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,26500,36200,26500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 238,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,23000,73000,28000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *80 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 136,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-21000,5400,-20000" +st "Package List" +blo "0,-20200" +) +*82 (MLText +uid 137,0 +va (VaSet +) +xt "0,-20000,17500,-14000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "195,67,1416,900" +viewArea "-1000,-22000,75461,30206" +cachedDiagramExtent "0,-21000,73000,28000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-21000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "950,1000,3750,2000" +st "Panel0" +blo "950,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *83 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *84 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-13200,5400,-12200" +st "Declarations" +blo "0,-12400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-12200,2700,-11200" +st "Ports:" +blo "0,-11400" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-7600,2500,-6700" +st "User:" +blo "0,-6900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,-13200,5800,-12200" +st "Internal User:" +blo "0,-12400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,-6700,2000,-6700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "56000,-13200,56000,-13200" +tm "SyDeclarativeTextMgr" +) +) +lastUid 429,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/mux2to1@unsigned/symbol.sb b/Libs/Gates/hds/mux2to1@unsigned/symbol.sb new file mode 100644 index 0000000..05fa5b5 --- /dev/null +++ b/Libs/Gates/hds/mux2to1@unsigned/symbol.sb @@ -0,0 +1,1587 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 205,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "muxOut" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 2,0 +) +) +uid 206,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 207,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in0" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 208,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "sel" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 209,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 210,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 145,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 148,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 150,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 152,0 +) +*23 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 171,0 +) +*24 (MRCItem +litem &3 +pos 3 +dimension 20 +uid 172,0 +) +*25 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 173,0 +) +*26 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 174,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 146,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 154,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 158,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 160,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 162,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 164,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 166,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 168,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 170,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 144,0 +vaOverrides [ +] +) +] +) +uid 204,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 212,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 200,0 +) +*48 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 201,0 +) +] +) +pdm (PhysicalDM +uid 213,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *50 (MRCItem +litem &35 +pos 2 +dimension 20 +) +uid 176,0 +optionalChildren [ +*51 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 179,0 +) +*52 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 181,0 +) +*53 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 183,0 +) +*54 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 202,0 +) +*55 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 203,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 177,0 +optionalChildren [ +*56 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 185,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 189,0 +) +*58 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 191,0 +) +*59 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 193,0 +) +*60 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 195,0 +) +*61 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 197,0 +) +*62 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 199,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 175,0 +vaOverrides [ +] +) +] +) +uid 211,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1@unsigned\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1@unsigned\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1@unsigned" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1Unsigned" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "mux2to1Unsigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:12" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "mux2to1Unsigned" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1@unsigned\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1Unsigned\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:12" +) +(vvPair +variable "unit" +value "mux2to1Unsigned" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*63 (SymbolBody +uid 11,0 +optionalChildren [ +*64 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,5625,38000,6375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "38000,5500,40300,6700" +st "in0" +blo "38000,6500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 114,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,2800,24500,3800" +st "in0 : IN unsigned (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in0" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 75,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 76,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44000,9625,44750,10375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 78,0 +va (VaSet +) +xt "41100,9500,45800,10700" +st "muxOut" +blo "41100,10500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,5500,25400,6500" +st "muxOut : OUT unsigned (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "muxOut" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 2,0 +) +) +) +*66 (CptPort +uid 88,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 89,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40625,15333,41375,16083" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 91,0 +ro 270 +va (VaSet +) +xt "40500,13600,41500,15000" +st "sel" +blo "41300,15000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 119,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,4600,14100,5600" +st "sel : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "sel" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*67 (CptPort +uid 138,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 139,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,13625,38000,14375" +) +tg (CPTG +uid 140,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 141,0 +va (VaSet +) +xt "38000,13500,40300,14700" +st "in1" +blo "38000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 143,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,3700,24500,4700" +st "in1 : IN unsigned (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +] +shape (Mux +uid 87,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,2000,44000,18000" +) +showPorts 0 +oxt "12000,13000,18000,26000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "42600,13700,45700,14700" +st "gates" +blo "42600,14500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "42600,14700,52300,15700" +st "mux2to1Unsigned" +blo "42600,15500" +) +) +gi *68 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "43000,16800,56200,20800" +st "Generic Declarations + +dataBitNb positive 8 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +) +) +*69 (Grouping +uid 237,0 +optionalChildren [ +*70 (CommentText +uid 239,0 +shape (Rectangle +uid 240,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,42000,53000,43000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 241,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,42500,36200,42500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 242,0 +shape (Rectangle +uid 243,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,38000,57000,39000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 244,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,38500,53200,38500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 245,0 +shape (Rectangle +uid 246,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,40000,53000,41000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 247,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,40500,36200,40500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 248,0 +shape (Rectangle +uid 249,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,40000,36000,41000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 250,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,40500,32200,40500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 251,0 +shape (Rectangle +uid 252,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,39000,73000,43000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 253,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,39200,67300,40400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 254,0 +shape (Rectangle +uid 255,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,38000,73000,39000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 256,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,38500,57200,38500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 257,0 +shape (Rectangle +uid 258,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,38000,53000,40000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 259,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,38400,47650,39600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 260,0 +shape (Rectangle +uid 261,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,41000,36000,42000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 262,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,41500,32200,41500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 263,0 +shape (Rectangle +uid 264,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,42000,36000,43000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 265,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,42500,32200,42500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 266,0 +shape (Rectangle +uid 267,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,41000,53000,42000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 268,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,41500,36200,41500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 238,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,38000,73000,43000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *80 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 136,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-6000,5400,-5000" +st "Package List" +blo "0,-5200" +) +*82 (MLText +uid 137,0 +va (VaSet +) +xt "0,-5000,17500,1000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "190,46,1418,874" +viewArea "-1091,-7127,74560,44307" +cachedDiagramExtent "0,-6000,73000,43000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-6000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "950,1000,3750,2000" +st "Panel0" +blo "950,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *83 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *84 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,800,5400,1800" +st "Declarations" +blo "0,1600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,1800,2700,2800" +st "Ports:" +blo "0,2600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,6400,2500,7300" +st "User:" +blo "0,7100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,800,5800,1800" +st "Internal User:" +blo "0,1600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,7300,2000,7300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "56000,800,56000,800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 360,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/mux4to1/symbol.sb b/Libs/Gates/hds/mux4to1/symbol.sb new file mode 100644 index 0000000..9413749 --- /dev/null +++ b/Libs/Gates/hds/mux4to1/symbol.sb @@ -0,0 +1,1708 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2006,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 205,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "muxOut" +t "std_ulogic" +o 3 +suid 2,0 +) +) +uid 206,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 207,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in0" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 208,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "sel" +t "unsigned" +b "(1 DOWNTO 0)" +o 2 +suid 3,0 +) +) +uid 209,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_ulogic" +o 5 +suid 2005,0 +) +) +uid 302,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_ulogic" +o 6 +suid 2006,0 +) +) +uid 304,0 +) +] +) +pdm (PhysicalDM +uid 210,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 145,0 +optionalChildren [ +*22 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 148,0 +) +*23 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 150,0 +) +*24 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 152,0 +) +*25 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 171,0 +) +*26 (MRCItem +litem &3 +pos 3 +dimension 20 +uid 172,0 +) +*27 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 173,0 +) +*28 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 174,0 +) +*29 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 303,0 +) +*30 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 305,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 146,0 +optionalChildren [ +*31 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 154,0 +) +*32 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 158,0 +) +*33 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 160,0 +) +*34 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 162,0 +) +*35 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 164,0 +) +*36 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 166,0 +) +*37 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 168,0 +) +*38 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 170,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 144,0 +vaOverrides [ +] +) +] +) +uid 204,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 212,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "dataNbBits" +type "positive" +value "8" +) +uid 200,0 +) +*52 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 201,0 +) +] +) +pdm (PhysicalDM +uid 213,0 +optionalChildren [ +*53 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *54 (MRCItem +litem &39 +pos 2 +dimension 20 +) +uid 176,0 +optionalChildren [ +*55 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 179,0 +) +*56 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 181,0 +) +*57 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 183,0 +) +*58 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 202,0 +) +*59 (MRCItem +litem &52 +pos 1 +dimension 20 +uid 203,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 177,0 +optionalChildren [ +*60 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 185,0 +) +*61 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 189,0 +) +*62 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 191,0 +) +*63 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 193,0 +) +*64 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 195,0 +) +*65 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 197,0 +) +*66 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 199,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 175,0 +vaOverrides [ +] +) +] +) +uid 211,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "mux4to1" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:12" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "mux4to1" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:12" +) +(vvPair +variable "unit" +value "mux4to1" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*67 (SymbolBody +uid 11,0 +optionalChildren [ +*68 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,5625,38000,6375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "38000,5500,39400,6500" +st "in0" +blo "38000,6300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 114,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9200,14000,10200" +st "in0 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "in0" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*69 (CptPort +uid 75,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 76,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,11625,45750,12375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 78,0 +va (VaSet +) +xt "42100,11500,45000,12500" +st "muxOut" +ju 2 +blo "45000,12300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13700,14900,14700" +st "muxOut : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "muxOut" +t "std_ulogic" +o 3 +suid 2,0 +) +) +) +*70 (CptPort +uid 88,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 89,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40625,19143,41375,19893" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 91,0 +ro 270 +va (VaSet +) +xt "40500,17410,41500,18810" +st "sel" +blo "41300,18810" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 119,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12800,19600,13800" +st "sel : IN unsigned (1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "sel" +t "unsigned" +b "(1 DOWNTO 0)" +o 2 +suid 3,0 +) +) +) +*71 (CptPort +uid 138,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 139,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,9625,38000,10375" +) +tg (CPTG +uid 140,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 141,0 +va (VaSet +) +xt "38000,9500,39400,10500" +st "in1" +blo "38000,10300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 143,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10100,14000,11100" +st "in1 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*72 (CptPort +uid 292,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 293,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,13625,38000,14375" +) +tg (CPTG +uid 294,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 295,0 +va (VaSet +) +xt "39000,13500,40400,14500" +st "in2" +blo "39000,14300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 296,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11000,14000,12000" +st "in2 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_ulogic" +o 5 +suid 2005,0 +) +) +) +*73 (CptPort +uid 297,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 298,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,17625,38000,18375" +) +tg (CPTG +uid 299,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 300,0 +va (VaSet +) +xt "39000,17500,40400,18500" +st "in3" +blo "39000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 301,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11900,14000,12900" +st "in3 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_ulogic" +o 6 +suid 2006,0 +) +) +) +] +shape (Mux +uid 87,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,2000,45000,22000" +) +showPorts 0 +oxt "12000,13000,18000,26000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "42600,17700,45700,18700" +st "gates" +blo "42600,18500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "42600,18700,47300,19700" +st "mux4to1" +blo "42600,19500" +) +) +gi *74 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "43000,20800,56500,24800" +st "Generic Declarations + +dataNbBits positive 8 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataNbBits" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +) +) +*75 (Grouping +uid 237,0 +optionalChildren [ +*76 (CommentText +uid 239,0 +shape (Rectangle +uid 240,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,42000,53000,43000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 241,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,42500,36200,42500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 242,0 +shape (Rectangle +uid 243,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,38000,57000,39000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 244,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,38500,53200,38500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 245,0 +shape (Rectangle +uid 246,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,40000,53000,41000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 247,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,40500,36200,40500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 248,0 +shape (Rectangle +uid 249,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,40000,36000,41000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 250,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,40500,32200,40500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 251,0 +shape (Rectangle +uid 252,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,39000,73000,43000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 253,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,39200,67300,40400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 254,0 +shape (Rectangle +uid 255,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,38000,73000,39000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 256,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,38500,57200,38500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 257,0 +shape (Rectangle +uid 258,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,38000,53000,40000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 259,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,38400,47650,39600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 260,0 +shape (Rectangle +uid 261,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,41000,36000,42000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 262,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,41500,32200,41500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 263,0 +shape (Rectangle +uid 264,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,42000,36000,43000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 265,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,42500,32200,42500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 266,0 +shape (Rectangle +uid 267,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,41000,53000,42000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 268,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,41500,36200,41500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 238,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,38000,73000,43000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *86 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +uid 136,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-6000,5400,-5000" +st "Package List" +blo "0,-5200" +) +*88 (MLText +uid 137,0 +va (VaSet +) +xt "0,-5000,17500,1000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "-1680,41,-397,935" +viewArea "-1100,-7100,75915,46847" +cachedDiagramExtent "0,-6000,73000,43000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-6000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "950,1000,3750,2000" +st "Panel0" +blo "950,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *89 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *90 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7200,5400,8200" +st "Declarations" +blo "0,8000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8200,2700,9200" +st "Ports:" +blo "0,9000" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,14600,2500,15500" +st "User:" +blo "0,15300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,7200,5800,8200" +st "Internal User:" +blo "0,8000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15500,2000,15500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "56000,7200,56000,7200" +tm "SyDeclarativeTextMgr" +) +) +lastUid 443,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/mux4to1@signed/symbol.sb b/Libs/Gates/hds/mux4to1@signed/symbol.sb new file mode 100644 index 0000000..c2cb77b --- /dev/null +++ b/Libs/Gates/hds/mux4to1@signed/symbol.sb @@ -0,0 +1,1722 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2006,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 205,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "muxOut" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 2,0 +) +) +uid 206,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 207,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in0" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 208,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "sel" +t "unsigned" +b "(1 DOWNTO 0)" +o 2 +suid 3,0 +) +) +uid 209,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 2005,0 +) +) +uid 302,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 2006,0 +) +) +uid 304,0 +) +] +) +pdm (PhysicalDM +uid 210,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 145,0 +optionalChildren [ +*22 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 148,0 +) +*23 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 150,0 +) +*24 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 152,0 +) +*25 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 171,0 +) +*26 (MRCItem +litem &3 +pos 3 +dimension 20 +uid 172,0 +) +*27 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 173,0 +) +*28 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 174,0 +) +*29 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 303,0 +) +*30 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 305,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 146,0 +optionalChildren [ +*31 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 154,0 +) +*32 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 158,0 +) +*33 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 160,0 +) +*34 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 162,0 +) +*35 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 164,0 +) +*36 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 166,0 +) +*37 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 168,0 +) +*38 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 170,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 144,0 +vaOverrides [ +] +) +] +) +uid 204,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 212,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 200,0 +) +*52 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 201,0 +) +] +) +pdm (PhysicalDM +uid 213,0 +optionalChildren [ +*53 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *54 (MRCItem +litem &39 +pos 2 +dimension 20 +) +uid 176,0 +optionalChildren [ +*55 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 179,0 +) +*56 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 181,0 +) +*57 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 183,0 +) +*58 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 202,0 +) +*59 (MRCItem +litem &52 +pos 1 +dimension 20 +uid 203,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 177,0 +optionalChildren [ +*60 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 185,0 +) +*61 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 189,0 +) +*62 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 191,0 +) +*63 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 193,0 +) +*64 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 195,0 +) +*65 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 197,0 +) +*66 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 199,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 175,0 +vaOverrides [ +] +) +] +) +uid 211,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1@signed\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1@signed\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1@signed" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1Signed" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "mux4to1Signed" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:11" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "mux4to1Signed" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1@signed\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1Signed\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:11" +) +(vvPair +variable "unit" +value "mux4to1Signed" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*67 (SymbolBody +uid 11,0 +optionalChildren [ +*68 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,5625,38000,6375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "38000,5500,40300,6700" +st "in0" +blo "38000,6500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 114,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,4200,23500,5200" +st "in0 : IN signed (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in0" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*69 (CptPort +uid 75,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 76,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,11625,45750,12375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 78,0 +va (VaSet +) +xt "40300,11500,45000,12700" +st "muxOut" +ju 2 +blo "45000,12500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,8700,24400,9700" +st "muxOut : OUT signed (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "muxOut" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 2,0 +) +) +) +*70 (CptPort +uid 88,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 89,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40625,19143,41375,19893" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 91,0 +ro 270 +va (VaSet +) +xt "40500,17410,41500,18810" +st "sel" +blo "41300,18810" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 119,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,7800,19600,8800" +st "sel : IN unsigned (1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "sel" +t "unsigned" +b "(1 DOWNTO 0)" +o 2 +suid 3,0 +) +) +) +*71 (CptPort +uid 138,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 139,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,9625,38000,10375" +) +tg (CPTG +uid 140,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 141,0 +va (VaSet +) +xt "38000,9500,40300,10700" +st "in1" +blo "38000,10500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 143,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,5100,23500,6100" +st "in1 : IN signed (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*72 (CptPort +uid 292,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 293,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,13625,38000,14375" +) +tg (CPTG +uid 294,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 295,0 +va (VaSet +) +xt "39000,13500,41300,14700" +st "in2" +blo "39000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 296,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,6000,23500,7000" +st "in2 : IN signed (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 2005,0 +) +) +) +*73 (CptPort +uid 297,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 298,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,17625,38000,18375" +) +tg (CPTG +uid 299,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 300,0 +va (VaSet +) +xt "39000,17500,41300,18700" +st "in3" +blo "39000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 301,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,6900,23500,7900" +st "in3 : IN signed (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 2006,0 +) +) +) +] +shape (Mux +uid 87,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,2000,45000,22000" +) +showPorts 0 +oxt "12000,13000,18000,26000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "42600,17700,45700,18700" +st "gates" +blo "42600,18500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "42600,18700,51100,19700" +st "mux4to1Signed" +blo "42600,19500" +) +) +gi *74 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "43000,20800,56200,24800" +st "Generic Declarations + +dataBitNb positive 8 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +) +) +*75 (Grouping +uid 237,0 +optionalChildren [ +*76 (CommentText +uid 239,0 +shape (Rectangle +uid 240,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,42000,53000,43000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 241,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,42500,36200,42500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 242,0 +shape (Rectangle +uid 243,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,38000,57000,39000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 244,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,38500,53200,38500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 245,0 +shape (Rectangle +uid 246,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,40000,53000,41000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 247,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,40500,36200,40500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 248,0 +shape (Rectangle +uid 249,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,40000,36000,41000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 250,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,40500,32200,40500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 251,0 +shape (Rectangle +uid 252,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,39000,73000,43000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 253,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,39200,67300,40400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 254,0 +shape (Rectangle +uid 255,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,38000,73000,39000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 256,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,38500,57200,38500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 257,0 +shape (Rectangle +uid 258,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,38000,53000,40000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 259,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,38400,47650,39600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 260,0 +shape (Rectangle +uid 261,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,41000,36000,42000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 262,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,41500,32200,41500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 263,0 +shape (Rectangle +uid 264,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,42000,36000,43000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 265,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,42500,32200,42500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 266,0 +shape (Rectangle +uid 267,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,41000,53000,42000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 268,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,41500,36200,41500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 238,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,38000,73000,43000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *86 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +uid 136,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-6000,5400,-5000" +st "Package List" +blo "0,-5200" +) +*88 (MLText +uid 137,0 +va (VaSet +) +xt "0,-5000,17500,1000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "180,38,1431,889" +viewArea "-1067,-7041,74603,44945" +cachedDiagramExtent "0,-6000,73000,43000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-6000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "950,1000,3750,2000" +st "Panel0" +blo "950,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *89 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *90 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,2200,5400,3200" +st "Declarations" +blo "0,3000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,3200,2700,4200" +st "Ports:" +blo "0,4000" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9600,2500,10500" +st "User:" +blo "0,10300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,2200,5800,3200" +st "Internal User:" +blo "0,3000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10500,2000,10500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "56000,2200,56000,2200" +tm "SyDeclarativeTextMgr" +) +) +lastUid 397,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/mux4to1@u@logic@vector/symbol.sb b/Libs/Gates/hds/mux4to1@u@logic@vector/symbol.sb new file mode 100644 index 0000000..f19b9c7 --- /dev/null +++ b/Libs/Gates/hds/mux4to1@u@logic@vector/symbol.sb @@ -0,0 +1,1722 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2006,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 205,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "muxOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 2,0 +) +) +uid 206,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 207,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in0" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 208,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "sel" +t "unsigned" +b "(1 DOWNTO 0)" +o 2 +suid 3,0 +) +) +uid 209,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 2005,0 +) +) +uid 302,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 2006,0 +) +) +uid 304,0 +) +] +) +pdm (PhysicalDM +uid 210,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 145,0 +optionalChildren [ +*22 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 148,0 +) +*23 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 150,0 +) +*24 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 152,0 +) +*25 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 171,0 +) +*26 (MRCItem +litem &3 +pos 3 +dimension 20 +uid 172,0 +) +*27 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 173,0 +) +*28 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 174,0 +) +*29 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 303,0 +) +*30 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 305,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 146,0 +optionalChildren [ +*31 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 154,0 +) +*32 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 158,0 +) +*33 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 160,0 +) +*34 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 162,0 +) +*35 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 164,0 +) +*36 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 166,0 +) +*37 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 168,0 +) +*38 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 170,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 144,0 +vaOverrides [ +] +) +] +) +uid 204,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 212,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 200,0 +) +*52 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 201,0 +) +] +) +pdm (PhysicalDM +uid 213,0 +optionalChildren [ +*53 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *54 (MRCItem +litem &39 +pos 2 +dimension 20 +) +uid 176,0 +optionalChildren [ +*55 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 179,0 +) +*56 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 181,0 +) +*57 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 183,0 +) +*58 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 202,0 +) +*59 (MRCItem +litem &52 +pos 1 +dimension 20 +uid 203,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 177,0 +optionalChildren [ +*60 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 185,0 +) +*61 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 189,0 +) +*62 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 191,0 +) +*63 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 193,0 +) +*64 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 195,0 +) +*65 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 197,0 +) +*66 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 199,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 175,0 +vaOverrides [ +] +) +] +) +uid 211,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1@u@logic@vector\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1@u@logic@vector\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1@u@logic@vector" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1ULogicVector" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "mux4to1ULogicVector" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:11" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "mux4to1ULogicVector" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1@u@logic@vector\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1ULogicVector\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:11" +) +(vvPair +variable "unit" +value "mux4to1ULogicVector" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*67 (SymbolBody +uid 11,0 +optionalChildren [ +*68 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,5625,38000,6375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "38000,5500,40300,6700" +st "in0" +blo "38000,6500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 114,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,4200,27900,5200" +st "in0 : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in0" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*69 (CptPort +uid 75,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 76,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,11625,45750,12375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 78,0 +va (VaSet +) +xt "40300,11500,45000,12700" +st "muxOut" +ju 2 +blo "45000,12500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,8700,28800,9700" +st "muxOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "muxOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 2,0 +) +) +) +*70 (CptPort +uid 88,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 89,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40625,19143,41375,19893" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 91,0 +ro 270 +va (VaSet +) +xt "40500,17410,41500,18810" +st "sel" +blo "41300,18810" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 119,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,7800,19600,8800" +st "sel : IN unsigned (1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "sel" +t "unsigned" +b "(1 DOWNTO 0)" +o 2 +suid 3,0 +) +) +) +*71 (CptPort +uid 138,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 139,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,9625,38000,10375" +) +tg (CPTG +uid 140,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 141,0 +va (VaSet +) +xt "38000,9500,40300,10700" +st "in1" +blo "38000,10500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 143,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,5100,27900,6100" +st "in1 : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*72 (CptPort +uid 292,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 293,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,13625,38000,14375" +) +tg (CPTG +uid 294,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 295,0 +va (VaSet +) +xt "39000,13500,41300,14700" +st "in2" +blo "39000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 296,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,6000,27900,7000" +st "in2 : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 2005,0 +) +) +) +*73 (CptPort +uid 297,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 298,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,17625,38000,18375" +) +tg (CPTG +uid 299,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 300,0 +va (VaSet +) +xt "39000,17500,41300,18700" +st "in3" +blo "39000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 301,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,6900,27900,7900" +st "in3 : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 2006,0 +) +) +) +] +shape (Mux +uid 87,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,2000,45000,22000" +) +showPorts 0 +oxt "12000,13000,18000,26000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "42600,17700,45700,18700" +st "gates" +blo "42600,18500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "42600,18700,54100,19700" +st "mux4to1ULogicVector" +blo "42600,19500" +) +) +gi *74 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "43000,20800,56200,24800" +st "Generic Declarations + +dataBitNb positive 8 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +) +) +*75 (Grouping +uid 237,0 +optionalChildren [ +*76 (CommentText +uid 239,0 +shape (Rectangle +uid 240,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,42000,53000,43000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 241,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,42500,36200,42500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 242,0 +shape (Rectangle +uid 243,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,38000,57000,39000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 244,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,38500,53200,38500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 245,0 +shape (Rectangle +uid 246,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,40000,53000,41000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 247,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,40500,36200,40500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 248,0 +shape (Rectangle +uid 249,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,40000,36000,41000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 250,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,40500,32200,40500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 251,0 +shape (Rectangle +uid 252,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,39000,73000,43000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 253,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,39200,67300,40400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 254,0 +shape (Rectangle +uid 255,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,38000,73000,39000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 256,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,38500,57200,38500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 257,0 +shape (Rectangle +uid 258,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,38000,53000,40000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 259,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,38400,47650,39600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 260,0 +shape (Rectangle +uid 261,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,41000,36000,42000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 262,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,41500,32200,41500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 263,0 +shape (Rectangle +uid 264,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,42000,36000,43000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 265,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,42500,32200,42500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 266,0 +shape (Rectangle +uid 267,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,41000,53000,42000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 268,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,41500,36200,41500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 238,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,38000,73000,43000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *86 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +uid 136,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-6000,5400,-5000" +st "Package List" +blo "0,-5200" +) +*88 (MLText +uid 137,0 +va (VaSet +) +xt "0,-5000,17500,1000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "227,88,1427,900" +viewArea "-1000,-7000,74537,44590" +cachedDiagramExtent "0,-6000,73000,43000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-6000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "950,1000,3750,2000" +st "Panel0" +blo "950,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *89 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *90 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,2200,5400,3200" +st "Declarations" +blo "0,3000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,3200,2700,4200" +st "Ports:" +blo "0,4000" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9600,2500,10500" +st "User:" +blo "0,10300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,2200,5800,3200" +st "Internal User:" +blo "0,3000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10500,2000,10500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "56000,2200,56000,2200" +tm "SyDeclarativeTextMgr" +) +) +lastUid 420,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/mux4to1@unsigned/symbol.sb b/Libs/Gates/hds/mux4to1@unsigned/symbol.sb new file mode 100644 index 0000000..cd6a17d --- /dev/null +++ b/Libs/Gates/hds/mux4to1@unsigned/symbol.sb @@ -0,0 +1,1722 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2006,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 205,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "muxOut" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 2,0 +) +) +uid 206,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 207,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in0" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 208,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "sel" +t "unsigned" +b "(1 DOWNTO 0)" +o 2 +suid 3,0 +) +) +uid 209,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 2005,0 +) +) +uid 302,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 2006,0 +) +) +uid 304,0 +) +] +) +pdm (PhysicalDM +uid 210,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 145,0 +optionalChildren [ +*22 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 148,0 +) +*23 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 150,0 +) +*24 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 152,0 +) +*25 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 171,0 +) +*26 (MRCItem +litem &3 +pos 3 +dimension 20 +uid 172,0 +) +*27 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 173,0 +) +*28 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 174,0 +) +*29 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 303,0 +) +*30 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 305,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 146,0 +optionalChildren [ +*31 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 154,0 +) +*32 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 158,0 +) +*33 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 160,0 +) +*34 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 162,0 +) +*35 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 164,0 +) +*36 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 166,0 +) +*37 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 168,0 +) +*38 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 170,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 144,0 +vaOverrides [ +] +) +] +) +uid 204,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 212,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 200,0 +) +*52 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 201,0 +) +] +) +pdm (PhysicalDM +uid 213,0 +optionalChildren [ +*53 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *54 (MRCItem +litem &39 +pos 2 +dimension 20 +) +uid 176,0 +optionalChildren [ +*55 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 179,0 +) +*56 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 181,0 +) +*57 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 183,0 +) +*58 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 202,0 +) +*59 (MRCItem +litem &52 +pos 1 +dimension 20 +uid 203,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 177,0 +optionalChildren [ +*60 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 185,0 +) +*61 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 189,0 +) +*62 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 191,0 +) +*63 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 193,0 +) +*64 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 195,0 +) +*65 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 197,0 +) +*66 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 199,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 175,0 +vaOverrides [ +] +) +] +) +uid 211,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1@unsigned\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1@unsigned\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1@unsigned" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1Unsigned" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "mux4to1Unsigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:11" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "mux4to1Unsigned" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1@unsigned\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1Unsigned\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:11" +) +(vvPair +variable "unit" +value "mux4to1Unsigned" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*67 (SymbolBody +uid 11,0 +optionalChildren [ +*68 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,5625,38000,6375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "38000,5500,40300,6700" +st "in0" +blo "38000,6500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 114,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,4200,24500,5200" +st "in0 : IN unsigned (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in0" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*69 (CptPort +uid 75,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 76,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,11625,45750,12375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 78,0 +va (VaSet +) +xt "40300,11500,45000,12700" +st "muxOut" +ju 2 +blo "45000,12500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,8700,25400,9700" +st "muxOut : OUT unsigned (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "muxOut" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 2,0 +) +) +) +*70 (CptPort +uid 88,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 89,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40625,19143,41375,19893" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 91,0 +ro 270 +va (VaSet +) +xt "40500,17410,41500,18810" +st "sel" +blo "41300,18810" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 119,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,7800,19600,8800" +st "sel : IN unsigned (1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "sel" +t "unsigned" +b "(1 DOWNTO 0)" +o 2 +suid 3,0 +) +) +) +*71 (CptPort +uid 138,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 139,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,9625,38000,10375" +) +tg (CPTG +uid 140,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 141,0 +va (VaSet +) +xt "38000,9500,40300,10700" +st "in1" +blo "38000,10500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 143,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,5100,24500,6100" +st "in1 : IN unsigned (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*72 (CptPort +uid 292,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 293,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,13625,38000,14375" +) +tg (CPTG +uid 294,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 295,0 +va (VaSet +) +xt "39000,13500,41300,14700" +st "in2" +blo "39000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 296,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,6000,24500,7000" +st "in2 : IN unsigned (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 2005,0 +) +) +) +*73 (CptPort +uid 297,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 298,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,17625,38000,18375" +) +tg (CPTG +uid 299,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 300,0 +va (VaSet +) +xt "39000,17500,41300,18700" +st "in3" +blo "39000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 301,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,6900,24500,7900" +st "in3 : IN unsigned (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 2006,0 +) +) +) +] +shape (Mux +uid 87,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,2000,45000,22000" +) +showPorts 0 +oxt "12000,13000,18000,26000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "42600,17700,45700,18700" +st "gates" +blo "42600,18500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "42600,18700,52300,19700" +st "mux4to1Unsigned" +blo "42600,19500" +) +) +gi *74 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "43000,20800,56200,24800" +st "Generic Declarations + +dataBitNb positive 8 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +) +) +*75 (Grouping +uid 237,0 +optionalChildren [ +*76 (CommentText +uid 239,0 +shape (Rectangle +uid 240,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,42000,53000,43000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 241,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,42500,36200,42500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 242,0 +shape (Rectangle +uid 243,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,38000,57000,39000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 244,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,38500,53200,38500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 245,0 +shape (Rectangle +uid 246,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,40000,53000,41000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 247,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,40500,36200,40500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 248,0 +shape (Rectangle +uid 249,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,40000,36000,41000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 250,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,40500,32200,40500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 251,0 +shape (Rectangle +uid 252,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,39000,73000,43000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 253,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,39200,67300,40400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 254,0 +shape (Rectangle +uid 255,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,38000,73000,39000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 256,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,38500,57200,38500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 257,0 +shape (Rectangle +uid 258,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,38000,53000,40000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 259,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,38400,47650,39600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 260,0 +shape (Rectangle +uid 261,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,41000,36000,42000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 262,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,41500,32200,41500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 263,0 +shape (Rectangle +uid 264,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,42000,36000,43000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 265,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,42500,32200,42500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 266,0 +shape (Rectangle +uid 267,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,41000,53000,42000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 268,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,41500,36200,41500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 238,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,38000,73000,43000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *86 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +uid 136,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-6000,5400,-5000" +st "Package List" +blo "0,-5200" +) +*88 (MLText +uid 137,0 +va (VaSet +) +xt "0,-5000,17500,1000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "218,58,1430,867" +viewArea "-1000,-7000,75461,44359" +cachedDiagramExtent "0,-6000,73000,43000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-6000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "950,1000,3750,2000" +st "Panel0" +blo "950,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *89 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *90 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,2200,5400,3200" +st "Declarations" +blo "0,3000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,3200,2700,4200" +st "Ports:" +blo "0,4000" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9600,2500,10500" +st "User:" +blo "0,10300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,2200,5800,3200" +st "Internal User:" +blo "0,3000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10500,2000,10500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "56000,2200,56000,2200" +tm "SyDeclarativeTextMgr" +) +) +lastUid 397,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/nand2/symbol.sb b/Libs/Gates/hds/nand2/symbol.sb new file mode 100644 index 0000000..a985cc8 --- /dev/null +++ b/Libs/Gates/hds/nand2/symbol.sb @@ -0,0 +1,1487 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 153,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +uid 154,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 155,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 156,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 157,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 99,0 +) +*20 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 101,0 +) +*21 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 103,0 +) +*22 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 122,0 +) +*23 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 123,0 +) +*24 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 124,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 97,0 +optionalChildren [ +*25 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 105,0 +) +*26 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 109,0 +) +*27 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 111,0 +) +*28 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 113,0 +) +*29 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 115,0 +) +*30 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 117,0 +) +*31 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 119,0 +) +*32 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 121,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 152,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 159,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 150,0 +) +] +) +pdm (PhysicalDM +uid 160,0 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *47 (MRCItem +litem &33 +pos 1 +dimension 20 +) +uid 126,0 +optionalChildren [ +*48 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 129,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 131,0 +) +*50 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 133,0 +) +*51 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 151,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 127,0 +optionalChildren [ +*52 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 135,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 139,0 +) +*54 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 141,0 +) +*55 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 143,0 +) +*56 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 145,0 +) +*57 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 147,0 +) +*58 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 149,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 125,0 +vaOverrides [ +] +) +] +) +uid 158,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nand2\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nand2\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nand2" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nand2" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "nand2" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:10" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "nand2" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nand2\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nand2\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:10" +) +(vvPair +variable "unit" +value "nand2" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*59 (SymbolBody +uid 11,0 +optionalChildren [ +*60 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,16625,31000,17375" +) +tg (CPTG +uid 89,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "31000,16400,32400,17400" +st "in1" +blo "31000,17200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 84,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,8800,13600,9800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,20625,31000,21375" +) +tg (CPTG +uid 90,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "31000,20400,32400,21400" +st "in2" +blo "31000,21200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9700,13600,10700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +*62 (CptPort +uid 69,0 +optionalChildren [ +*63 (Circle +uid 92,0 +va (VaSet +fg "0,65535,0" +) +xt "37950,18625,38700,19375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38700,18625,39450,19375" +) +tg (CPTG +uid 91,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "35950,18350,37750,19350" +st "out1" +ju 2 +blo "37750,19150" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 86,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10600,13700,11600" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +) +] +shape (And +uid 80,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "31000,16000,38000,22000" +) +showPorts 0 +oxt "9000,12000,18000,20000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "31600,21700,34700,22700" +st "gates" +blo "31600,22500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "31600,22700,35100,23700" +st "nand2" +blo "31600,23500" +) +) +gi *64 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "31000,24600,41800,27600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*65 (Grouping +uid 184,0 +optionalChildren [ +*66 (CommentText +uid 186,0 +shape (Rectangle +uid 187,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 188,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 189,0 +shape (Rectangle +uid 190,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,43000,57000,44000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 191,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,43500,53200,43500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 192,0 +shape (Rectangle +uid 193,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 194,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 195,0 +shape (Rectangle +uid 196,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 197,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 198,0 +shape (Rectangle +uid 199,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,73000,48000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 200,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44200,67300,45400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 201,0 +shape (Rectangle +uid 202,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,43000,73000,44000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 203,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,43500,57200,43500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 204,0 +shape (Rectangle +uid 205,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,43000,53000,45000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 206,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,43400,47650,44600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 207,0 +shape (Rectangle +uid 208,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 209,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 210,0 +shape (Rectangle +uid 211,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 212,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 213,0 +shape (Rectangle +uid 214,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 215,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 185,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,43000,73000,48000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *76 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +uid 93,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-500,5400,500" +st "Package List" +blo "0,300" +) +*78 (MLText +uid 94,0 +va (VaSet +) +xt "0,500,17500,5300" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,30,1398,952" +viewArea "-1100,-1600,74356,50112" +cachedDiagramExtent "0,-500,73000,48000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-1000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *79 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *80 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,6800,5400,7800" +st "Declarations" +blo "0,7600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,2700,8800" +st "Ports:" +blo "0,8600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11500,2500,12400" +st "User:" +blo "0,12200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,6800,5800,7800" +st "Internal User:" +blo "0,7600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12400,2000,12400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "20000,6800,20000,6800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 284,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/nor2/symbol.sb b/Libs/Gates/hds/nor2/symbol.sb new file mode 100644 index 0000000..b8b53ab --- /dev/null +++ b/Libs/Gates/hds/nor2/symbol.sb @@ -0,0 +1,1489 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 150,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +uid 151,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 152,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 153,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 154,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 93,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 96,0 +) +*20 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 98,0 +) +*21 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +*22 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 119,0 +) +*23 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 120,0 +) +*24 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 121,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 94,0 +optionalChildren [ +*25 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 102,0 +) +*26 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 106,0 +) +*27 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 108,0 +) +*28 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 110,0 +) +*29 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 112,0 +) +*30 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 114,0 +) +*31 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 116,0 +) +*32 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 118,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 92,0 +vaOverrides [ +] +) +] +) +uid 149,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 156,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 147,0 +) +] +) +pdm (PhysicalDM +uid 157,0 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *47 (MRCItem +litem &33 +pos 1 +dimension 20 +) +uid 123,0 +optionalChildren [ +*48 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 126,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 128,0 +) +*50 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 130,0 +) +*51 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 148,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 124,0 +optionalChildren [ +*52 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 132,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 136,0 +) +*54 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 138,0 +) +*55 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 140,0 +) +*56 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 142,0 +) +*57 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 144,0 +) +*58 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 146,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 122,0 +vaOverrides [ +] +) +] +) +uid 155,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nor2\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nor2\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nor2" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nor2" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "nor2" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:10" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "nor2" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nor2\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nor2\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:10" +) +(vvPair +variable "unit" +value "nor2" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 75,0 +optionalChildren [ +*59 (SymbolBody +uid 11,0 +optionalChildren [ +*60 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33585,15625,34335,16375" +) +tg (CPTG +uid 86,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "34557,15250,35957,16250" +st "in1" +blo "34557,16050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,8800,13600,9800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33584,19625,34334,20375" +) +tg (CPTG +uid 87,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "34557,19250,35957,20250" +st "in2" +blo "34557,20050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 81,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9700,13600,10700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +*62 (CptPort +uid 63,0 +optionalChildren [ +*63 (Circle +uid 91,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "41000,17625,41750,18375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41750,17625,42500,18375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "39250,17500,41050,18500" +st "out1" +ju 2 +blo "41050,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 82,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10600,13700,11600" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +) +] +shape (Or +uid 158,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,15000,41000,21000" +) +showPorts 0 +oxt "16000,14000,27000,22000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "34600,20700,37700,21700" +st "gates" +blo "34600,21500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "34600,21700,37400,22700" +st "nor2" +blo "34600,22500" +) +) +gi *64 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "34000,23600,44800,26600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +) +portVis (PortSigDisplay +sN 0 +) +) +*65 (Grouping +uid 182,0 +optionalChildren [ +*66 (CommentText +uid 184,0 +shape (Rectangle +uid 185,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 186,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 187,0 +shape (Rectangle +uid 188,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 189,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 190,0 +shape (Rectangle +uid 191,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 192,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 193,0 +shape (Rectangle +uid 194,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 195,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 196,0 +shape (Rectangle +uid 197,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 198,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 199,0 +shape (Rectangle +uid 200,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 201,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 202,0 +shape (Rectangle +uid 203,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 204,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 205,0 +shape (Rectangle +uid 206,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 207,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 208,0 +shape (Rectangle +uid 209,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 210,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 211,0 +shape (Rectangle +uid 212,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 213,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 183,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *76 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +uid 89,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*78 (MLText +uid 90,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "4,29,1376,960" +viewArea "-1100,-1100,74998,52822" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *79 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *80 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,6800,5400,7800" +st "Declarations" +blo "0,7600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,2700,8800" +st "Ports:" +blo "0,8600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11500,2500,12400" +st "User:" +blo "0,12200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,6800,5800,7800" +st "Internal User:" +blo "0,7600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12400,2000,12400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "16000,6800,16000,6800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 282,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/nor2_m/symbol.sb b/Libs/Gates/hds/nor2_m/symbol.sb new file mode 100644 index 0000000..17c7715 --- /dev/null +++ b/Libs/Gates/hds/nor2_m/symbol.sb @@ -0,0 +1,1496 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 149,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 150,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +uid 151,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 152,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 153,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 92,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 95,0 +) +*20 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 97,0 +) +*21 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*22 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 118,0 +) +*23 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 119,0 +) +*24 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 120,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 93,0 +optionalChildren [ +*25 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 101,0 +) +*26 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 105,0 +) +*27 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 107,0 +) +*28 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 109,0 +) +*29 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 111,0 +) +*30 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 113,0 +) +*31 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 115,0 +) +*32 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 117,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 91,0 +vaOverrides [ +] +) +] +) +uid 148,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 155,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 146,0 +) +] +) +pdm (PhysicalDM +uid 156,0 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *47 (MRCItem +litem &33 +pos 1 +dimension 20 +) +uid 122,0 +optionalChildren [ +*48 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 125,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 127,0 +) +*50 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 129,0 +) +*51 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 147,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 123,0 +optionalChildren [ +*52 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 131,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 135,0 +) +*54 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 137,0 +) +*55 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 139,0 +) +*56 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 141,0 +) +*57 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 143,0 +) +*58 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 145,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 121,0 +vaOverrides [ +] +) +] +) +uid 154,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nor2_m\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nor2_m\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nor2_m" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nor2_m" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "nor2_m" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:10" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "nor2_m" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nor2_m\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nor2_m\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:10" +) +(vvPair +variable "unit" +value "nor2_m" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 75,0 +optionalChildren [ +*59 (SymbolBody +uid 11,0 +optionalChildren [ +*60 (CptPort +uid 51,0 +optionalChildren [ +*61 (Circle +uid 77,0 +va (VaSet +fg "0,65535,0" +) +xt "32250,14625,33000,15375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,14625,32250,15375" +) +tg (CPTG +uid 86,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "33472,14250,34872,15250" +st "in1" +blo "33472,15050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,8800,13600,9800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*62 (CptPort +uid 57,0 +optionalChildren [ +*63 (Circle +uid 78,0 +va (VaSet +fg "0,65535,0" +) +xt "32250,18625,33000,19375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,18625,32250,19375" +) +tg (CPTG +uid 87,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "33473,18250,34873,19250" +st "in2" +blo "33473,19050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 81,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9700,13600,10700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +*64 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39950,16625,40700,17375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "38200,16500,40000,17500" +st "out1" +ju 2 +blo "40000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 82,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10600,13700,11600" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +) +] +shape (And +uid 85,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,14000,40000,20000" +) +showPorts 0 +oxt "16000,14000,27000,22000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,19700,36700,20700" +st "gates" +blo "33600,20500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,20700,37800,21700" +st "nor2_m" +blo "33600,21500" +) +) +gi *65 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "33000,22600,43800,25600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +) +portVis (PortSigDisplay +sN 0 +) +) +*66 (Grouping +uid 180,0 +optionalChildren [ +*67 (CommentText +uid 182,0 +shape (Rectangle +uid 183,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 184,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 185,0 +shape (Rectangle +uid 186,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 187,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 188,0 +shape (Rectangle +uid 189,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 190,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 191,0 +shape (Rectangle +uid 192,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 193,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 194,0 +shape (Rectangle +uid 195,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 196,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 197,0 +shape (Rectangle +uid 198,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 199,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 200,0 +shape (Rectangle +uid 201,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 202,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 203,0 +shape (Rectangle +uid 204,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 205,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 206,0 +shape (Rectangle +uid 207,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 208,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 209,0 +shape (Rectangle +uid 210,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 211,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 181,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *77 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +uid 89,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*79 (MLText +uid 90,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "-2,32,1393,943" +viewArea "-1061,-1061,74617,48426" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *80 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *81 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,6800,5400,7800" +st "Declarations" +blo "0,7600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,2700,8800" +st "Ports:" +blo "0,8600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11500,2500,12400" +st "User:" +blo "0,12200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,6800,5800,7800" +st "Internal User:" +blo "0,7600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12400,2000,12400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "16000,6800,16000,6800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 257,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/ones@signed/symbol.sb b/Libs/Gates/hds/ones@signed/symbol.sb new file mode 100644 index 0000000..b83619c --- /dev/null +++ b/Libs/Gates/hds/ones@signed/symbol.sb @@ -0,0 +1,1388 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_STD" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 99,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ones" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +uid 100,0 +) +*3 (RefLabelRowHdr +) +*4 (TitleRowHdr +) +*5 (FilterRowHdr +) +*6 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*7 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*8 (GroupColHdr +tm "GroupColHdrMgr" +) +*9 (NameColHdr +tm "NameColHdrMgr" +) +*10 (ModeColHdr +tm "ModeColHdrMgr" +) +*11 (TypeColHdr +tm "TypeColHdrMgr" +) +*12 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*13 (InitColHdr +tm "InitColHdrMgr" +) +*14 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 102,0 +optionalChildren [ +*15 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *16 (MRCItem +litem &1 +pos 1 +dimension 20 +) +uid 43,0 +optionalChildren [ +*17 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 46,0 +) +*18 (MRCItem +litem &4 +pos 1 +dimension 23 +uid 48,0 +) +*19 (MRCItem +litem &5 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*21 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 52,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 50 +uid 56,0 +) +*23 (MRCItem +litem &9 +pos 2 +dimension 100 +uid 58,0 +) +*24 (MRCItem +litem &10 +pos 3 +dimension 50 +uid 60,0 +) +*25 (MRCItem +litem &11 +pos 4 +dimension 100 +uid 62,0 +) +*26 (MRCItem +litem &12 +pos 5 +dimension 100 +uid 64,0 +) +*27 (MRCItem +litem &13 +pos 6 +dimension 50 +uid 66,0 +) +*28 (MRCItem +litem &14 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 98,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *29 (LEmptyRow +) +uid 104,0 +optionalChildren [ +*30 (RefLabelRowHdr +) +*31 (TitleRowHdr +) +*32 (FilterRowHdr +) +*33 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*34 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*35 (GroupColHdr +tm "GroupColHdrMgr" +) +*36 (NameColHdr +tm "GenericNameColHdrMgr" +) +*37 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*38 (InitColHdr +tm "GenericValueColHdrMgr" +) +*39 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*40 (EolColHdr +tm "GenericEolColHdrMgr" +) +*41 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 279,0 +) +] +) +pdm (PhysicalDM +uid 105,0 +optionalChildren [ +*42 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *43 (MRCItem +litem &29 +pos 1 +dimension 20 +) +uid 72,0 +optionalChildren [ +*44 (MRCItem +litem &30 +pos 0 +dimension 20 +uid 75,0 +) +*45 (MRCItem +litem &31 +pos 1 +dimension 23 +uid 77,0 +) +*46 (MRCItem +litem &32 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*47 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 280,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*48 (MRCItem +litem &33 +pos 0 +dimension 20 +uid 81,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 50 +uid 85,0 +) +*50 (MRCItem +litem &36 +pos 2 +dimension 100 +uid 87,0 +) +*51 (MRCItem +litem &37 +pos 3 +dimension 100 +uid 89,0 +) +*52 (MRCItem +litem &38 +pos 4 +dimension 50 +uid 91,0 +) +*53 (MRCItem +litem &39 +pos 5 +dimension 50 +uid 93,0 +) +*54 (MRCItem +litem &40 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 103,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\ones@signed\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\ones@signed\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\ones@signed" +) +(vvPair +variable "d_logical" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\onesSigned" +) +(vvPair +variable "date" +value "12/ 9/2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "09" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "onesSigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "zas" +) +(vvPair +variable "graphical_source_date" +value "12/ 9/2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "ZELL" +) +(vvPair +variable "graphical_source_time" +value "15:02:18" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "ZELL" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "onesSigned" +) +(vvPair +variable "month" +value "Dec" +) +(vvPair +variable "month_long" +value "December" +) +(vvPair +variable "p" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\ones@signed\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\onesSigned\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "15:02:18" +) +(vvPair +variable "unit" +value "onesSigned" +) +(vvPair +variable "user" +value "zas" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*55 (SymbolBody +uid 8,0 +optionalChildren [ +*56 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39625,20000,40375,20750" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +) +xt "41000,18800,54600,20000" +st "ones : (dataBitNb-1:0)" +blo "41000,19800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,23100,10800" +st "ones : OUT signed (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ones" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +] +shape (Pu +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "37000,14000,42000,20000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "33910,17700,37010,18700" +st "gates" +blo "33910,18500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "33910,18700,40510,19700" +st "onesSigned" +blo "33910,19500" +) +) +gi *57 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "41000,20000,51200,23000" +st "Generic Declarations + +dataBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*58 (Grouping +uid 175,0 +optionalChildren [ +*59 (CommentText +uid 177,0 +shape (Rectangle +uid 178,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 179,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*60 (CommentText +uid 180,0 +shape (Rectangle +uid 181,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 182,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 183,0 +shape (Rectangle +uid 184,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 185,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 186,0 +shape (Rectangle +uid 187,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 188,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 189,0 +shape (Rectangle +uid 190,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 191,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*64 (CommentText +uid 192,0 +shape (Rectangle +uid 193,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 194,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 195,0 +shape (Rectangle +uid 196,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 197,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 198,0 +shape (Rectangle +uid 199,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 200,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 201,0 +shape (Rectangle +uid 202,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 203,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 204,0 +shape (Rectangle +uid 205,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 206,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 176,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *69 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*70 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*71 (MLText +uid 41,0 +va (VaSet +) +xt "0,1000,17500,7000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.NUMERIC_STD.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "761,40,1543,871" +viewArea "-1100,-1100,50876,48321" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *72 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *73 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,10700,2500,11600" +st "User:" +blo "0,11400" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11600,2000,11600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 441,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/ones@unsigned/symbol.sb b/Libs/Gates/hds/ones@unsigned/symbol.sb new file mode 100644 index 0000000..9e04c7d --- /dev/null +++ b/Libs/Gates/hds/ones@unsigned/symbol.sb @@ -0,0 +1,1388 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_STD" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 99,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ones" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +uid 100,0 +) +*3 (RefLabelRowHdr +) +*4 (TitleRowHdr +) +*5 (FilterRowHdr +) +*6 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*7 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*8 (GroupColHdr +tm "GroupColHdrMgr" +) +*9 (NameColHdr +tm "NameColHdrMgr" +) +*10 (ModeColHdr +tm "ModeColHdrMgr" +) +*11 (TypeColHdr +tm "TypeColHdrMgr" +) +*12 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*13 (InitColHdr +tm "InitColHdrMgr" +) +*14 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 102,0 +optionalChildren [ +*15 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *16 (MRCItem +litem &1 +pos 1 +dimension 20 +) +uid 43,0 +optionalChildren [ +*17 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 46,0 +) +*18 (MRCItem +litem &4 +pos 1 +dimension 23 +uid 48,0 +) +*19 (MRCItem +litem &5 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*21 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 52,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 50 +uid 56,0 +) +*23 (MRCItem +litem &9 +pos 2 +dimension 100 +uid 58,0 +) +*24 (MRCItem +litem &10 +pos 3 +dimension 50 +uid 60,0 +) +*25 (MRCItem +litem &11 +pos 4 +dimension 100 +uid 62,0 +) +*26 (MRCItem +litem &12 +pos 5 +dimension 100 +uid 64,0 +) +*27 (MRCItem +litem &13 +pos 6 +dimension 50 +uid 66,0 +) +*28 (MRCItem +litem &14 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 98,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *29 (LEmptyRow +) +uid 104,0 +optionalChildren [ +*30 (RefLabelRowHdr +) +*31 (TitleRowHdr +) +*32 (FilterRowHdr +) +*33 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*34 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*35 (GroupColHdr +tm "GroupColHdrMgr" +) +*36 (NameColHdr +tm "GenericNameColHdrMgr" +) +*37 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*38 (InitColHdr +tm "GenericValueColHdrMgr" +) +*39 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*40 (EolColHdr +tm "GenericEolColHdrMgr" +) +*41 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 279,0 +) +] +) +pdm (PhysicalDM +uid 105,0 +optionalChildren [ +*42 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *43 (MRCItem +litem &29 +pos 1 +dimension 20 +) +uid 72,0 +optionalChildren [ +*44 (MRCItem +litem &30 +pos 0 +dimension 20 +uid 75,0 +) +*45 (MRCItem +litem &31 +pos 1 +dimension 23 +uid 77,0 +) +*46 (MRCItem +litem &32 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*47 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 280,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*48 (MRCItem +litem &33 +pos 0 +dimension 20 +uid 81,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 50 +uid 85,0 +) +*50 (MRCItem +litem &36 +pos 2 +dimension 100 +uid 87,0 +) +*51 (MRCItem +litem &37 +pos 3 +dimension 100 +uid 89,0 +) +*52 (MRCItem +litem &38 +pos 4 +dimension 50 +uid 91,0 +) +*53 (MRCItem +litem &39 +pos 5 +dimension 50 +uid 93,0 +) +*54 (MRCItem +litem &40 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 103,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\ones@unsigned\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\ones@unsigned\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\ones@unsigned" +) +(vvPair +variable "d_logical" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\onesUnsigned" +) +(vvPair +variable "date" +value "12/ 9/2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "09" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "onesUnsigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "zas" +) +(vvPair +variable "graphical_source_date" +value "12/ 9/2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "ZELL" +) +(vvPair +variable "graphical_source_time" +value "15:02:45" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "ZELL" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "onesUnsigned" +) +(vvPair +variable "month" +value "Dec" +) +(vvPair +variable "month_long" +value "December" +) +(vvPair +variable "p" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\ones@unsigned\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\onesUnsigned\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "15:02:45" +) +(vvPair +variable "unit" +value "onesUnsigned" +) +(vvPair +variable "user" +value "zas" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*55 (SymbolBody +uid 8,0 +optionalChildren [ +*56 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36625,21000,37375,21750" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +) +xt "38000,18800,51600,20000" +st "ones : (dataBitNb-1:0)" +blo "38000,19800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,24100,10800" +st "ones : OUT unsigned (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ones" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +] +shape (Pu +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,15000,39000,21000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "29910,18700,33010,19700" +st "gates" +blo "29910,19500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "29910,19700,37710,20700" +st "onesUnsigned" +blo "29910,20500" +) +) +gi *57 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "38000,20000,48200,23000" +st "Generic Declarations + +dataBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*58 (Grouping +uid 175,0 +optionalChildren [ +*59 (CommentText +uid 177,0 +shape (Rectangle +uid 178,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 179,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*60 (CommentText +uid 180,0 +shape (Rectangle +uid 181,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 182,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 183,0 +shape (Rectangle +uid 184,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 185,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 186,0 +shape (Rectangle +uid 187,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 188,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 189,0 +shape (Rectangle +uid 190,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 191,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*64 (CommentText +uid 192,0 +shape (Rectangle +uid 193,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 194,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 195,0 +shape (Rectangle +uid 196,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 197,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 198,0 +shape (Rectangle +uid 199,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 200,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 201,0 +shape (Rectangle +uid 202,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 203,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 204,0 +shape (Rectangle +uid 205,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 206,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 176,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *69 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*70 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*71 (MLText +uid 41,0 +va (VaSet +) +xt "0,1000,17500,7000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.NUMERIC_STD.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "176,31,1424,864" +viewArea "-1100,-1100,83716,49660" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *72 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *73 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,10700,2500,11600" +st "User:" +blo "0,11400" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11600,2000,11600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 418,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/or2/symbol.sb b/Libs/Gates/hds/or2/symbol.sb new file mode 100644 index 0000000..99f5f55 --- /dev/null +++ b/Libs/Gates/hds/or2/symbol.sb @@ -0,0 +1,1481 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 145,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 146,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +uid 147,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 148,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 149,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 88,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 91,0 +) +*20 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 93,0 +) +*21 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 95,0 +) +*22 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 114,0 +) +*23 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 115,0 +) +*24 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 116,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 89,0 +optionalChildren [ +*25 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 97,0 +) +*26 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 101,0 +) +*27 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 103,0 +) +*28 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 105,0 +) +*29 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 107,0 +) +*30 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 109,0 +) +*31 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 111,0 +) +*32 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 113,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 87,0 +vaOverrides [ +] +) +] +) +uid 144,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 151,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 142,0 +) +] +) +pdm (PhysicalDM +uid 152,0 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *47 (MRCItem +litem &33 +pos 1 +dimension 20 +) +uid 118,0 +optionalChildren [ +*48 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 121,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 123,0 +) +*50 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 125,0 +) +*51 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 143,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 119,0 +optionalChildren [ +*52 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 127,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 131,0 +) +*54 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 133,0 +) +*55 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 135,0 +) +*56 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 137,0 +) +*57 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 139,0 +) +*58 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 141,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 117,0 +vaOverrides [ +] +) +] +) +uid 150,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "or2" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:09" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "or2" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:09" +) +(vvPair +variable "unit" +value "or2" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 75,0 +optionalChildren [ +*59 (SymbolBody +uid 11,0 +optionalChildren [ +*60 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34585,14625,35335,15375" +) +tg (CPTG +uid 82,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "35557,14250,36957,15250" +st "in1" +blo "35557,15050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 77,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14000,13600,15000" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34584,18625,35334,19375" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "35557,18250,36957,19250" +st "in2" +blo "35557,19050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 78,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14900,13600,15900" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +*62 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42000,16625,42750,17375" +) +tg (CPTG +uid 84,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "39200,16250,41000,17250" +st "out1" +ju 2 +blo "41000,17050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 79,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15800,13700,16800" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +) +] +shape (Or +uid 76,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,14000,42000,20000" +) +showPorts 0 +oxt "16000,14000,27000,22000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "35600,19700,38700,20700" +st "gates" +blo "35600,20500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "35600,20700,37800,21700" +st "or2" +blo "35600,21500" +) +) +gi *63 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "35000,22600,45800,25600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*64 (Grouping +uid 176,0 +optionalChildren [ +*65 (CommentText +uid 178,0 +shape (Rectangle +uid 179,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 180,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 181,0 +shape (Rectangle +uid 182,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 183,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 184,0 +shape (Rectangle +uid 185,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 186,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 187,0 +shape (Rectangle +uid 188,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 189,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 190,0 +shape (Rectangle +uid 191,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 192,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 193,0 +shape (Rectangle +uid 194,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 195,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 196,0 +shape (Rectangle +uid 197,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 198,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 199,0 +shape (Rectangle +uid 200,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 201,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 202,0 +shape (Rectangle +uid 203,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 204,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 205,0 +shape (Rectangle +uid 206,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 207,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 177,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *75 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*76 (Text +uid 85,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*77 (MLText +uid 86,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "31,36,1346,881" +viewArea "-1081,-1081,76059,50369" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *78 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *79 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,12000,5400,13000" +st "Declarations" +blo "0,12800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,13000,2700,14000" +st "Ports:" +blo "0,13800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,16700,2500,17600" +st "User:" +blo "0,17400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,12000,5800,13000" +st "Internal User:" +blo "0,12800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "16000,12000,16000,12000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 299,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/or2_m/symbol.sb b/Libs/Gates/hds/or2_m/symbol.sb new file mode 100644 index 0000000..916dbaf --- /dev/null +++ b/Libs/Gates/hds/or2_m/symbol.sb @@ -0,0 +1,1506 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 149,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 150,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 151,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +uid 152,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 153,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 92,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 95,0 +) +*20 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 97,0 +) +*21 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*22 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 118,0 +) +*23 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 119,0 +) +*24 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 120,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 93,0 +optionalChildren [ +*25 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 101,0 +) +*26 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 105,0 +) +*27 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 107,0 +) +*28 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 109,0 +) +*29 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 111,0 +) +*30 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 113,0 +) +*31 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 115,0 +) +*32 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 117,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 91,0 +vaOverrides [ +] +) +] +) +uid 148,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 155,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 146,0 +) +] +) +pdm (PhysicalDM +uid 156,0 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *47 (MRCItem +litem &33 +pos 1 +dimension 20 +) +uid 122,0 +optionalChildren [ +*48 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 125,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 127,0 +) +*50 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 129,0 +) +*51 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 147,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 123,0 +optionalChildren [ +*52 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 131,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 135,0 +) +*54 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 137,0 +) +*55 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 139,0 +) +*56 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 141,0 +) +*57 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 143,0 +) +*58 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 145,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 121,0 +vaOverrides [ +] +) +] +) +uid 154,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2_m\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2_m\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2_m" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2_m" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "or2_m" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:09" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "or2_m" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2_m\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2_m\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:09" +) +(vvPair +variable "unit" +value "or2_m" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 75,0 +optionalChildren [ +*59 (SymbolBody +uid 11,0 +optionalChildren [ +*60 (CptPort +uid 51,0 +optionalChildren [ +*61 (Circle +uid 77,0 +va (VaSet +fg "0,65535,0" +) +xt "31250,12625,32000,13375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30500,12625,31250,13375" +) +tg (CPTG +uid 86,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "32472,12250,33872,13250" +st "in1" +blo "32472,13050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,8800,13600,9800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*62 (CptPort +uid 57,0 +optionalChildren [ +*63 (Circle +uid 78,0 +va (VaSet +fg "0,65535,0" +) +xt "31250,16625,32000,17375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30500,16625,31250,17375" +) +tg (CPTG +uid 87,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "32473,16250,33873,17250" +st "in2" +blo "32473,17050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 81,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9700,13600,10700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +*64 (CptPort +uid 63,0 +optionalChildren [ +*65 (Circle +uid 79,0 +va (VaSet +fg "0,65535,0" +) +xt "38950,14625,39700,15375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39700,14625,40450,15375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "36950,14500,38750,15500" +st "out1" +ju 2 +blo "38750,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 82,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10600,13700,11600" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +) +] +shape (And +uid 85,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,12000,39000,18000" +) +showPorts 0 +oxt "16000,14000,27000,22000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "32600,17700,35700,18700" +st "gates" +blo "32600,18500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "32600,18700,36200,19700" +st "or2_m" +blo "32600,19500" +) +) +gi *66 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "32000,20600,42800,23600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +) +portVis (PortSigDisplay +sN 0 +) +) +*67 (Grouping +uid 180,0 +optionalChildren [ +*68 (CommentText +uid 182,0 +shape (Rectangle +uid 183,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 184,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 185,0 +shape (Rectangle +uid 186,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 187,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 188,0 +shape (Rectangle +uid 189,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 190,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 191,0 +shape (Rectangle +uid 192,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 193,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 194,0 +shape (Rectangle +uid 195,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 196,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 197,0 +shape (Rectangle +uid 198,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 199,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 200,0 +shape (Rectangle +uid 201,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 202,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 203,0 +shape (Rectangle +uid 204,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 205,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 206,0 +shape (Rectangle +uid 207,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 208,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 209,0 +shape (Rectangle +uid 210,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 211,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 181,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *78 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +uid 89,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*80 (MLText +uid 90,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "2,27,1378,912" +viewArea "-1100,-1100,76419,50557" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *81 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *82 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,6800,5400,7800" +st "Declarations" +blo "0,7600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,2700,8800" +st "Ports:" +blo "0,8600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11500,2500,12400" +st "User:" +blo "0,12200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,6800,5800,7800" +st "Internal User:" +blo "0,7600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12400,2000,12400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "16000,6800,16000,6800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 280,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/or2inv1/symbol.sb b/Libs/Gates/hds/or2inv1/symbol.sb new file mode 100644 index 0000000..603378f --- /dev/null +++ b/Libs/Gates/hds/or2inv1/symbol.sb @@ -0,0 +1,1490 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 146,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 147,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +uid 148,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 149,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 150,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 89,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 92,0 +) +*20 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 94,0 +) +*21 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 96,0 +) +*22 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 115,0 +) +*23 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 116,0 +) +*24 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 117,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 90,0 +optionalChildren [ +*25 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 98,0 +) +*26 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 102,0 +) +*27 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 104,0 +) +*28 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 106,0 +) +*29 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 108,0 +) +*30 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 110,0 +) +*31 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 112,0 +) +*32 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 114,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 88,0 +vaOverrides [ +] +) +] +) +uid 145,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 152,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 143,0 +) +] +) +pdm (PhysicalDM +uid 153,0 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *47 (MRCItem +litem &33 +pos 1 +dimension 20 +) +uid 119,0 +optionalChildren [ +*48 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 122,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 124,0 +) +*50 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 126,0 +) +*51 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 144,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 120,0 +optionalChildren [ +*52 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 128,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 132,0 +) +*54 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 134,0 +) +*55 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 136,0 +) +*56 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 138,0 +) +*57 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 140,0 +) +*58 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 142,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 118,0 +vaOverrides [ +] +) +] +) +uid 151,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2inv1\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2inv1\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2inv1" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2inv1" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "or2inv1" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:09" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "or2inv1" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2inv1\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2inv1\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:09" +) +(vvPair +variable "unit" +value "or2inv1" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 75,0 +optionalChildren [ +*59 (SymbolBody +uid 11,0 +optionalChildren [ +*60 (CptPort +uid 51,0 +optionalChildren [ +*61 (Circle +uid 87,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "31585,13625,32335,14375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30835,13625,31585,14375" +) +tg (CPTG +uid 82,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "32557,13250,33957,14250" +st "in1" +blo "32557,14050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 77,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,8700,13600,9700" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*62 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31584,17625,32334,18375" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "32557,17250,33957,18250" +st "in2" +blo "32557,18050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 78,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9600,13600,10600" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +*63 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39000,15625,39750,16375" +) +tg (CPTG +uid 84,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "36200,15250,38000,16250" +st "out1" +ju 2 +blo "38000,16050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 79,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10500,13700,11500" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +) +] +shape (Or +uid 76,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,13000,39000,19000" +) +showPorts 0 +oxt "16000,14000,27000,22000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "32600,18700,35700,19700" +st "gates" +blo "32600,19500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "32600,19700,36800,20700" +st "or2inv1" +blo "32600,20500" +) +) +gi *64 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "32000,21600,42800,24600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*65 (Grouping +uid 177,0 +optionalChildren [ +*66 (CommentText +uid 179,0 +shape (Rectangle +uid 180,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 181,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 182,0 +shape (Rectangle +uid 183,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 184,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 185,0 +shape (Rectangle +uid 186,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 187,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 188,0 +shape (Rectangle +uid 189,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 190,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 191,0 +shape (Rectangle +uid 192,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 193,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 194,0 +shape (Rectangle +uid 195,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 196,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 197,0 +shape (Rectangle +uid 198,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 199,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 200,0 +shape (Rectangle +uid 201,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 202,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 203,0 +shape (Rectangle +uid 204,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 205,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 206,0 +shape (Rectangle +uid 207,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 208,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 178,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *76 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +uid 85,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*78 (MLText +uid 86,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,29,1394,972" +viewArea "-1100,-1100,74100,51956" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *79 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *80 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,6700,5400,7700" +st "Declarations" +blo "0,7500" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7700,2700,8700" +st "Ports:" +blo "0,8500" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11400,2500,12300" +st "User:" +blo "0,12100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,6700,5800,7700" +st "Internal User:" +blo "0,7500" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12300,2000,12300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "16000,6700,16000,6700" +tm "SyDeclarativeTextMgr" +) +) +lastUid 277,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/or2inv2/symbol.sb b/Libs/Gates/hds/or2inv2/symbol.sb new file mode 100644 index 0000000..1143ac4 --- /dev/null +++ b/Libs/Gates/hds/or2inv2/symbol.sb @@ -0,0 +1,1503 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 147,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 148,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 149,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +uid 150,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 151,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 90,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 93,0 +) +*20 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 95,0 +) +*21 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 97,0 +) +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 116,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 117,0 +) +*24 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 118,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 91,0 +optionalChildren [ +*25 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 99,0 +) +*26 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 103,0 +) +*27 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 105,0 +) +*28 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 107,0 +) +*29 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 109,0 +) +*30 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 111,0 +) +*31 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 113,0 +) +*32 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 89,0 +vaOverrides [ +] +) +] +) +uid 146,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 153,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 144,0 +) +] +) +pdm (PhysicalDM +uid 154,0 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *47 (MRCItem +litem &33 +pos 1 +dimension 20 +) +uid 120,0 +optionalChildren [ +*48 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 123,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 125,0 +) +*50 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*51 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 145,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 121,0 +optionalChildren [ +*52 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 129,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 133,0 +) +*54 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 135,0 +) +*55 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 137,0 +) +*56 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 139,0 +) +*57 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 141,0 +) +*58 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 143,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 119,0 +vaOverrides [ +] +) +] +) +uid 152,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2inv2\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2inv2\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2inv2" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2inv2" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "or2inv2" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:08" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "or2inv2" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2inv2\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2inv2\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:08" +) +(vvPair +variable "unit" +value "or2inv2" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 75,0 +optionalChildren [ +*59 (SymbolBody +uid 11,0 +optionalChildren [ +*60 (CptPort +uid 51,0 +optionalChildren [ +*61 (Circle +uid 87,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "33585,19625,34335,20375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32835,19625,33585,20375" +) +tg (CPTG +uid 82,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "34557,19250,35957,20250" +st "in1" +blo "34557,20050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 77,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,8700,13600,9700" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*62 (CptPort +uid 57,0 +optionalChildren [ +*63 (Circle +uid 88,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "33584,23625,34334,24375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32834,23625,33584,24375" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "34557,23250,35957,24250" +st "in2" +blo "34557,24050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 78,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9600,13600,10600" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +*64 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,21625,41750,22375" +) +tg (CPTG +uid 84,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "38200,21250,40000,22250" +st "out1" +ju 2 +blo "40000,22050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 79,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10500,13700,11500" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +) +] +shape (Or +uid 76,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,19000,41000,25000" +) +showPorts 0 +oxt "16000,14000,27000,22000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "34600,24700,37700,25700" +st "gates" +blo "34600,25500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "34600,25700,38800,26700" +st "or2inv2" +blo "34600,26500" +) +) +gi *65 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "34000,27600,44800,30600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*66 (Grouping +uid 178,0 +optionalChildren [ +*67 (CommentText +uid 180,0 +shape (Rectangle +uid 181,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 182,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 183,0 +shape (Rectangle +uid 184,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 185,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 186,0 +shape (Rectangle +uid 187,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 188,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 189,0 +shape (Rectangle +uid 190,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 191,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 192,0 +shape (Rectangle +uid 193,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 194,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 195,0 +shape (Rectangle +uid 196,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 197,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 198,0 +shape (Rectangle +uid 199,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 200,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 201,0 +shape (Rectangle +uid 202,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 203,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 204,0 +shape (Rectangle +uid 205,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 206,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 207,0 +shape (Rectangle +uid 208,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 209,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 179,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *77 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +uid 85,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*79 (MLText +uid 86,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "1,41,1397,996" +viewArea "-1100,-1100,74228,52724" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *80 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *81 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,6700,5400,7700" +st "Declarations" +blo "0,7500" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7700,2700,8700" +st "Ports:" +blo "0,8500" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11400,2500,12300" +st "User:" +blo "0,12100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,6700,5800,7700" +st "Internal User:" +blo "0,7500" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12300,2000,12300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "16000,6700,16000,6700" +tm "SyDeclarativeTextMgr" +) +) +lastUid 278,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/or3/symbol.sb b/Libs/Gates/hds/or3/symbol.sb new file mode 100644 index 0000000..8f75f5a --- /dev/null +++ b/Libs/Gates/hds/or3/symbol.sb @@ -0,0 +1,1541 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 153,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 154,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 155,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 156,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 157,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 158,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 95,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 98,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 100,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 102,0 +) +*23 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 121,0 +) +*24 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 122,0 +) +*25 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 123,0 +) +*26 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 124,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 96,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 104,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 108,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 110,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 112,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 114,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 116,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 118,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 120,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 94,0 +vaOverrides [ +] +) +] +) +uid 152,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 160,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 150,0 +) +] +) +pdm (PhysicalDM +uid 161,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 126,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 129,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 131,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 133,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 151,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 127,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 135,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 139,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 141,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 143,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 145,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 147,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 149,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 125,0 +vaOverrides [ +] +) +] +) +uid 159,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or3\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or3\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or3" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or3" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "or3" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:08" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "or3" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or3\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or3\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:08" +) +(vvPair +variable "unit" +value "or3" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*61 (SymbolBody +uid 11,0 +optionalChildren [ +*62 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30585,13625,31335,14375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "31335,13400,32735,14400" +st "in1" +blo "31335,14200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 81,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10800,13600,11800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*63 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30584,17625,31334,18375" +) +tg (CPTG +uid 89,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "31334,17400,32734,18400" +st "in3" +blo "31334,18200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 82,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,13600,13600" +st "in3 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +) +*64 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31027,15625,31777,16375" +) +tg (CPTG +uid 90,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "31777,15400,33177,16400" +st "in2" +blo "31777,16200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 83,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11700,13600,12700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*65 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38000,15625,38750,16375" +) +tg (CPTG +uid 91,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "36200,15400,38000,16400" +st "out1" +ju 2 +blo "38000,16200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 84,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13500,13700,14500" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +] +shape (Or +uid 87,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "31000,13000,38000,19000" +) +showPorts 0 +oxt "9000,12000,18000,20000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "31600,18700,34700,19700" +st "gates" +blo "31600,19500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "31600,19700,33800,20700" +st "or3" +blo "31600,20500" +) +) +gi *66 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "31000,21600,41800,24600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +) +portVis (PortSigDisplay +sN 0 +) +) +*67 (Grouping +uid 185,0 +optionalChildren [ +*68 (CommentText +uid 187,0 +shape (Rectangle +uid 188,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 189,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 190,0 +shape (Rectangle +uid 191,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 192,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 193,0 +shape (Rectangle +uid 194,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 195,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 196,0 +shape (Rectangle +uid 197,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 198,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 199,0 +shape (Rectangle +uid 200,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 201,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 202,0 +shape (Rectangle +uid 203,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 204,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 205,0 +shape (Rectangle +uid 206,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 207,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 208,0 +shape (Rectangle +uid 209,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 210,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 211,0 +shape (Rectangle +uid 212,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 213,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 214,0 +shape (Rectangle +uid 215,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 216,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 186,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *78 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +uid 92,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*80 (MLText +uid 93,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "61,35,1387,895" +viewArea "-1061,-1061,75406,50470" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *81 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *82 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,5400,9800" +st "Declarations" +blo "0,9600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9800,2700,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,14400,2500,15300" +st "User:" +blo "0,15100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,8800,5800,9800" +st "Internal User:" +blo "0,9600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15300,2000,15300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "18000,8800,18000,8800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 331,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/or3_m/symbol.sb b/Libs/Gates/hds/or3_m/symbol.sb new file mode 100644 index 0000000..ab25d56 --- /dev/null +++ b/Libs/Gates/hds/or3_m/symbol.sb @@ -0,0 +1,1593 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 158,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 159,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 160,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 161,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 162,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 163,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 100,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 103,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 105,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 107,0 +) +*23 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 126,0 +) +*24 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 127,0 +) +*25 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 128,0 +) +*26 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 129,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 109,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 113,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 115,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 117,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 119,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 121,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 123,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 125,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 99,0 +vaOverrides [ +] +) +] +) +uid 157,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 165,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 155,0 +) +] +) +pdm (PhysicalDM +uid 166,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 131,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 134,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 136,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 138,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 156,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 132,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 140,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 144,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 146,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 148,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 150,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 152,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 154,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 164,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or3_m\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or3_m\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or3_m" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or3_m" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "or3_m" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:08" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "or3_m" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or3_m\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or3_m\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Kart\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:08" +) +(vvPair +variable "unit" +value "or3_m" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*61 (SymbolBody +uid 11,0 +optionalChildren [ +*62 (CptPort +uid 51,0 +optionalChildren [ +*63 (Circle +uid 82,0 +va (VaSet +fg "0,65535,0" +) +xt "34250,16625,35000,17375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33500,16625,34250,17375" +) +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "35250,16400,36650,17400" +st "in1" +blo "35250,17200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 86,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,13600,10800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*64 (CptPort +uid 57,0 +optionalChildren [ +*65 (Circle +uid 83,0 +va (VaSet +fg "0,65535,0" +) +xt "34250,20625,35000,21375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33500,20625,34250,21375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "35250,20400,36650,21400" +st "in3" +blo "35250,21200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11600,13600,12600" +st "in3 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +) +*66 (CptPort +uid 63,0 +optionalChildren [ +*67 (Circle +uid 84,0 +va (VaSet +fg "0,65535,0" +) +xt "34250,18625,35000,19375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33500,18625,34250,19375" +) +tg (CPTG +uid 95,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "35250,18400,36650,19400" +st "in2" +blo "35250,19200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 88,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10700,13600,11700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*68 (CptPort +uid 69,0 +optionalChildren [ +*69 (Circle +uid 85,0 +va (VaSet +fg "0,65535,0" +) +xt "41950,18625,42700,19375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42700,18625,43450,19375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "39950,18500,41750,19500" +st "out1" +ju 2 +blo "41750,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 89,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12500,13700,13500" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +] +shape (And +uid 92,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,16000,42000,22000" +) +showPorts 0 +oxt "9000,12000,18000,20000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "35600,21700,38700,22700" +st "gates" +blo "35600,22500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "35600,22700,39200,23700" +st "or3_m" +blo "35600,23500" +) +) +gi *70 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "35000,24600,45800,27600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +) +portVis (PortSigDisplay +sN 0 +) +) +*71 (Grouping +uid 190,0 +optionalChildren [ +*72 (CommentText +uid 192,0 +shape (Rectangle +uid 193,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 194,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 195,0 +shape (Rectangle +uid 196,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 197,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 198,0 +shape (Rectangle +uid 199,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 200,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 201,0 +shape (Rectangle +uid 202,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 203,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 204,0 +shape (Rectangle +uid 205,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 206,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 207,0 +shape (Rectangle +uid 208,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 209,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 210,0 +shape (Rectangle +uid 211,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 212,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 213,0 +shape (Rectangle +uid 214,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 215,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 216,0 +shape (Rectangle +uid 217,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 218,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 219,0 +shape (Rectangle +uid 220,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 221,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 191,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *82 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +uid 97,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*84 (MLText +uid 98,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,29,1281,935" +viewArea "-1100,-1100,75364,53908" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *85 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *86 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,13400,2500,14300" +st "User:" +blo "0,14100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,7800,5800,8800" +st "Internal User:" +blo "0,8600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14300,2000,14300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "18000,7800,18000,7800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 313,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/or4/symbol.sb b/Libs/Gates/hds/or4/symbol.sb new file mode 100644 index 0000000..fa60db8 --- /dev/null +++ b/Libs/Gates/hds/or4/symbol.sb @@ -0,0 +1,1602 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 172,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +uid 173,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 174,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 175,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 5 +suid 4,0 +) +) +uid 176,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 177,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 178,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 113,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 116,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 118,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 120,0 +) +*24 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 139,0 +) +*25 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 140,0 +) +*26 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 141,0 +) +*27 (MRCItem +litem &5 +pos 4 +dimension 20 +uid 142,0 +) +*28 (MRCItem +litem &6 +pos 1 +dimension 20 +uid 143,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 114,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 122,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 126,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 128,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 130,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 132,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 134,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 136,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 138,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 112,0 +vaOverrides [ +] +) +] +) +uid 171,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 180,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 169,0 +) +] +) +pdm (PhysicalDM +uid 181,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 1 +dimension 20 +) +uid 145,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 148,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 150,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 152,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 170,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 146,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 154,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 158,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 160,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 162,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 164,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 166,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 168,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 144,0 +vaOverrides [ +] +) +] +) +uid 179,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or4\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or4\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or4" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or4" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "or4" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:07" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "or4" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or4\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or4\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:07" +) +(vvPair +variable "unit" +value "or4" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*63 (SymbolBody +uid 11,0 +optionalChildren [ +*64 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34585,16625,35335,17375" +) +tg (CPTG +uid 105,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "35335,16400,36735,17400" +st "in1" +blo "35335,17200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 98,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10800,13600,11800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34881,19625,35631,20375" +) +tg (CPTG +uid 106,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "35631,19400,37031,20400" +st "in3" +blo "35631,20200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 99,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,13600,13600" +st "in3 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +) +*66 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34882,17625,35632,18375" +) +tg (CPTG +uid 107,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "35632,17250,37032,18250" +st "in2" +blo "35632,18050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 100,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11700,13600,12700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*67 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42000,18625,42750,19375" +) +tg (CPTG +uid 108,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "40200,18500,42000,19500" +st "out1" +ju 2 +blo "42000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 101,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14400,13700,15400" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 5 +suid 4,0 +) +) +) +*68 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34584,20625,35334,21375" +) +tg (CPTG +uid 109,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +isHidden 1 +) +xt "35334,20400,36734,21400" +st "in4" +blo "35334,21200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 102,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13500,13600,14500" +st "in4 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +) +] +shape (Or +uid 92,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,16000,42000,22000" +) +showPorts 0 +oxt "9000,12000,18000,20000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "35600,21700,38700,22700" +st "gates" +blo "35600,22500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "35600,22700,37800,23700" +st "or4" +blo "35600,23500" +) +) +gi *69 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "35000,24600,45800,27600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +) +portVis (PortSigDisplay +sN 0 +) +) +*70 (Grouping +uid 205,0 +optionalChildren [ +*71 (CommentText +uid 207,0 +shape (Rectangle +uid 208,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 209,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 210,0 +shape (Rectangle +uid 211,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 212,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 213,0 +shape (Rectangle +uid 214,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 215,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 216,0 +shape (Rectangle +uid 217,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 218,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 219,0 +shape (Rectangle +uid 220,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 221,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 222,0 +shape (Rectangle +uid 223,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 224,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 225,0 +shape (Rectangle +uid 226,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 227,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 228,0 +shape (Rectangle +uid 229,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 230,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 231,0 +shape (Rectangle +uid 232,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 233,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 234,0 +shape (Rectangle +uid 235,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 236,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 206,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *81 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 110,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*83 (MLText +uid 111,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "53,41,1375,899" +viewArea "-1064,-1064,75330,50465" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *84 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *85 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,5400,9800" +st "Declarations" +blo "0,9600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9800,2700,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,15300,2500,16200" +st "User:" +blo "0,16000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,8800,5800,9800" +st "Internal User:" +blo "0,9600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16200,2000,16200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "24000,8800,24000,8800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 351,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/or4_m/symbol.sb b/Libs/Gates/hds/or4_m/symbol.sb new file mode 100644 index 0000000..2b16a4b --- /dev/null +++ b/Libs/Gates/hds/or4_m/symbol.sb @@ -0,0 +1,1647 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 178,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 179,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 180,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 181,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +uid 182,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 5 +suid 4,0 +) +) +uid 183,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 184,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 119,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 122,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 124,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 126,0 +) +*24 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 145,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 146,0 +) +*26 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 147,0 +) +*27 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 148,0 +) +*28 (MRCItem +litem &6 +pos 4 +dimension 20 +uid 149,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 120,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 128,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 132,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 134,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 136,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 138,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 140,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 142,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 144,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 118,0 +vaOverrides [ +] +) +] +) +uid 177,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 186,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 175,0 +) +] +) +pdm (PhysicalDM +uid 187,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 1 +dimension 20 +) +uid 151,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 154,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 156,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 158,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 176,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 152,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 160,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 164,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 166,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 168,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 170,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 172,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 174,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 150,0 +vaOverrides [ +] +) +] +) +uid 185,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or4_m\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or4_m\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or4_m" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or4_m" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "or4_m" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:07" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "or4_m" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or4_m\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or4_m\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:07" +) +(vvPair +variable "unit" +value "or4_m" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*63 (SymbolBody +uid 11,0 +optionalChildren [ +*64 (CptPort +uid 51,0 +optionalChildren [ +*65 (Circle +uid 98,0 +va (VaSet +fg "0,65535,0" +) +xt "32250,17625,33000,18375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,17625,32250,18375" +) +tg (CPTG +uid 111,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "33250,17350,34650,18350" +st "in1" +blo "33250,18150" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 103,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10800,13600,11800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*66 (CptPort +uid 57,0 +optionalChildren [ +*67 (Circle +uid 100,0 +va (VaSet +fg "0,65535,0" +) +xt "32250,20625,33000,21375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,20625,32250,21375" +) +tg (CPTG +uid 112,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "33250,20400,34650,21400" +st "in3" +blo "33250,21200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 104,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,13600,13600" +st "in3 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +) +*68 (CptPort +uid 63,0 +optionalChildren [ +*69 (Circle +uid 101,0 +va (VaSet +fg "0,65535,0" +) +xt "32250,18625,33000,19375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,18625,32250,19375" +) +tg (CPTG +uid 113,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "33250,18250,34650,19250" +st "in2" +blo "33250,19050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 105,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11700,13600,12700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*70 (CptPort +uid 69,0 +optionalChildren [ +*71 (Circle +uid 102,0 +va (VaSet +fg "0,65535,0" +) +xt "39950,19625,40700,20375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40700,19625,41450,20375" +) +tg (CPTG +uid 114,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "37900,19400,39700,20400" +st "out1" +ju 2 +blo "39700,20200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 106,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14400,13700,15400" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 5 +suid 4,0 +) +) +) +*72 (CptPort +uid 81,0 +optionalChildren [ +*73 (Circle +uid 99,0 +va (VaSet +fg "0,65535,0" +) +xt "32250,21625,33000,22375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,21625,32250,22375" +) +tg (CPTG +uid 115,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +isHidden 1 +) +xt "33250,21400,34650,22400" +st "in4" +blo "33250,22200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 107,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13500,13600,14500" +st "in4 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +) +] +shape (And +uid 110,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,17000,40000,23000" +) +showPorts 0 +oxt "9000,12000,18000,20000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,22700,36700,23700" +st "gates" +blo "33600,23500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,23700,37200,24700" +st "or4_m" +blo "33600,24500" +) +) +gi *74 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "33000,25600,43800,28600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +) +portVis (PortSigDisplay +sN 0 +) +) +*75 (Grouping +uid 211,0 +optionalChildren [ +*76 (CommentText +uid 213,0 +shape (Rectangle +uid 214,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 215,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 216,0 +shape (Rectangle +uid 217,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 218,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 219,0 +shape (Rectangle +uid 220,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 221,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 222,0 +shape (Rectangle +uid 223,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 224,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 225,0 +shape (Rectangle +uid 226,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 227,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 228,0 +shape (Rectangle +uid 229,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 230,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 231,0 +shape (Rectangle +uid 232,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 233,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 234,0 +shape (Rectangle +uid 235,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 236,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 237,0 +shape (Rectangle +uid 238,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 239,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 240,0 +shape (Rectangle +uid 241,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 242,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 212,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *86 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +uid 116,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*88 (MLText +uid 117,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "-4,31,1377,980" +viewArea "-1074,-1074,74601,51482" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *89 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *90 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,5400,9800" +st "Declarations" +blo "0,9600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9800,2700,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,15300,2500,16200" +st "User:" +blo "0,16000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,8800,5800,9800" +st "Internal User:" +blo "0,9600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16200,2000,16200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "24000,8800,24000,8800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 288,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/or5/symbol.sb b/Libs/Gates/hds/or5/symbol.sb new file mode 100644 index 0000000..d6089e6 --- /dev/null +++ b/Libs/Gates/hds/or5/symbol.sb @@ -0,0 +1,1663 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2006,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 188,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 189,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +uid 190,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in5" +t "std_uLogic" +o 5 +suid 6,0 +) +) +uid 191,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 192,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 6 +suid 4,0 +) +) +uid 193,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 194,0 +) +*8 (RefLabelRowHdr +) +*9 (TitleRowHdr +) +*10 (FilterRowHdr +) +*11 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*12 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*13 (GroupColHdr +tm "GroupColHdrMgr" +) +*14 (NameColHdr +tm "NameColHdrMgr" +) +*15 (ModeColHdr +tm "ModeColHdrMgr" +) +*16 (TypeColHdr +tm "TypeColHdrMgr" +) +*17 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*18 (InitColHdr +tm "InitColHdrMgr" +) +*19 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 195,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 128,0 +optionalChildren [ +*22 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 131,0 +) +*23 (MRCItem +litem &9 +pos 1 +dimension 23 +uid 133,0 +) +*24 (MRCItem +litem &10 +pos 2 +hidden 1 +dimension 20 +uid 135,0 +) +*25 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 154,0 +) +*26 (MRCItem +litem &3 +pos 3 +dimension 20 +uid 155,0 +) +*27 (MRCItem +litem &4 +pos 4 +dimension 20 +uid 156,0 +) +*28 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 157,0 +) +*29 (MRCItem +litem &6 +pos 5 +dimension 20 +uid 158,0 +) +*30 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 159,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 129,0 +optionalChildren [ +*31 (MRCItem +litem &11 +pos 0 +dimension 20 +uid 137,0 +) +*32 (MRCItem +litem &13 +pos 1 +dimension 50 +uid 141,0 +) +*33 (MRCItem +litem &14 +pos 2 +dimension 100 +uid 143,0 +) +*34 (MRCItem +litem &15 +pos 3 +dimension 50 +uid 145,0 +) +*35 (MRCItem +litem &16 +pos 4 +dimension 100 +uid 147,0 +) +*36 (MRCItem +litem &17 +pos 5 +dimension 100 +uid 149,0 +) +*37 (MRCItem +litem &18 +pos 6 +dimension 50 +uid 151,0 +) +*38 (MRCItem +litem &19 +pos 7 +dimension 80 +uid 153,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 127,0 +vaOverrides [ +] +) +] +) +uid 187,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 197,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 185,0 +) +] +) +pdm (PhysicalDM +uid 198,0 +optionalChildren [ +*52 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *53 (MRCItem +litem &39 +pos 1 +dimension 20 +) +uid 161,0 +optionalChildren [ +*54 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 164,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 166,0 +) +*56 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 168,0 +) +*57 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 186,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 162,0 +optionalChildren [ +*58 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 170,0 +) +*59 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 174,0 +) +*60 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 176,0 +) +*61 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 178,0 +) +*62 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 180,0 +) +*63 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 182,0 +) +*64 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 184,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 160,0 +vaOverrides [ +] +) +] +) +uid 196,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or5\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or5\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or5" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or5" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "or5" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:07" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "or5" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or5\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or5\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:07" +) +(vvPair +variable "unit" +value "or5" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*65 (SymbolBody +uid 11,0 +optionalChildren [ +*66 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32585,13625,33335,14375" +) +tg (CPTG +uid 119,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "33335,13400,34735,14400" +st "in1" +blo "33335,14200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 111,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10800,13600,11800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*67 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33027,15625,33777,16375" +) +tg (CPTG +uid 120,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "33777,15400,35177,16400" +st "in3" +blo "33777,16200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 112,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,13600,13600" +st "in3 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +) +*68 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32882,14625,33632,15375" +) +tg (CPTG +uid 121,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "33632,14250,35032,15250" +st "in2" +blo "33632,15050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 113,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11700,13600,12700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*69 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,15625,40750,16375" +) +tg (CPTG +uid 122,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "38200,15400,40000,16400" +st "out1" +ju 2 +blo "40000,16200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 114,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15300,13700,16300" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 6 +suid 4,0 +) +) +) +*70 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32881,16625,33631,17375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +isHidden 1 +) +xt "33631,16500,35031,17500" +st "in4" +blo "33631,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 115,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13500,13600,14500" +st "in4 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +) +*71 (CptPort +uid 92,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 93,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32584,17625,33334,18375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 95,0 +va (VaSet +isHidden 1 +) +xt "33334,17400,34734,18400" +st "in5" +blo "33334,18200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 116,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14400,13600,15400" +st "in5 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in5" +t "std_uLogic" +o 5 +suid 6,0 +) +) +) +] +shape (Or +uid 110,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,13000,40000,19000" +) +showPorts 0 +oxt "9000,12000,18000,22000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,18700,36700,19700" +st "gates" +blo "33600,19500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,19700,35800,20700" +st "or5" +blo "33600,20500" +) +) +gi *72 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "33000,21600,43800,24600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +) +portVis (PortSigDisplay +sN 0 +) +) +*73 (Grouping +uid 222,0 +optionalChildren [ +*74 (CommentText +uid 224,0 +shape (Rectangle +uid 225,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 226,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 227,0 +shape (Rectangle +uid 228,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 229,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 230,0 +shape (Rectangle +uid 231,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 232,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 233,0 +shape (Rectangle +uid 234,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 235,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 236,0 +shape (Rectangle +uid 237,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 238,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 239,0 +shape (Rectangle +uid 240,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 241,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 242,0 +shape (Rectangle +uid 243,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 244,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 245,0 +shape (Rectangle +uid 246,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 247,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 248,0 +shape (Rectangle +uid 249,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 250,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 251,0 +shape (Rectangle +uid 252,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 253,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 223,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *84 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +uid 125,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*86 (MLText +uid 126,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "55,40,1358,887" +viewArea "-1084,-1084,75418,50646" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *87 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *88 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,5400,9800" +st "Declarations" +blo "0,9600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9800,2700,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,16200,2500,17100" +st "User:" +blo "0,16900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,8800,5800,9800" +st "Internal User:" +blo "0,9600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,17100,2000,17100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "32000,8800,32000,8800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 368,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/or5_m/symbol.sb b/Libs/Gates/hds/or5_m/symbol.sb new file mode 100644 index 0000000..6faf891 --- /dev/null +++ b/Libs/Gates/hds/or5_m/symbol.sb @@ -0,0 +1,1719 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2006,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 193,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 194,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 195,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 196,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +uid 197,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 6 +suid 4,0 +) +) +uid 198,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "in5" +t "std_uLogic" +o 5 +suid 6,0 +) +) +uid 199,0 +) +*8 (RefLabelRowHdr +) +*9 (TitleRowHdr +) +*10 (FilterRowHdr +) +*11 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*12 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*13 (GroupColHdr +tm "GroupColHdrMgr" +) +*14 (NameColHdr +tm "NameColHdrMgr" +) +*15 (ModeColHdr +tm "ModeColHdrMgr" +) +*16 (TypeColHdr +tm "TypeColHdrMgr" +) +*17 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*18 (InitColHdr +tm "InitColHdrMgr" +) +*19 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 200,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 133,0 +optionalChildren [ +*22 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 136,0 +) +*23 (MRCItem +litem &9 +pos 1 +dimension 23 +uid 138,0 +) +*24 (MRCItem +litem &10 +pos 2 +hidden 1 +dimension 20 +uid 140,0 +) +*25 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 159,0 +) +*26 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 160,0 +) +*27 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 161,0 +) +*28 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 162,0 +) +*29 (MRCItem +litem &6 +pos 5 +dimension 20 +uid 163,0 +) +*30 (MRCItem +litem &7 +pos 4 +dimension 20 +uid 164,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 134,0 +optionalChildren [ +*31 (MRCItem +litem &11 +pos 0 +dimension 20 +uid 142,0 +) +*32 (MRCItem +litem &13 +pos 1 +dimension 50 +uid 146,0 +) +*33 (MRCItem +litem &14 +pos 2 +dimension 100 +uid 148,0 +) +*34 (MRCItem +litem &15 +pos 3 +dimension 50 +uid 150,0 +) +*35 (MRCItem +litem &16 +pos 4 +dimension 100 +uid 152,0 +) +*36 (MRCItem +litem &17 +pos 5 +dimension 100 +uid 154,0 +) +*37 (MRCItem +litem &18 +pos 6 +dimension 50 +uid 156,0 +) +*38 (MRCItem +litem &19 +pos 7 +dimension 80 +uid 158,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 132,0 +vaOverrides [ +] +) +] +) +uid 192,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 202,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 190,0 +) +] +) +pdm (PhysicalDM +uid 203,0 +optionalChildren [ +*52 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *53 (MRCItem +litem &39 +pos 1 +dimension 20 +) +uid 166,0 +optionalChildren [ +*54 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 169,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 171,0 +) +*56 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 173,0 +) +*57 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 191,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 167,0 +optionalChildren [ +*58 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 175,0 +) +*59 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 179,0 +) +*60 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 181,0 +) +*61 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 183,0 +) +*62 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 185,0 +) +*63 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 187,0 +) +*64 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 189,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 165,0 +vaOverrides [ +] +) +] +) +uid 201,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or5_m\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or5_m\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or5_m" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or5_m" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "or5_m" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:06" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "or5_m" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or5_m\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or5_m\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:06" +) +(vvPair +variable "unit" +value "or5_m" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*65 (SymbolBody +uid 11,0 +optionalChildren [ +*66 (CptPort +uid 51,0 +optionalChildren [ +*67 (Circle +uid 110,0 +va (VaSet +fg "0,65535,0" +) +xt "32250,16625,33000,17375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,16625,32250,17375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "33250,16400,34650,17400" +st "in1" +blo "33250,17200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 116,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10800,13600,11800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*68 (CptPort +uid 57,0 +optionalChildren [ +*69 (Circle +uid 111,0 +va (VaSet +fg "0,65535,0" +) +xt "32250,18625,33000,19375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,18625,32250,19375" +) +tg (CPTG +uid 125,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "33250,18400,34650,19400" +st "in3" +blo "33250,19200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 117,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,13600,13600" +st "in3 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +) +*70 (CptPort +uid 63,0 +optionalChildren [ +*71 (Circle +uid 112,0 +va (VaSet +fg "0,65535,0" +) +xt "32250,17625,33000,18375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,17625,32250,18375" +) +tg (CPTG +uid 126,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "33250,17500,34650,18500" +st "in2" +blo "33250,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11700,13600,12700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*72 (CptPort +uid 69,0 +optionalChildren [ +*73 (Circle +uid 115,0 +va (VaSet +fg "0,65535,0" +) +xt "39950,18625,40700,19375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40700,18625,41450,19375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "37900,18400,39700,19400" +st "out1" +ju 2 +blo "39700,19200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 119,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15300,13700,16300" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 6 +suid 4,0 +) +) +) +*74 (CptPort +uid 81,0 +optionalChildren [ +*75 (Circle +uid 113,0 +va (VaSet +fg "0,65535,0" +) +xt "32250,19625,33000,20375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,19625,32250,20375" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +isHidden 1 +) +xt "33250,19400,34650,20400" +st "in4" +blo "33250,20200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 120,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13500,13600,14500" +st "in4 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +) +*76 (CptPort +uid 92,0 +optionalChildren [ +*77 (Circle +uid 114,0 +va (VaSet +fg "0,65535,0" +) +xt "32250,20625,33000,21375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 93,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,20625,32250,21375" +) +tg (CPTG +uid 129,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 95,0 +va (VaSet +isHidden 1 +) +xt "33250,20400,34650,21400" +st "in5" +blo "33250,21200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 121,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14400,13600,15400" +st "in5 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in5" +t "std_uLogic" +o 5 +suid 6,0 +) +) +) +] +shape (And +uid 80,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,16000,40000,22000" +) +showPorts 0 +oxt "9000,12000,18000,22000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,21700,36700,22700" +st "gates" +blo "33600,22500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,22700,37200,23700" +st "or5_m" +blo "33600,23500" +) +) +gi *78 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "33000,24600,43800,27600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +) +portVis (PortSigDisplay +sN 0 +) +) +*79 (Grouping +uid 227,0 +optionalChildren [ +*80 (CommentText +uid 229,0 +shape (Rectangle +uid 230,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 231,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 232,0 +shape (Rectangle +uid 233,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 234,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 235,0 +shape (Rectangle +uid 236,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 237,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 238,0 +shape (Rectangle +uid 239,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 240,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 241,0 +shape (Rectangle +uid 242,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 243,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 244,0 +shape (Rectangle +uid 245,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 246,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 247,0 +shape (Rectangle +uid 248,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 249,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 250,0 +shape (Rectangle +uid 251,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 252,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 253,0 +shape (Rectangle +uid 254,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 255,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 256,0 +shape (Rectangle +uid 257,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 258,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 228,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *90 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*91 (Text +uid 130,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*92 (MLText +uid 131,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "25,44,1387,948" +viewArea "-1100,-1100,74338,51040" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *93 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *94 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,5400,9800" +st "Declarations" +blo "0,9600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9800,2700,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,16200,2500,17100" +st "User:" +blo "0,16900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,8800,5800,9800" +st "Internal User:" +blo "0,9600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,17100,2000,17100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "32000,8800,32000,8800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 327,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/trans@log@ulog/symbol.sb b/Libs/Gates/hds/trans@log@ulog/symbol.sb new file mode 100644 index 0000000..d1f62c6 --- /dev/null +++ b/Libs/Gates/hds/trans@log@ulog/symbol.sb @@ -0,0 +1,1453 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 99,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_logic_vector" +b "(dataNbBits-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 100,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_ulogic_vector" +b "(dataNbBits-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 101,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 102,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 43,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 70,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 98,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 104,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "dataNbBits" +type "positive" +value "8" +) +uid 96,0 +) +*44 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 161,0 +) +] +) +pdm (PhysicalDM +uid 105,0 +optionalChildren [ +*45 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *46 (MRCItem +litem &31 +pos 2 +dimension 20 +) +uid 72,0 +optionalChildren [ +*47 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 75,0 +) +*48 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 77,0 +) +*49 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*50 (MRCItem +litem &43 +pos 1 +dimension 20 +uid 97,0 +) +*51 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 162,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*52 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 81,0 +) +*53 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 85,0 +) +*54 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 87,0 +) +*55 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 89,0 +) +*56 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 91,0 +) +*57 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 93,0 +) +*58 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 103,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@log@ulog\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@log@ulog\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@log@ulog" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\transLogUlog" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "transLogUlog" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:06" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "transLogUlog" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@log@ulog\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\transLogUlog\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:06" +) +(vvPair +variable "unit" +value "transLogUlog" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,17625,33000,18375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +) +xt "33000,17700,34400,18700" +st "in1" +blo "33000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,27200,10800" +st "in1 : IN std_logic_vector (dataNbBits-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_logic_vector" +b "(dataNbBits-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38000,17625,38750,18375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +) +xt "36200,17700,38000,18700" +st "out1" +ju 2 +blo "38000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10700,27800,11700" +st "out1 : OUT std_ulogic_vector (dataNbBits-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_ulogic_vector" +b "(dataNbBits-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,15000,38000,21000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "33910,14700,37010,15700" +st "gates" +blo "33910,15500" +) +second (Text +uid 12,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "33910,15700,41410,16700" +st "transLogUlog" +blo "33910,16500" +) +) +gi *62 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "33000,22600,46500,26600" +st "Generic Declarations + +delay time gateDelay +dataNbBits positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "dataNbBits" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +sTC 0 +) +) +*63 (Grouping +uid 129,0 +optionalChildren [ +*64 (CommentText +uid 131,0 +shape (Rectangle +uid 132,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 133,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 134,0 +shape (Rectangle +uid 135,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,57000,43000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 136,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,42500,53200,42500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 137,0 +shape (Rectangle +uid 138,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 139,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,44500,36200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 140,0 +shape (Rectangle +uid 141,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 142,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,44500,32200,44500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 143,0 +shape (Rectangle +uid 144,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,43000,73000,47000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 145,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,43200,67300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 146,0 +shape (Rectangle +uid 147,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,42000,73000,43000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 148,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,42500,57200,42500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 149,0 +shape (Rectangle +uid 150,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,42000,53000,44000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 151,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,42400,47650,43600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 152,0 +shape (Rectangle +uid 153,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 154,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 155,0 +shape (Rectangle +uid 156,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 157,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 158,0 +shape (Rectangle +uid 159,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 160,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 130,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,42000,73000,47000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *74 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-2000,5400,-1000" +st "Package List" +blo "0,-1200" +) +*76 (MLText +uid 41,0 +va (VaSet +) +xt "0,-1000,17500,5000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,35,1281,931" +viewArea "-1100,-3100,74302,52422" +cachedDiagramExtent "0,-2000,73000,47000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-2000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *77 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *78 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11600,2500,12500" +st "User:" +blo "0,12300" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12500,2000,12500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 231,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/trans@signed@ulog/symbol.sb b/Libs/Gates/hds/trans@signed@ulog/symbol.sb new file mode 100644 index 0000000..27315ce --- /dev/null +++ b/Libs/Gates/hds/trans@signed@ulog/symbol.sb @@ -0,0 +1,1468 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"unisim" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 118,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 120,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 68,0 +optionalChildren [ +*18 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*19 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*20 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*21 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 119,0 +) +*22 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 121,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*23 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*24 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*25 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*26 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*27 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*28 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*29 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*30 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 148,0 +) +*44 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 150,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*45 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *46 (MRCItem +litem &31 +pos 2 +dimension 20 +) +uid 96,0 +optionalChildren [ +*47 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 97,0 +) +*48 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 98,0 +) +*49 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*50 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 149,0 +) +*51 (MRCItem +litem &44 +pos 1 +dimension 20 +uid 151,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*52 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 101,0 +) +*53 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 102,0 +) +*54 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 103,0 +) +*55 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 104,0 +) +*56 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 105,0 +) +*57 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 106,0 +) +*58 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@signed@ulog\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@signed@ulog\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@signed@ulog" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\transSignedUlog" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "transSignedUlog" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:06" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "transSignedUlog" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@signed@ulog\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\transSignedUlog\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:06" +) +(vvPair +variable "unit" +value "transSignedUlog" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 108,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 109,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,22625,15000,23375" +) +tg (CPTG +uid 110,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 111,0 +va (VaSet +isHidden 1 +) +xt "16000,22000,17400,23000" +st "in1" +blo "16000,22800" +tm "CptPortNameMgr" +) +s (Text +uid 146,0 +va (VaSet +) +xt "16000,23000,16000,23000" +blo "16000,23000" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 112,0 +va (VaSet +font "Verdana,8,0" +) +xt "44000,2000,64900,3000" +st "in1 : IN signed (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 113,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 114,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "20000,22625,20750,23375" +) +tg (CPTG +uid 115,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 116,0 +va (VaSet +isHidden 1 +) +xt "17200,22000,19000,23000" +st "out1" +ju 2 +blo "19000,22800" +tm "CptPortNameMgr" +) +s (Text +uid 147,0 +va (VaSet +) +xt "19000,23000,19000,23000" +ju 2 +blo "19000,23000" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 117,0 +va (VaSet +font "Verdana,8,0" +) +xt "44000,2900,69400,3900" +st "out1 : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 145,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,20000,20000,26000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "50860,36700,53960,37700" +st "gates" +blo "50860,37500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "50860,37700,59860,38700" +st "transSignedUlog" +blo "50860,38500" +) +) +gi *62 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "-93000,-40000,-79800,-36000" +st "Generic Declarations + +dataBitNb positive 8 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +) +*63 (Grouping +uid 16,0 +optionalChildren [ +*64 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *74 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 49,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*76 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17500,8200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY unisim; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "362,133,1379,823" +viewArea "-500,-500,70510,47650" +cachedDiagramExtent "-93000,-40000,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-94000,-41000" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *77 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "0,12000,9700,13000" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *78 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,3800,44500,4700" +st "User:" +blo "42000,4500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "44000,4700,44000,4700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 220,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Gates/hds/trans@signed@unsigned/symbol.sb b/Libs/Gates/hds/trans@signed@unsigned/symbol.sb new file mode 100644 index 0000000..5254f83 --- /dev/null +++ b/Libs/Gates/hds/trans@signed@unsigned/symbol.sb @@ -0,0 +1,1473 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 99,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 100,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 101,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 102,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 43,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 70,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 98,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 104,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 96,0 +) +*44 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 161,0 +) +] +) +pdm (PhysicalDM +uid 105,0 +optionalChildren [ +*45 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *46 (MRCItem +litem &31 +pos 2 +dimension 20 +) +uid 72,0 +optionalChildren [ +*47 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 75,0 +) +*48 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 77,0 +) +*49 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*50 (MRCItem +litem &43 +pos 1 +dimension 20 +uid 97,0 +) +*51 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 162,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*52 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 81,0 +) +*53 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 85,0 +) +*54 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 87,0 +) +*55 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 89,0 +) +*56 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 91,0 +) +*57 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 93,0 +) +*58 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 103,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@signed@unsigned\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@signed@unsigned\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@signed@unsigned" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\transSignedUnsigned" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "transSignedUnsigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:05" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "transSignedUnsigned" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@signed@unsigned\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\transSignedUnsigned\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:05" +) +(vvPair +variable "unit" +value "transSignedUnsigned" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,18625,34000,19375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +) +xt "34000,18700,36300,19900" +st "in1" +blo "34000,19700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,22900,10800" +st "in1 : IN signed (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39000,18625,39750,19375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +) +xt "36000,18700,39000,19900" +st "out1" +ju 2 +blo "39000,19700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10700,24000,11700" +st "out1 : OUT unsigned (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,16000,39000,22000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "34910,15700,38010,16700" +st "gates" +blo "34910,16500" +) +second (Text +uid 12,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "34910,16700,46110,17700" +st "transSignedUnsigned" +blo "34910,17500" +) +) +gi *62 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "34000,23600,47200,27600" +st "Generic Declarations + +delay time gateDelay +dataBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +sTC 0 +) +) +*63 (Grouping +uid 129,0 +optionalChildren [ +*64 (CommentText +uid 131,0 +shape (Rectangle +uid 132,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 133,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 134,0 +shape (Rectangle +uid 135,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,57000,43000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 136,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,42500,53200,42500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 137,0 +shape (Rectangle +uid 138,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 139,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,44500,36200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 140,0 +shape (Rectangle +uid 141,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 142,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,44500,32200,44500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 143,0 +shape (Rectangle +uid 144,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,43000,73000,47000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 145,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,43200,67300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 146,0 +shape (Rectangle +uid 147,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,42000,73000,43000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 148,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,42500,57200,42500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 149,0 +shape (Rectangle +uid 150,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,42000,53000,44000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 151,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,42400,47650,43600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 152,0 +shape (Rectangle +uid 153,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 154,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 155,0 +shape (Rectangle +uid 156,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 157,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 158,0 +shape (Rectangle +uid 159,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 160,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 130,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,42000,73000,47000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *74 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-2000,5400,-1000" +st "Package List" +blo "0,-1200" +) +*76 (MLText +uid 41,0 +va (VaSet +) +xt "0,-1000,17500,5000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "227,58,1426,863" +viewArea "-1048,-3069,74574,48092" +cachedDiagramExtent "0,-2000,73000,47000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-2000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *77 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *78 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11600,2500,12500" +st "User:" +blo "0,12300" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12500,2000,12500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 254,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/trans@ulog@signed/symbol.sb b/Libs/Gates/hds/trans@ulog@signed/symbol.sb new file mode 100644 index 0000000..7af5b71 --- /dev/null +++ b/Libs/Gates/hds/trans@ulog@signed/symbol.sb @@ -0,0 +1,1473 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 99,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic_vector" +b "(dataNbBits-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 100,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 101,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 102,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 43,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 70,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 98,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 104,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "dataNbBits" +type "positive" +value "8" +) +uid 96,0 +) +*44 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 161,0 +) +] +) +pdm (PhysicalDM +uid 105,0 +optionalChildren [ +*45 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *46 (MRCItem +litem &31 +pos 2 +dimension 20 +) +uid 72,0 +optionalChildren [ +*47 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 75,0 +) +*48 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 77,0 +) +*49 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*50 (MRCItem +litem &43 +pos 1 +dimension 20 +uid 97,0 +) +*51 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 162,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*52 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 81,0 +) +*53 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 85,0 +) +*54 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 87,0 +) +*55 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 89,0 +) +*56 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 91,0 +) +*57 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 93,0 +) +*58 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 103,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@ulog@signed\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@ulog@signed\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@ulog@signed" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\transUlogSigned" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "transUlogSigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:05" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "transUlogSigned" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@ulog@signed\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\transUlogSigned\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:05" +) +(vvPair +variable "unit" +value "transUlogSigned" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,17625,33000,18375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +) +xt "33000,17700,34400,18700" +st "in1" +blo "33000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,27900,10800" +st "in1 : IN std_uLogic_vector (dataNbBits-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic_vector" +b "(dataNbBits-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38000,17625,38750,18375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +) +xt "36200,17700,38000,18700" +st "out1" +ju 2 +blo "38000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10700,23400,11700" +st "out1 : OUT signed (dataNbBits-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,15000,38000,21000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "33910,14700,37010,15700" +st "gates" +blo "33910,15500" +) +second (Text +uid 12,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "33910,15700,42910,16700" +st "transUlogSigned" +blo "33910,16500" +) +) +gi *62 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "33000,22600,46500,26600" +st "Generic Declarations + +delay time gateDelay +dataNbBits positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "dataNbBits" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +sTC 0 +) +) +*63 (Grouping +uid 129,0 +optionalChildren [ +*64 (CommentText +uid 131,0 +shape (Rectangle +uid 132,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 133,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 134,0 +shape (Rectangle +uid 135,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,57000,43000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 136,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,42500,53200,42500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 137,0 +shape (Rectangle +uid 138,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 139,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,44500,36200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 140,0 +shape (Rectangle +uid 141,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 142,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,44500,32200,44500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 143,0 +shape (Rectangle +uid 144,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,43000,73000,47000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 145,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,43200,67300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 146,0 +shape (Rectangle +uid 147,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,42000,73000,43000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 148,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,42500,57200,42500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 149,0 +shape (Rectangle +uid 150,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,42000,53000,44000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 151,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,42400,47650,43600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 152,0 +shape (Rectangle +uid 153,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 154,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 155,0 +shape (Rectangle +uid 156,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 157,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 158,0 +shape (Rectangle +uid 159,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 160,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 130,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,42000,73000,47000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *74 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-2000,5400,-1000" +st "Package List" +blo "0,-1200" +) +*76 (MLText +uid 41,0 +va (VaSet +) +xt "0,-1000,17500,5000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "121,28,1401,899" +viewArea "-1037,-3043,74607,48931" +cachedDiagramExtent "0,-2000,73000,47000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-2000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *77 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *78 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11600,2500,12500" +st "User:" +blo "0,12300" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12500,2000,12500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 277,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/trans@ulog@unsigned/symbol.sb b/Libs/Gates/hds/trans@ulog@unsigned/symbol.sb new file mode 100644 index 0000000..2fbeffb --- /dev/null +++ b/Libs/Gates/hds/trans@ulog@unsigned/symbol.sb @@ -0,0 +1,1473 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 99,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 100,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 101,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 102,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 43,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*21 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 70,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 98,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 104,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 96,0 +) +*44 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 161,0 +) +] +) +pdm (PhysicalDM +uid 105,0 +optionalChildren [ +*45 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *46 (MRCItem +litem &31 +pos 2 +dimension 20 +) +uid 72,0 +optionalChildren [ +*47 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 75,0 +) +*48 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 77,0 +) +*49 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*50 (MRCItem +litem &43 +pos 1 +dimension 20 +uid 97,0 +) +*51 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 162,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*52 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 81,0 +) +*53 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 85,0 +) +*54 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 87,0 +) +*55 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 89,0 +) +*56 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 91,0 +) +*57 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 93,0 +) +*58 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 103,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@ulog@unsigned\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@ulog@unsigned\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@ulog@unsigned" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\transUlogUnsigned" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "transUlogUnsigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:05" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "transUlogUnsigned" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@ulog@unsigned\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\transUlogUnsigned\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:05" +) +(vvPair +variable "unit" +value "transUlogUnsigned" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,17625,36000,18375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +) +xt "36000,17700,38300,18900" +st "in1" +blo "36000,18700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,27500,10800" +st "in1 : IN std_uLogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,17625,41750,18375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +) +xt "38000,17700,41000,18900" +st "out1" +ju 2 +blo "41000,18700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10700,24000,11700" +st "out1 : OUT unsigned (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "36000,15000,41000,21000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "36910,14700,40010,15700" +st "gates" +blo "36910,15500" +) +second (Text +uid 12,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "36910,15700,47110,16700" +st "transUlogUnsigned" +blo "36910,16500" +) +) +gi *62 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "36000,22600,49200,26600" +st "Generic Declarations + +delay time gateDelay +dataBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +sTC 0 +) +) +*63 (Grouping +uid 129,0 +optionalChildren [ +*64 (CommentText +uid 131,0 +shape (Rectangle +uid 132,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 133,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 134,0 +shape (Rectangle +uid 135,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,57000,43000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 136,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,42500,53200,42500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 137,0 +shape (Rectangle +uid 138,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 139,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,44500,36200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 140,0 +shape (Rectangle +uid 141,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 142,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,44500,32200,44500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 143,0 +shape (Rectangle +uid 144,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,43000,73000,47000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 145,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,43200,67300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 146,0 +shape (Rectangle +uid 147,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,42000,73000,43000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 148,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,42500,57200,42500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 149,0 +shape (Rectangle +uid 150,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,42000,53000,44000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 151,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,42400,47650,43600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 152,0 +shape (Rectangle +uid 153,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 154,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 155,0 +shape (Rectangle +uid 156,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 157,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 158,0 +shape (Rectangle +uid 159,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 160,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 130,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,42000,73000,47000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *74 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-2000,5400,-1000" +st "Package List" +blo "0,-1200" +) +*76 (MLText +uid 41,0 +va (VaSet +) +xt "0,-1000,17500,5000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "208,72,1428,877" +viewArea "-1042,-3051,76541,48335" +cachedDiagramExtent "0,-2000,73000,47000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-2000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *77 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *78 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11600,2500,12500" +st "User:" +blo "0,12300" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12500,2000,12500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 254,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/trans@unsigned@signed/symbol.sb b/Libs/Gates/hds/trans@unsigned@signed/symbol.sb new file mode 100644 index 0000000..2ff641b --- /dev/null +++ b/Libs/Gates/hds/trans@unsigned@signed/symbol.sb @@ -0,0 +1,1472 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 99,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 100,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 101,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 102,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 43,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 70,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 98,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 104,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 96,0 +) +*44 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 161,0 +) +] +) +pdm (PhysicalDM +uid 105,0 +optionalChildren [ +*45 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *46 (MRCItem +litem &31 +pos 2 +dimension 20 +) +uid 72,0 +optionalChildren [ +*47 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 75,0 +) +*48 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 77,0 +) +*49 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*50 (MRCItem +litem &43 +pos 1 +dimension 20 +uid 97,0 +) +*51 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 162,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*52 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 81,0 +) +*53 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 85,0 +) +*54 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 87,0 +) +*55 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 89,0 +) +*56 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 91,0 +) +*57 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 93,0 +) +*58 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 103,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@unsigned@signed\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@unsigned@signed\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@unsigned@signed" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\transUnsignedSigned" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "transUnsignedSigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:04" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "transUnsignedSigned" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@unsigned@signed\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\transUnsignedSigned\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:04" +) +(vvPair +variable "unit" +value "transUnsignedSigned" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28250,17625,29000,18375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +) +xt "29000,17700,41800,18900" +st "in1 : (dataBitNb-1:0)" +blo "29000,18700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,23900,10800" +st "in1 : IN unsigned (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34000,17625,34750,18375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +) +xt "20500,17700,34000,18900" +st "out1 : (dataBitNb-1:0)" +ju 2 +blo "34000,18700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10700,23000,11700" +st "out1 : OUT signed (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "29000,15000,34000,21000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "29910,14700,33010,15700" +st "gates" +blo "29910,15500" +) +second (Text +uid 12,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "29910,15700,41110,16700" +st "transUnsignedSigned" +blo "29910,16500" +) +) +gi *62 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "29000,22600,42200,26600" +st "Generic Declarations + +delay time gateDelay +dataBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*63 (Grouping +uid 129,0 +optionalChildren [ +*64 (CommentText +uid 131,0 +shape (Rectangle +uid 132,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 133,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 134,0 +shape (Rectangle +uid 135,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,57000,43000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 136,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,42500,53200,42500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 137,0 +shape (Rectangle +uid 138,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 139,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,44500,36200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 140,0 +shape (Rectangle +uid 141,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 142,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,44500,32200,44500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 143,0 +shape (Rectangle +uid 144,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,43000,73000,47000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 145,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,43200,67300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 146,0 +shape (Rectangle +uid 147,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,42000,73000,43000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 148,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,42500,57200,42500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 149,0 +shape (Rectangle +uid 150,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,42000,53000,44000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 151,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,42400,47650,43600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 152,0 +shape (Rectangle +uid 153,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 154,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 155,0 +shape (Rectangle +uid 156,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 157,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 158,0 +shape (Rectangle +uid 159,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 160,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 130,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,42000,73000,47000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *74 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-2000,5400,-1000" +st "Package List" +blo "0,-1200" +) +*76 (MLText +uid 41,0 +va (VaSet +) +xt "0,-1000,17500,5000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "145,32,1427,892" +viewArea "-1100,-3000,75436,48696" +cachedDiagramExtent "0,-2000,73000,47000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-2000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *77 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *78 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11600,2500,12500" +st "User:" +blo "0,12300" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12500,2000,12500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 277,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/trans@unsigned@ulog/symbol.sb b/Libs/Gates/hds/trans@unsigned@ulog/symbol.sb new file mode 100644 index 0000000..88c3fe2 --- /dev/null +++ b/Libs/Gates/hds/trans@unsigned@ulog/symbol.sb @@ -0,0 +1,1473 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 99,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 100,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 101,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 102,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 43,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*21 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 70,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 98,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 104,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 96,0 +) +*44 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 161,0 +) +] +) +pdm (PhysicalDM +uid 105,0 +optionalChildren [ +*45 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *46 (MRCItem +litem &31 +pos 2 +dimension 20 +) +uid 72,0 +optionalChildren [ +*47 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 75,0 +) +*48 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 77,0 +) +*49 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*50 (MRCItem +litem &43 +pos 1 +dimension 20 +uid 97,0 +) +*51 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 162,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*52 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 81,0 +) +*53 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 85,0 +) +*54 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 87,0 +) +*55 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 89,0 +) +*56 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 91,0 +) +*57 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 93,0 +) +*58 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 103,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@unsigned@ulog\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@unsigned@ulog\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@unsigned@ulog" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\transUnsignedUlog" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "transUnsignedUlog" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:04" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "transUnsignedUlog" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@unsigned@ulog\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\transUnsignedUlog\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:04" +) +(vvPair +variable "unit" +value "transUnsignedUlog" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,17625,36000,18375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +) +xt "36000,17700,38300,18900" +st "in1" +blo "36000,18700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,23900,10800" +st "in1 : IN unsigned (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,17625,41750,18375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +) +xt "38000,17700,41000,18900" +st "out1" +ju 2 +blo "41000,18700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10700,27400,11700" +st "out1 : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "36000,15000,41000,21000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "36910,14700,40010,15700" +st "gates" +blo "36910,15500" +) +second (Text +uid 12,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "36910,15700,47110,16700" +st "transUnsignedUlog" +blo "36910,16500" +) +) +gi *62 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "36000,22600,49200,26600" +st "Generic Declarations + +delay time gateDelay +dataBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +sTC 0 +) +) +*63 (Grouping +uid 129,0 +optionalChildren [ +*64 (CommentText +uid 131,0 +shape (Rectangle +uid 132,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 133,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 134,0 +shape (Rectangle +uid 135,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,57000,43000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 136,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,42500,53200,42500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 137,0 +shape (Rectangle +uid 138,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 139,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,44500,36200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 140,0 +shape (Rectangle +uid 141,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 142,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,44500,32200,44500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 143,0 +shape (Rectangle +uid 144,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,43000,73000,47000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 145,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,43200,67300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 146,0 +shape (Rectangle +uid 147,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,42000,73000,43000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 148,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,42500,57200,42500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 149,0 +shape (Rectangle +uid 150,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,42000,53000,44000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 151,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,42400,47650,43600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 152,0 +shape (Rectangle +uid 153,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 154,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 155,0 +shape (Rectangle +uid 156,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 157,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 158,0 +shape (Rectangle +uid 159,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 160,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 130,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,42000,73000,47000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *74 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-2000,5400,-1000" +st "Package List" +blo "0,-1200" +) +*76 (MLText +uid 41,0 +va (VaSet +) +xt "0,-1000,17500,5000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "126,37,1412,898" +viewArea "-1100,-3093,75289,48382" +cachedDiagramExtent "0,-2000,73000,47000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-2000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *77 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *78 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11600,2500,12500" +st "User:" +blo "0,12300" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12500,2000,12500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 254,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/xnor2/symbol.sb b/Libs/Gates/hds/xnor2/symbol.sb new file mode 100644 index 0000000..d6883b2 --- /dev/null +++ b/Libs/Gates/hds/xnor2/symbol.sb @@ -0,0 +1,1530 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 148,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_ulogic" +o 1 +suid 3,0 +) +) +uid 149,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 150,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "xorOut" +t "std_ulogic" +o 3 +suid 1,0 +) +) +uid 151,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 152,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 91,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 94,0 +) +*20 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 96,0 +) +*21 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 98,0 +) +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 117,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 118,0 +) +*24 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 119,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 92,0 +optionalChildren [ +*25 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 100,0 +) +*26 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 104,0 +) +*27 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 106,0 +) +*28 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 108,0 +) +*29 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 110,0 +) +*30 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 112,0 +) +*31 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 114,0 +) +*32 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 116,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 90,0 +vaOverrides [ +] +) +] +) +uid 147,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 154,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 145,0 +) +] +) +pdm (PhysicalDM +uid 155,0 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *47 (MRCItem +litem &33 +pos 1 +dimension 20 +) +uid 121,0 +optionalChildren [ +*48 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 124,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 126,0 +) +*50 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 128,0 +) +*51 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 146,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 122,0 +optionalChildren [ +*52 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 130,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 134,0 +) +*54 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 136,0 +) +*55 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 138,0 +) +*56 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 140,0 +) +*57 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 142,0 +) +*58 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 144,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 120,0 +vaOverrides [ +] +) +] +) +uid 153,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xnor2\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xnor2\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xnor2" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xnor2" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "xnor2" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:04" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "xnor2" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xnor2\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xnor2\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_ActelPath" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Kart\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:04" +) +(vvPair +variable "unit" +value "xnor2" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 83,0 +optionalChildren [ +*59 (SymbolBody +uid 11,0 +optionalChildren [ +*60 (CptPort +uid 51,0 +optionalChildren [ +*61 (Circle +uid 303,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "42000,17546,42908,18454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42908,17625,43658,18375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "38400,17600,41000,18600" +st "xorOut" +ju 2 +blo "41000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 78,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11600,14400,12600" +st "xorOut : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "xorOut" +t "std_ulogic" +o 3 +suid 1,0 +) +) +) +*62 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33631,19625,34381,20375" +) +tg (CPTG +uid 86,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "35533,19450,36933,20450" +st "in2" +blo "35533,20250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 79,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10700,14000,11700" +st "in2 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*63 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33632,15625,34382,16375" +) +tg (CPTG +uid 87,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "35533,15450,36933,16450" +st "in1" +blo "35533,16250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,14000,10800" +st "in1 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +] +shape (XOr +uid 84,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,15000,42000,21000" +) +showPorts 0 +oxt "16000,16000,28000,24000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "34600,20700,37700,21700" +st "gates" +blo "34600,21500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "34600,21700,37900,22700" +st "xnor2" +blo "34600,22500" +) +) +gi *64 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "34000,23600,44800,26600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +selT 0 +) +portVis (PortSigDisplay +sN 0 +) +) +*65 (Grouping +uid 179,0 +optionalChildren [ +*66 (CommentText +uid 181,0 +shape (Rectangle +uid 182,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 183,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 184,0 +shape (Rectangle +uid 185,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 186,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 187,0 +shape (Rectangle +uid 188,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 189,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 190,0 +shape (Rectangle +uid 191,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 192,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 193,0 +shape (Rectangle +uid 194,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 195,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 196,0 +shape (Rectangle +uid 197,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 198,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 199,0 +shape (Rectangle +uid 200,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 201,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 202,0 +shape (Rectangle +uid 203,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 204,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 205,0 +shape (Rectangle +uid 206,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 207,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 208,0 +shape (Rectangle +uid 209,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 210,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 180,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *76 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +uid 88,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*78 (MLText +uid 89,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "20,36,1362,927" +viewArea "-1000,-1000,74241,49183" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *79 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *80 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,12500,2500,13400" +st "User:" +blo "0,13200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,7800,5800,8800" +st "Internal User:" +blo "0,8600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13400,2000,13400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "18000,7800,18000,7800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 349,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/xor2/symbol.sb b/Libs/Gates/hds/xor2/symbol.sb new file mode 100644 index 0000000..659ebe7 --- /dev/null +++ b/Libs/Gates/hds/xor2/symbol.sb @@ -0,0 +1,1501 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 148,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_ulogic" +o 1 +suid 3,0 +) +) +uid 149,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 150,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "xorOut" +t "std_ulogic" +o 3 +suid 1,0 +) +) +uid 151,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 152,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 91,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 94,0 +) +*20 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 96,0 +) +*21 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 98,0 +) +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 117,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 118,0 +) +*24 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 119,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 92,0 +optionalChildren [ +*25 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 100,0 +) +*26 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 104,0 +) +*27 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 106,0 +) +*28 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 108,0 +) +*29 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 110,0 +) +*30 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 112,0 +) +*31 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 114,0 +) +*32 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 116,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 90,0 +vaOverrides [ +] +) +] +) +uid 147,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 154,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 145,0 +) +] +) +pdm (PhysicalDM +uid 155,0 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *47 (MRCItem +litem &33 +pos 1 +dimension 20 +) +uid 121,0 +optionalChildren [ +*48 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 124,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 126,0 +) +*50 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 128,0 +) +*51 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 146,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 122,0 +optionalChildren [ +*52 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 130,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 134,0 +) +*54 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 136,0 +) +*55 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 138,0 +) +*56 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 140,0 +) +*57 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 142,0 +) +*58 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 144,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 120,0 +vaOverrides [ +] +) +] +) +uid 153,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor2\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor2\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor2" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor2" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "xor2" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:03" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "xor2" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor2\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor2\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:03" +) +(vvPair +variable "unit" +value "xor2" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 83,0 +optionalChildren [ +*59 (SymbolBody +uid 11,0 +optionalChildren [ +*60 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42000,17625,42750,18375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "38400,17600,41000,18600" +st "xorOut" +ju 2 +blo "41000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 78,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11600,14400,12600" +st "xorOut : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "xorOut" +t "std_ulogic" +o 3 +suid 1,0 +) +) +) +*61 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33631,19625,34381,20375" +) +tg (CPTG +uid 86,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "35533,19450,36933,20450" +st "in2" +blo "35533,20250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 79,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10700,14000,11700" +st "in2 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*62 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33632,15625,34382,16375" +) +tg (CPTG +uid 87,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "35533,15450,36933,16450" +st "in1" +blo "35533,16250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,14000,10800" +st "in1 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +] +shape (XOr +uid 84,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,15000,42000,21000" +) +showPorts 0 +oxt "16000,16000,28000,24000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "34600,20700,37700,21700" +st "gates" +blo "34600,21500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "34600,21700,37300,22700" +st "xor2" +blo "34600,22500" +) +) +gi *63 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "34000,23600,44800,26600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +selT 0 +) +portVis (PortSigDisplay +sN 0 +) +) +*64 (Grouping +uid 179,0 +optionalChildren [ +*65 (CommentText +uid 181,0 +shape (Rectangle +uid 182,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 183,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 184,0 +shape (Rectangle +uid 185,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 186,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 187,0 +shape (Rectangle +uid 188,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 189,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 190,0 +shape (Rectangle +uid 191,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 192,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 193,0 +shape (Rectangle +uid 194,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 195,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 196,0 +shape (Rectangle +uid 197,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 198,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 199,0 +shape (Rectangle +uid 200,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 201,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 202,0 +shape (Rectangle +uid 203,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 204,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 205,0 +shape (Rectangle +uid 206,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 207,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 208,0 +shape (Rectangle +uid 209,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 210,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 180,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *75 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*76 (Text +uid 88,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*77 (MLText +uid 89,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "20,36,1361,927" +viewArea "-1000,-1000,74174,51059" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *78 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *79 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,12500,2500,13400" +st "User:" +blo "0,13200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,7800,5800,8800" +st "Internal User:" +blo "0,8600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13400,2000,13400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "18000,7800,18000,7800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 325,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/xor3/symbol.sb b/Libs/Gates/hds/xor3/symbol.sb new file mode 100644 index 0000000..5ffcf09 --- /dev/null +++ b/Libs/Gates/hds/xor3/symbol.sb @@ -0,0 +1,1541 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 145,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 146,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_ulogic" +o 1 +suid 3,0 +) +) +uid 147,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "xorOut" +t "std_ulogic" +o 1 +suid 4,0 +) +) +uid 148,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_ulogic" +o 1 +suid 2,0 +) +) +uid 149,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 150,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 87,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 90,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 92,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 94,0 +) +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 113,0 +) +*24 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 114,0 +) +*25 (MRCItem +litem &4 +pos 3 +dimension 20 +uid 115,0 +) +*26 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 116,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 88,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 96,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 100,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 102,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 104,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 106,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 108,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 110,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 112,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 86,0 +vaOverrides [ +] +) +] +) +uid 144,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 152,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 142,0 +) +] +) +pdm (PhysicalDM +uid 153,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 118,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 121,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 123,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 125,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 143,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 119,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 127,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 131,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 133,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 135,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 137,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 139,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 141,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 117,0 +vaOverrides [ +] +) +] +) +uid 151,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor3\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor3\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor3" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor3" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "xor3" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:03" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "xor3" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor3\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor3\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:03" +) +(vvPair +variable "unit" +value "xor3" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 53,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32632,13625,33382,14375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +isHidden 1 +) +xt "34153,13550,35553,14550" +st "in1" +blo "34153,14350" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10800,14000,11800" +st "in1 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*63 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33138,15625,33888,16375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "34888,15550,36288,16550" +st "in2" +blo "34888,16350" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11700,14000,12700" +st "in2 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_ulogic" +o 1 +suid 2,0 +) +) +) +*64 (CptPort +uid 62,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 63,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32631,17625,33381,18375" +) +tg (CPTG +uid 64,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 65,0 +va (VaSet +isHidden 1 +) +xt "34381,17550,35781,18550" +st "in3" +blo "34381,18350" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 66,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,14000,13600" +st "in3 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*65 (CptPort +uid 67,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 68,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,15625,41750,16375" +) +tg (CPTG +uid 69,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 70,0 +va (VaSet +isHidden 1 +) +xt "38400,15700,41000,16700" +st "xorOut" +ju 2 +blo "41000,16500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 71,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13500,14400,14500" +st "xorOut : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "xorOut" +t "std_ulogic" +o 1 +suid 4,0 +) +) +) +] +shape (XOr +uid 83,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,13000,41000,19000" +) +showPorts 0 +oxt "15000,6000,51000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "33500,19400,36600,20400" +st "gates" +blo "33500,20200" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "33500,20400,36200,21400" +st "xor3" +blo "33500,21200" +) +) +gi *66 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "33000,22600,43800,25600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sN 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*67 (Grouping +uid 177,0 +optionalChildren [ +*68 (CommentText +uid 179,0 +shape (Rectangle +uid 180,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 181,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 182,0 +shape (Rectangle +uid 183,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 184,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 185,0 +shape (Rectangle +uid 186,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 187,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 188,0 +shape (Rectangle +uid 189,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 190,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 191,0 +shape (Rectangle +uid 192,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 193,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 194,0 +shape (Rectangle +uid 195,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 196,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 197,0 +shape (Rectangle +uid 198,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 199,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 200,0 +shape (Rectangle +uid 201,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 202,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 203,0 +shape (Rectangle +uid 204,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 205,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 206,0 +shape (Rectangle +uid 207,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 208,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 178,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *78 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +uid 84,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*80 (MLText +uid 85,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "22,45,1349,933" +viewArea "-1100,-1100,74244,51532" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,51000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "30500,14400,34100,15400" +st "" +blo "30500,15200" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "30500,15400,33100,16400" +st "" +blo "30500,16200" +) +) +gi *81 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *82 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,5400,9800" +st "Declarations" +blo "0,9600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9800,2700,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,14400,2500,15300" +st "User:" +blo "0,15100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,8800,5800,9800" +st "Internal User:" +blo "0,9600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15300,2000,15300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,8800,0,8800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 323,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/xor4/symbol.sb b/Libs/Gates/hds/xor4/symbol.sb new file mode 100644 index 0000000..f75a297 --- /dev/null +++ b/Libs/Gates/hds/xor4/symbol.sb @@ -0,0 +1,1603 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 145,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in4" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 146,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_ulogic" +o 1 +suid 2,0 +) +) +uid 147,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "xorOut" +t "std_ulogic" +o 1 +suid 4,0 +) +) +uid 148,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 149,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_ulogic" +o 1 +suid 3,0 +) +) +uid 150,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 151,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 86,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 89,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 91,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 93,0 +) +*24 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 112,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 113,0 +) +*26 (MRCItem +litem &4 +pos 4 +dimension 20 +uid 114,0 +) +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 115,0 +) +*28 (MRCItem +litem &6 +pos 2 +dimension 20 +uid 116,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 87,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 95,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 99,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 101,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 103,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 105,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 107,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 109,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 111,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 85,0 +vaOverrides [ +] +) +] +) +uid 144,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 153,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 142,0 +) +] +) +pdm (PhysicalDM +uid 154,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 1 +dimension 20 +) +uid 118,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 121,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 123,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 125,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 143,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 119,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 127,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 131,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 133,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 135,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 137,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 139,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 141,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 117,0 +vaOverrides [ +] +) +] +) +uid 152,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor4\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor4\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor4" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor4" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "xor4" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:02" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "xor4" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor4\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor4\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:02" +) +(vvPair +variable "unit" +value "xor4" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 53,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33632,12625,34382,13375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +isHidden 1 +) +xt "35382,12350,36782,13350" +st "in1" +blo "35382,13150" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10800,14000,11800" +st "in1 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33973,13625,34723,14375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "35723,13350,37123,14350" +st "in2" +blo "35723,14150" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11700,14000,12700" +st "in2 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_ulogic" +o 1 +suid 2,0 +) +) +) +*66 (CptPort +uid 62,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 63,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33971,15625,34721,16375" +) +tg (CPTG +uid 64,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 65,0 +va (VaSet +isHidden 1 +) +xt "35721,15350,37121,16350" +st "in3" +blo "35721,16150" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 66,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,14000,13600" +st "in3 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*67 (CptPort +uid 67,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 68,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42000,14625,42750,15375" +) +tg (CPTG +uid 69,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 70,0 +va (VaSet +isHidden 1 +) +xt "39400,14350,42000,15350" +st "xorOut" +ju 2 +blo "42000,15150" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 71,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14400,14400,15400" +st "xorOut : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "xorOut" +t "std_ulogic" +o 1 +suid 4,0 +) +) +) +*68 (CptPort +uid 73,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 74,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33631,16625,34381,17375" +) +tg (CPTG +uid 75,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 76,0 +va (VaSet +isHidden 1 +) +xt "35281,16350,36681,17350" +st "in4" +blo "35281,17150" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 77,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13500,14000,14500" +st "in4 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "in4" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (XOr +uid 72,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,12000,42000,18000" +) +showPorts 0 +oxt "15000,6000,51000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "34500,18400,37600,19400" +st "gates" +blo "34500,19200" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "34500,19400,37200,20400" +st "xor4" +blo "34500,20200" +) +) +gi *69 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "34000,21600,44800,24600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +) +portVis (PortSigDisplay +sN 0 +) +) +*70 (Grouping +uid 178,0 +optionalChildren [ +*71 (CommentText +uid 180,0 +shape (Rectangle +uid 181,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 182,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 183,0 +shape (Rectangle +uid 184,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 185,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 186,0 +shape (Rectangle +uid 187,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 188,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 189,0 +shape (Rectangle +uid 190,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 191,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 192,0 +shape (Rectangle +uid 193,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 194,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 195,0 +shape (Rectangle +uid 196,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 197,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 198,0 +shape (Rectangle +uid 199,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 200,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 201,0 +shape (Rectangle +uid 202,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 203,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 204,0 +shape (Rectangle +uid 205,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 206,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 207,0 +shape (Rectangle +uid 208,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 209,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 179,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *81 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 83,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*83 (MLText +uid 84,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "15,54,1370,927" +viewArea "-1000,-1000,77384,51371" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,51000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "30500,14400,34100,15400" +st "" +blo "30500,15200" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "30500,15400,33100,16400" +st "" +blo "30500,16200" +) +) +gi *84 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *85 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,5400,9800" +st "Declarations" +blo "0,9600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9800,2700,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,15300,2500,16200" +st "User:" +blo "0,16000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,8800,5800,9800" +st "Internal User:" +blo "0,9600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16200,2000,16200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,8800,0,8800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 301,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/xor5/symbol.sb b/Libs/Gates/hds/xor5/symbol.sb new file mode 100644 index 0000000..4f99312 --- /dev/null +++ b/Libs/Gates/hds/xor5/symbol.sb @@ -0,0 +1,1664 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2006,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 151,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "In2" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 152,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "xorOut" +t "std_ulogic" +o 6 +suid 6,0 +) +) +uid 153,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "In3" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 154,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "In1" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 155,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "In4" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 156,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "In5" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 157,0 +) +*8 (RefLabelRowHdr +) +*9 (TitleRowHdr +) +*10 (FilterRowHdr +) +*11 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*12 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*13 (GroupColHdr +tm "GroupColHdrMgr" +) +*14 (NameColHdr +tm "NameColHdrMgr" +) +*15 (ModeColHdr +tm "ModeColHdrMgr" +) +*16 (TypeColHdr +tm "TypeColHdrMgr" +) +*17 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*18 (InitColHdr +tm "InitColHdrMgr" +) +*19 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 158,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 91,0 +optionalChildren [ +*22 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 94,0 +) +*23 (MRCItem +litem &9 +pos 1 +dimension 23 +uid 96,0 +) +*24 (MRCItem +litem &10 +pos 2 +hidden 1 +dimension 20 +uid 98,0 +) +*25 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 117,0 +) +*26 (MRCItem +litem &3 +pos 5 +dimension 20 +uid 118,0 +) +*27 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 119,0 +) +*28 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 120,0 +) +*29 (MRCItem +litem &6 +pos 3 +dimension 20 +uid 121,0 +) +*30 (MRCItem +litem &7 +pos 4 +dimension 20 +uid 122,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 92,0 +optionalChildren [ +*31 (MRCItem +litem &11 +pos 0 +dimension 20 +uid 100,0 +) +*32 (MRCItem +litem &13 +pos 1 +dimension 50 +uid 104,0 +) +*33 (MRCItem +litem &14 +pos 2 +dimension 100 +uid 106,0 +) +*34 (MRCItem +litem &15 +pos 3 +dimension 50 +uid 108,0 +) +*35 (MRCItem +litem &16 +pos 4 +dimension 100 +uid 110,0 +) +*36 (MRCItem +litem &17 +pos 5 +dimension 100 +uid 112,0 +) +*37 (MRCItem +litem &18 +pos 6 +dimension 50 +uid 114,0 +) +*38 (MRCItem +litem &19 +pos 7 +dimension 80 +uid 116,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 90,0 +vaOverrides [ +] +) +] +) +uid 150,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 160,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 148,0 +) +] +) +pdm (PhysicalDM +uid 161,0 +optionalChildren [ +*52 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *53 (MRCItem +litem &39 +pos 1 +dimension 20 +) +uid 124,0 +optionalChildren [ +*54 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 127,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 129,0 +) +*56 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 131,0 +) +*57 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 149,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 125,0 +optionalChildren [ +*58 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 133,0 +) +*59 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 137,0 +) +*60 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 139,0 +) +*61 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 141,0 +) +*62 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 143,0 +) +*63 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 145,0 +) +*64 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 147,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 159,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor5\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor5\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor5" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor5" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "xor5" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:02" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "xor5" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor5\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor5\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:02" +) +(vvPair +variable "unit" +value "xor5" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 53,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30631,21625,31381,22375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +isHidden 1 +) +xt "32381,21500,33781,22500" +st "In5" +blo "32381,22300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14400,14100,15400" +st "In5 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "In5" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*67 (CptPort +uid 62,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 63,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30632,17625,31382,18375" +) +tg (CPTG +uid 64,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 65,0 +va (VaSet +isHidden 1 +) +xt "32382,17500,33782,18500" +st "In1" +blo "32382,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 66,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10800,14100,11800" +st "In1 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "In1" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*68 (CptPort +uid 67,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 68,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30973,18625,31723,19375" +) +tg (CPTG +uid 69,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 70,0 +va (VaSet +isHidden 1 +) +xt "32723,18500,34123,19500" +st "In2" +blo "32723,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 71,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11700,14100,12700" +st "In2 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "In2" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*69 (CptPort +uid 72,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 73,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31138,19625,31888,20375" +) +tg (CPTG +uid 74,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 75,0 +va (VaSet +isHidden 1 +) +xt "32888,19500,34288,20500" +st "In3" +blo "32888,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 76,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,14100,13600" +st "In3 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "In3" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*70 (CptPort +uid 77,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 78,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30971,20625,31721,21375" +) +tg (CPTG +uid 79,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 80,0 +va (VaSet +isHidden 1 +) +xt "32721,20500,34121,21500" +st "In4" +blo "32721,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 81,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13500,14100,14500" +st "In4 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "In4" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*71 (CptPort +uid 82,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 83,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39000,19625,39750,20375" +) +tg (CPTG +uid 84,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 85,0 +va (VaSet +isHidden 1 +) +xt "36400,19500,39000,20500" +st "xorOut" +ju 2 +blo "39000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 86,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15300,14400,16300" +st "xorOut : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "xorOut" +t "std_ulogic" +o 6 +suid 6,0 +) +) +) +] +shape (XOr +uid 87,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "31000,17000,39000,23000" +) +showPorts 0 +oxt "15000,6000,41000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "31400,22900,34500,23900" +st "gates" +blo "31400,23700" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "31400,23900,34100,24900" +st "xor5" +blo "31400,24700" +) +) +gi *72 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "31000,25600,41800,28600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +) +portVis (PortSigDisplay +sN 0 +) +) +*73 (Grouping +uid 185,0 +optionalChildren [ +*74 (CommentText +uid 187,0 +shape (Rectangle +uid 188,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 189,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 190,0 +shape (Rectangle +uid 191,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 192,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 193,0 +shape (Rectangle +uid 194,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 195,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 196,0 +shape (Rectangle +uid 197,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 198,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 199,0 +shape (Rectangle +uid 200,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 201,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 202,0 +shape (Rectangle +uid 203,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 204,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 205,0 +shape (Rectangle +uid 206,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 207,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 208,0 +shape (Rectangle +uid 209,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 210,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 211,0 +shape (Rectangle +uid 212,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 213,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 214,0 +shape (Rectangle +uid 215,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 216,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 186,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *84 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +uid 88,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*86 (MLText +uid 89,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "2,49,1368,909" +viewArea "-1100,-1100,78043,50374" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,41000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26400,14900,30000,15900" +st "" +blo "26400,15700" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26400,15900,29000,16900" +st "" +blo "26400,16700" +) +) +gi *87 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *88 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,5400,9800" +st "Declarations" +blo "0,9600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9800,2700,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,16200,2500,17100" +st "User:" +blo "0,16900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,8800,5800,9800" +st "Internal User:" +blo "0,9600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,17100,2000,17100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,8800,0,8800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 331,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/zero@signed/symbol.sb b/Libs/Gates/hds/zero@signed/symbol.sb new file mode 100644 index 0000000..2482dc7 --- /dev/null +++ b/Libs/Gates/hds/zero@signed/symbol.sb @@ -0,0 +1,1389 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 99,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "zero" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +uid 100,0 +) +*3 (RefLabelRowHdr +) +*4 (TitleRowHdr +) +*5 (FilterRowHdr +) +*6 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*7 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*8 (GroupColHdr +tm "GroupColHdrMgr" +) +*9 (NameColHdr +tm "NameColHdrMgr" +) +*10 (ModeColHdr +tm "ModeColHdrMgr" +) +*11 (TypeColHdr +tm "TypeColHdrMgr" +) +*12 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*13 (InitColHdr +tm "InitColHdrMgr" +) +*14 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 102,0 +optionalChildren [ +*15 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *16 (MRCItem +litem &1 +pos 1 +dimension 20 +) +uid 43,0 +optionalChildren [ +*17 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 46,0 +) +*18 (MRCItem +litem &4 +pos 1 +dimension 23 +uid 48,0 +) +*19 (MRCItem +litem &5 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*21 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 52,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 50 +uid 56,0 +) +*23 (MRCItem +litem &9 +pos 2 +dimension 100 +uid 58,0 +) +*24 (MRCItem +litem &10 +pos 3 +dimension 50 +uid 60,0 +) +*25 (MRCItem +litem &11 +pos 4 +dimension 100 +uid 62,0 +) +*26 (MRCItem +litem &12 +pos 5 +dimension 100 +uid 64,0 +) +*27 (MRCItem +litem &13 +pos 6 +dimension 50 +uid 66,0 +) +*28 (MRCItem +litem &14 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 98,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *29 (LEmptyRow +) +uid 104,0 +optionalChildren [ +*30 (RefLabelRowHdr +) +*31 (TitleRowHdr +) +*32 (FilterRowHdr +) +*33 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*34 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*35 (GroupColHdr +tm "GroupColHdrMgr" +) +*36 (NameColHdr +tm "GenericNameColHdrMgr" +) +*37 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*38 (InitColHdr +tm "GenericValueColHdrMgr" +) +*39 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*40 (EolColHdr +tm "GenericEolColHdrMgr" +) +*41 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 279,0 +) +] +) +pdm (PhysicalDM +uid 105,0 +optionalChildren [ +*42 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *43 (MRCItem +litem &29 +pos 1 +dimension 20 +) +uid 72,0 +optionalChildren [ +*44 (MRCItem +litem &30 +pos 0 +dimension 20 +uid 75,0 +) +*45 (MRCItem +litem &31 +pos 1 +dimension 23 +uid 77,0 +) +*46 (MRCItem +litem &32 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*47 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 280,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*48 (MRCItem +litem &33 +pos 0 +dimension 20 +uid 81,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 50 +uid 85,0 +) +*50 (MRCItem +litem &36 +pos 2 +dimension 100 +uid 87,0 +) +*51 (MRCItem +litem &37 +pos 3 +dimension 100 +uid 89,0 +) +*52 (MRCItem +litem &38 +pos 4 +dimension 50 +uid 91,0 +) +*53 (MRCItem +litem &39 +pos 5 +dimension 50 +uid 93,0 +) +*54 (MRCItem +litem &40 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 103,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\zero@signed\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\zero@signed\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\zero@signed" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\zeroSigned" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "zeroSigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:02" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "zeroSigned" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\zero@signed\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\zeroSigned\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:02" +) +(vvPair +variable "unit" +value "zeroSigned" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*55 (SymbolBody +uid 8,0 +optionalChildren [ +*56 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36625,14250,37375,15000" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +) +xt "27200,15000,40500,16200" +st "zero : (dataBitNb-1:0)" +ju 2 +blo "40500,16000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,22900,10800" +st "zero : OUT signed (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "zero" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +] +shape (Pd +uid 255,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,15000,39000,21000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "33910,20700,37010,21700" +st "gates" +blo "33910,21500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "33910,21700,40310,22700" +st "zeroSigned" +blo "33910,22500" +) +) +gi *57 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "34000,23600,44200,26600" +st "Generic Declarations + +dataBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*58 (Grouping +uid 175,0 +optionalChildren [ +*59 (CommentText +uid 177,0 +shape (Rectangle +uid 178,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 179,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*60 (CommentText +uid 180,0 +shape (Rectangle +uid 181,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 182,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 183,0 +shape (Rectangle +uid 184,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 185,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 186,0 +shape (Rectangle +uid 187,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 188,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 189,0 +shape (Rectangle +uid 190,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 191,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*64 (CommentText +uid 192,0 +shape (Rectangle +uid 193,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 194,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 195,0 +shape (Rectangle +uid 196,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 197,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 198,0 +shape (Rectangle +uid 199,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 200,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 201,0 +shape (Rectangle +uid 202,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 203,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 204,0 +shape (Rectangle +uid 205,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 206,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 176,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *69 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*70 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*71 (MLText +uid 41,0 +va (VaSet +) +xt "0,1000,17500,7000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "177,47,1423,880" +viewArea "-3660,-12454,77564,41642" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *72 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *73 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,10700,2500,11600" +st "User:" +blo "0,11400" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11600,2000,11600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 395,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/zero@unsigned/symbol.sb b/Libs/Gates/hds/zero@unsigned/symbol.sb new file mode 100644 index 0000000..7a1a01f --- /dev/null +++ b/Libs/Gates/hds/zero@unsigned/symbol.sb @@ -0,0 +1,1389 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 99,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "zero" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +uid 100,0 +) +*3 (RefLabelRowHdr +) +*4 (TitleRowHdr +) +*5 (FilterRowHdr +) +*6 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*7 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*8 (GroupColHdr +tm "GroupColHdrMgr" +) +*9 (NameColHdr +tm "NameColHdrMgr" +) +*10 (ModeColHdr +tm "ModeColHdrMgr" +) +*11 (TypeColHdr +tm "TypeColHdrMgr" +) +*12 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*13 (InitColHdr +tm "InitColHdrMgr" +) +*14 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 102,0 +optionalChildren [ +*15 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *16 (MRCItem +litem &1 +pos 1 +dimension 20 +) +uid 43,0 +optionalChildren [ +*17 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 46,0 +) +*18 (MRCItem +litem &4 +pos 1 +dimension 23 +uid 48,0 +) +*19 (MRCItem +litem &5 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*21 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 52,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 50 +uid 56,0 +) +*23 (MRCItem +litem &9 +pos 2 +dimension 100 +uid 58,0 +) +*24 (MRCItem +litem &10 +pos 3 +dimension 50 +uid 60,0 +) +*25 (MRCItem +litem &11 +pos 4 +dimension 100 +uid 62,0 +) +*26 (MRCItem +litem &12 +pos 5 +dimension 100 +uid 64,0 +) +*27 (MRCItem +litem &13 +pos 6 +dimension 50 +uid 66,0 +) +*28 (MRCItem +litem &14 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 98,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *29 (LEmptyRow +) +uid 104,0 +optionalChildren [ +*30 (RefLabelRowHdr +) +*31 (TitleRowHdr +) +*32 (FilterRowHdr +) +*33 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*34 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*35 (GroupColHdr +tm "GroupColHdrMgr" +) +*36 (NameColHdr +tm "GenericNameColHdrMgr" +) +*37 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*38 (InitColHdr +tm "GenericValueColHdrMgr" +) +*39 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*40 (EolColHdr +tm "GenericEolColHdrMgr" +) +*41 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 279,0 +) +] +) +pdm (PhysicalDM +uid 105,0 +optionalChildren [ +*42 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *43 (MRCItem +litem &29 +pos 1 +dimension 20 +) +uid 72,0 +optionalChildren [ +*44 (MRCItem +litem &30 +pos 0 +dimension 20 +uid 75,0 +) +*45 (MRCItem +litem &31 +pos 1 +dimension 23 +uid 77,0 +) +*46 (MRCItem +litem &32 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*47 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 280,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*48 (MRCItem +litem &33 +pos 0 +dimension 20 +uid 81,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 50 +uid 85,0 +) +*50 (MRCItem +litem &36 +pos 2 +dimension 100 +uid 87,0 +) +*51 (MRCItem +litem &37 +pos 3 +dimension 100 +uid 89,0 +) +*52 (MRCItem +litem &38 +pos 4 +dimension 50 +uid 91,0 +) +*53 (MRCItem +litem &39 +pos 5 +dimension 50 +uid 93,0 +) +*54 (MRCItem +litem &40 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 103,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\zero@unsigned\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\zero@unsigned\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\zero@unsigned" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\zeroUnsigned" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "zeroUnsigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:02" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "zeroUnsigned" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\zero@unsigned\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\zeroUnsigned\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:02" +) +(vvPair +variable "unit" +value "zeroUnsigned" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*55 (SymbolBody +uid 8,0 +optionalChildren [ +*56 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36625,14250,37375,15000" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +) +xt "27200,15000,40500,16200" +st "zero : (dataBitNb-1:0)" +ju 2 +blo "40500,16000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,23900,10800" +st "zero : OUT unsigned (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "zero" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +] +shape (Pd +uid 255,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,15000,39000,21000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "33910,20700,37010,21700" +st "gates" +blo "33910,21500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "33910,21700,41510,22700" +st "zeroUnsigned" +blo "33910,22500" +) +) +gi *57 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "34000,23600,44200,26600" +st "Generic Declarations + +dataBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*58 (Grouping +uid 175,0 +optionalChildren [ +*59 (CommentText +uid 177,0 +shape (Rectangle +uid 178,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 179,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*60 (CommentText +uid 180,0 +shape (Rectangle +uid 181,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 182,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 183,0 +shape (Rectangle +uid 184,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 185,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 186,0 +shape (Rectangle +uid 187,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 188,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 189,0 +shape (Rectangle +uid 190,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 191,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*64 (CommentText +uid 192,0 +shape (Rectangle +uid 193,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 194,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 195,0 +shape (Rectangle +uid 196,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 197,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 198,0 +shape (Rectangle +uid 199,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 200,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 201,0 +shape (Rectangle +uid 202,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 203,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 204,0 +shape (Rectangle +uid 205,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 206,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 176,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *69 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*70 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*71 (MLText +uid 41,0 +va (VaSet +) +xt "0,1000,17500,7000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "176,47,1422,880" +viewArea "-3660,-12454,77564,41642" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *72 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *73 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,10700,2500,11600" +st "User:" +blo "0,11400" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11600,2000,11600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 372,0 +activeModelName "Symbol" +) diff --git a/Libs/IO/hdl/tristateBufferSigned_sim.vhd b/Libs/IO/hdl/tristateBufferSigned_sim.vhd new file mode 100644 index 0000000..c56b0d6 --- /dev/null +++ b/Libs/IO/hdl/tristateBufferSigned_sim.vhd @@ -0,0 +1,5 @@ +ARCHITECTURE sim OF tristateBufferSigned IS +BEGIN + out1 <= in1 after delay when OE = '1' else (others => 'Z') after delay; +END ARCHITECTURE sim; + diff --git a/Libs/IO/hdl/tristateBufferULogicVector_sim.vhd b/Libs/IO/hdl/tristateBufferULogicVector_sim.vhd new file mode 100644 index 0000000..20e5627 --- /dev/null +++ b/Libs/IO/hdl/tristateBufferULogicVector_sim.vhd @@ -0,0 +1,5 @@ +ARCHITECTURE sim OF tristateBufferULogicVector IS +BEGIN + out1 <= std_logic_vector(in1) after delay when OE = '1' else (others => 'Z') after delay; +END ARCHITECTURE sim; + diff --git a/Libs/IO/hdl/tristateBufferULogic_sim.vhd b/Libs/IO/hdl/tristateBufferULogic_sim.vhd new file mode 100644 index 0000000..ba007ba --- /dev/null +++ b/Libs/IO/hdl/tristateBufferULogic_sim.vhd @@ -0,0 +1,5 @@ +ARCHITECTURE sim OF tristateBufferULogic IS +BEGIN + out1 <= in1 after delay when OE = '1' else 'Z' after delay; +END ARCHITECTURE sim; + diff --git a/Libs/IO/hdl/tristateBufferUnsigned_sim.vhd b/Libs/IO/hdl/tristateBufferUnsigned_sim.vhd new file mode 100644 index 0000000..19166d3 --- /dev/null +++ b/Libs/IO/hdl/tristateBufferUnsigned_sim.vhd @@ -0,0 +1,5 @@ +ARCHITECTURE sim OF tristateBufferUnsigned IS +BEGIN + out1 <= in1 after delay when OE = '1' else (others => 'Z') after delay; +END ARCHITECTURE sim; + diff --git a/Libs/IO/hds/.hdlsidedata/_tristateBufferSigned_sim.vhd._fpf b/Libs/IO/hds/.hdlsidedata/_tristateBufferSigned_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/IO/hds/.hdlsidedata/_tristateBufferSigned_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/IO/hds/.hdlsidedata/_tristateBufferULogicVector_sim.vhd._fpf b/Libs/IO/hds/.hdlsidedata/_tristateBufferULogicVector_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/IO/hds/.hdlsidedata/_tristateBufferULogicVector_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/IO/hds/.hdlsidedata/_tristateBufferULogic_sim.vhd._fpf b/Libs/IO/hds/.hdlsidedata/_tristateBufferULogic_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/IO/hds/.hdlsidedata/_tristateBufferULogic_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/IO/hds/.hdlsidedata/_tristateBufferUnsigned_sim.vhd._fpf b/Libs/IO/hds/.hdlsidedata/_tristateBufferUnsigned_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/IO/hds/.hdlsidedata/_tristateBufferUnsigned_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/IO/hds/.hdlsidedata/_tristatebuffersigned_entity.vhg._fpf b/Libs/IO/hds/.hdlsidedata/_tristatebuffersigned_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/IO/hds/.hdlsidedata/_tristatebuffersigned_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/IO/hds/.hdlsidedata/_tristatebufferulogic_entity.vhg._fpf b/Libs/IO/hds/.hdlsidedata/_tristatebufferulogic_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/IO/hds/.hdlsidedata/_tristatebufferulogic_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/IO/hds/.hdlsidedata/_tristatebufferulogicvector_entity.vhg._fpf b/Libs/IO/hds/.hdlsidedata/_tristatebufferulogicvector_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/IO/hds/.hdlsidedata/_tristatebufferulogicvector_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/IO/hds/.hdlsidedata/_tristatebufferunsigned_entity.vhg._fpf b/Libs/IO/hds/.hdlsidedata/_tristatebufferunsigned_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/IO/hds/.hdlsidedata/_tristatebufferunsigned_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/IO/hds/_tristatebuffersigned._epf b/Libs/IO/hds/_tristatebuffersigned._epf new file mode 100644 index 0000000..69ce634 --- /dev/null +++ b/Libs/IO/hds/_tristatebuffersigned._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom tristateBufferSigned_sim.vhd diff --git a/Libs/IO/hds/_tristatebufferulogicvector._epf b/Libs/IO/hds/_tristatebufferulogicvector._epf new file mode 100644 index 0000000..2da5c39 --- /dev/null +++ b/Libs/IO/hds/_tristatebufferulogicvector._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom tristateBufferULogicVector_sim.vhd diff --git a/Libs/IO/hds/tristate@buffer@signed/symbol.sb b/Libs/IO/hds/tristate@buffer@signed/symbol.sb new file mode 100644 index 0000000..8a28a48 --- /dev/null +++ b/Libs/IO/hds/tristate@buffer@signed/symbol.sb @@ -0,0 +1,1509 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 101,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 102,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 103,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "OE" +t "std_ulogic" +o 3 +suid 2003,0 +) +) +uid 191,0 +) +] +) +pdm (PhysicalDM +uid 104,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 43,0 +optionalChildren [ +*19 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*20 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*21 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*22 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 69,0 +) +*23 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 70,0 +) +*24 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 192,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*25 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*26 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*27 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*28 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*29 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*30 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*31 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*32 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 100,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 106,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "dataNbBits" +type "positive" +value "8" +) +uid 96,0 +) +*46 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 97,0 +) +] +) +pdm (PhysicalDM +uid 107,0 +optionalChildren [ +*47 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *48 (MRCItem +litem &33 +pos 2 +dimension 20 +) +uid 72,0 +optionalChildren [ +*49 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 75,0 +) +*50 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 77,0 +) +*51 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*52 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 98,0 +) +*53 (MRCItem +litem &46 +pos 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*54 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 81,0 +) +*55 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 85,0 +) +*56 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 87,0 +) +*57 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 89,0 +) +*58 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 91,0 +) +*59 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 93,0 +) +*60 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 105,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristate@buffer@signed/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristate@buffer@signed/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristate@buffer@signed" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristateBufferSigned" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "tristateBufferSigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:44:58" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "io" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/IO/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "tristateBufferSigned" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristate@buffer@signed/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristateBufferSigned/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:44:58" +) +(vvPair +variable "unit" +value "tristateBufferSigned" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,20625,35000,21375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +) +xt "35000,20700,43400,21700" +st "in1 : (dataNbBits-1:0)" +blo "35000,21500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10700,25500,11600" +st "in1 : IN signed (dataNbBits-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*63 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,20625,40750,21375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +) +xt "31200,20700,40000,21700" +st "out1 : (dataNbBits-1:0)" +ju 2 +blo "40000,21500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11600,24500,12500" +st "out1 : OUT signed (dataNbBits-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*64 (CptPort +uid 186,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 187,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36625,18450,37375,19200" +) +tg (CPTG +uid 188,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 189,0 +ro 270 +va (VaSet +isHidden 1 +) +xt "36500,20200,37500,21700" +st "OE" +ju 2 +blo "37300,20200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 190,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9800,16000,10700" +st "OE : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "OE" +t "std_ulogic" +o 3 +suid 2003,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,18000,40000,24000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "35910,23700,36910,24600" +st "io" +blo "35910,24400" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "35910,24600,46410,25500" +st "tristateBufferSigned" +blo "35910,25300" +) +) +gi *65 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "35000,26800,51000,30400" +st "Generic Declarations + +dataNbBits positive 8 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataNbBits" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*66 (Grouping +uid 131,0 +optionalChildren [ +*67 (CommentText +uid 133,0 +shape (Rectangle +uid 134,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 135,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 136,0 +shape (Rectangle +uid 137,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 138,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 139,0 +shape (Rectangle +uid 140,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 141,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 142,0 +shape (Rectangle +uid 143,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 144,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 145,0 +shape (Rectangle +uid 146,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 147,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 148,0 +shape (Rectangle +uid 149,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 150,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 151,0 +shape (Rectangle +uid 152,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 153,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 154,0 +shape (Rectangle +uid 155,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 156,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 157,0 +shape (Rectangle +uid 158,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 159,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 160,0 +shape (Rectangle +uid 161,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 162,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 132,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *77 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +uid 40,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*79 (MLText +uid 41,0 +va (VaSet +) +xt "0,1000,18600,6000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "27,29,1339,944" +viewArea "-1100,-1100,74317,54169" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *80 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *81 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,12500,2500,13400" +st "User:" +blo "0,13200" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13400,2000,13400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 215,0 +activeModelName "Symbol" +) diff --git a/Libs/IO/hds/tristate@buffer@u@logic/symbol.sb b/Libs/IO/hds/tristate@buffer@u@logic/symbol.sb new file mode 100644 index 0000000..af5937b --- /dev/null +++ b/Libs/IO/hds/tristate@buffer@u@logic/symbol.sb @@ -0,0 +1,1480 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 99,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 100,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 101,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "OE" +t "std_ulogic" +o 3 +suid 2003,0 +) +) +uid 189,0 +) +] +) +pdm (PhysicalDM +uid 102,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 43,0 +optionalChildren [ +*19 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*20 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*21 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 70,0 +) +*24 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 190,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*25 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*26 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*27 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*28 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*29 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*30 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*31 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*32 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 98,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 104,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 96,0 +) +] +) +pdm (PhysicalDM +uid 105,0 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *47 (MRCItem +litem &33 +pos 1 +dimension 20 +) +uid 72,0 +optionalChildren [ +*48 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 75,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 77,0 +) +*50 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*51 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 97,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*52 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 81,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 85,0 +) +*54 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 87,0 +) +*55 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 89,0 +) +*56 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 91,0 +) +*57 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 93,0 +) +*58 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 103,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristate@buffer@u@logic/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristate@buffer@u@logic/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristate@buffer@u@logic" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristateBufferULogic" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "tristateBufferULogic" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:44:58" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "io" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/IO/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "tristateBufferULogic" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristate@buffer@u@logic/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristateBufferULogic/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:44:58" +) +(vvPair +variable "unit" +value "tristateBufferULogic" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,17625,32000,18375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +) +xt "32000,17700,33400,18700" +st "in1" +blo "32000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10700,16000,11600" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37000,17625,37750,18375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +) +xt "35200,17700,37000,18700" +st "out1" +ju 2 +blo "37000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11600,15000,12500" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +*62 (CptPort +uid 184,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 185,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33625,15450,34375,16200" +) +tg (CPTG +uid 186,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 187,0 +ro 270 +va (VaSet +isHidden 1 +) +xt "148250,29050,149250,30550" +st "OE" +ju 2 +blo "149050,29050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 188,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9800,16000,10700" +st "OE : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "OE" +t "std_ulogic" +o 3 +suid 2003,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,15000,37000,21000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "32910,20700,33910,21600" +st "io" +blo "32910,21400" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "32910,21600,43410,22500" +st "tristateBufferULogic" +blo "32910,22300" +) +) +gi *63 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,23600,43500,26300" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*64 (Grouping +uid 129,0 +optionalChildren [ +*65 (CommentText +uid 131,0 +shape (Rectangle +uid 132,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 133,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 134,0 +shape (Rectangle +uid 135,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 136,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 137,0 +shape (Rectangle +uid 138,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 139,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 140,0 +shape (Rectangle +uid 141,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 142,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 143,0 +shape (Rectangle +uid 144,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 145,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 146,0 +shape (Rectangle +uid 147,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 148,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 149,0 +shape (Rectangle +uid 150,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 151,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 152,0 +shape (Rectangle +uid 153,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 154,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 155,0 +shape (Rectangle +uid 156,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 157,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 158,0 +shape (Rectangle +uid 159,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 160,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 130,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *75 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*76 (Text +uid 40,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*77 (MLText +uid 41,0 +va (VaSet +) +xt "0,1000,18600,5000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "65,29,1387,956" +viewArea "-1100,-1100,75007,54997" +cachedDiagramExtent "0,0,149250,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *78 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *79 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,12500,2500,13400" +st "User:" +blo "0,13200" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13400,2000,13400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 213,0 +activeModelName "Symbol" +) diff --git a/Libs/IO/hds/tristate@buffer@u@logic@vector/symbol.sb b/Libs/IO/hds/tristate@buffer@u@logic@vector/symbol.sb new file mode 100644 index 0000000..33c448b --- /dev/null +++ b/Libs/IO/hds/tristate@buffer@u@logic@vector/symbol.sb @@ -0,0 +1,1504 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 101,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic_vector" +b "(dataNbBits-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 102,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_Logic_vector" +b "(dataNbBits-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 103,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "OE" +t "std_ulogic" +o 3 +suid 2003,0 +) +) +uid 191,0 +) +] +) +pdm (PhysicalDM +uid 104,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 43,0 +optionalChildren [ +*19 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*20 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*21 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 70,0 +) +*24 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 192,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*25 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*26 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*27 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*28 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*29 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*30 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*31 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*32 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 100,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 106,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "dataNbBits" +type "positive" +value "8" +) +uid 96,0 +) +*46 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 97,0 +) +] +) +pdm (PhysicalDM +uid 107,0 +optionalChildren [ +*47 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *48 (MRCItem +litem &33 +pos 2 +dimension 20 +) +uid 72,0 +optionalChildren [ +*49 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 75,0 +) +*50 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 77,0 +) +*51 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*52 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 98,0 +) +*53 (MRCItem +litem &46 +pos 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*54 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 81,0 +) +*55 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 85,0 +) +*56 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 87,0 +) +*57 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 89,0 +) +*58 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 91,0 +) +*59 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 93,0 +) +*60 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 105,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristate@buffer@u@logic@vector/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristate@buffer@u@logic@vector/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristate@buffer@u@logic@vector" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristateBufferULogicVector" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "tristateBufferULogicVector" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:44:57" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "io" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/IO/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "tristateBufferULogicVector" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristate@buffer@u@logic@vector/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristateBufferULogicVector/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:44:57" +) +(vvPair +variable "unit" +value "tristateBufferULogicVector" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,18625,35000,19375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +) +xt "35000,18700,43400,19700" +st "in1 : (dataNbBits-1:0)" +blo "35000,19500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10700,31000,11600" +st "in1 : IN std_uLogic_vector (dataNbBits-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic_vector" +b "(dataNbBits-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*63 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,18625,40750,19375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +) +xt "31200,18700,40000,19700" +st "out1 : (dataNbBits-1:0)" +ju 2 +blo "40000,19500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11600,29500,12500" +st "out1 : OUT std_Logic_vector (dataNbBits-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_Logic_vector" +b "(dataNbBits-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*64 (CptPort +uid 186,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 187,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36625,16450,37375,17200" +) +tg (CPTG +uid 188,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 189,0 +ro 270 +va (VaSet +isHidden 1 +) +xt "161250,30050,162250,31550" +st "OE" +ju 2 +blo "162050,30050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 190,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9800,16000,10700" +st "OE : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "OE" +t "std_ulogic" +o 3 +suid 2003,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,16000,40000,22000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "35910,21700,36910,22600" +st "io" +blo "35910,22400" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "35910,22600,49410,23500" +st "tristateBufferULogicVector" +blo "35910,23300" +) +) +gi *65 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "35000,24800,51000,28400" +st "Generic Declarations + +dataNbBits positive 8 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataNbBits" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*66 (Grouping +uid 131,0 +optionalChildren [ +*67 (CommentText +uid 133,0 +shape (Rectangle +uid 134,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 135,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45000,50000,46000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 136,0 +shape (Rectangle +uid 137,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,41000,57000,42000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 138,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,41000,56800,42000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 139,0 +shape (Rectangle +uid 140,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,43000,53000,44000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 141,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,43000,52400,44000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 142,0 +shape (Rectangle +uid 143,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,43000,36000,44000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 144,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,43000,35800,44000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 145,0 +shape (Rectangle +uid 146,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,73000,46000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 147,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,42200,66400,43200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 148,0 +shape (Rectangle +uid 149,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,41000,73000,42000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 150,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,41000,59000,42000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 151,0 +shape (Rectangle +uid 152,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,41000,53000,43000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 153,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,41500,47000,42500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 154,0 +shape (Rectangle +uid 155,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 156,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,44000,35200,45000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 157,0 +shape (Rectangle +uid 158,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 159,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45000,35800,46000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 160,0 +shape (Rectangle +uid 161,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 162,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,44000,46400,45000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 132,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,41000,73000,46000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *77 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +uid 40,0 +va (VaSet +font "courier,8,1" +) +xt "0,-3000,5400,-2000" +st "Package List" +blo "0,-2200" +) +*79 (MLText +uid 41,0 +va (VaSet +) +xt "0,-2000,18600,2000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "3,38,1382,918" +viewArea "-1100,-4100,76620,45346" +cachedDiagramExtent "0,-3000,162250,46000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-3000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *80 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *81 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,12500,2500,13400" +st "User:" +blo "0,13200" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13400,2000,13400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 238,0 +activeModelName "Symbol" +) diff --git a/Libs/IO/hds/tristate@buffer@unsigned/symbol.sb b/Libs/IO/hds/tristate@buffer@unsigned/symbol.sb new file mode 100644 index 0000000..7d63180 --- /dev/null +++ b/Libs/IO/hds/tristate@buffer@unsigned/symbol.sb @@ -0,0 +1,1509 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 101,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "unsigned" +b "(dataNbBits-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 102,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "unsigned" +b "(dataNbBits-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 103,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "OE" +t "std_ulogic" +o 3 +suid 2003,0 +) +) +uid 191,0 +) +] +) +pdm (PhysicalDM +uid 104,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 43,0 +optionalChildren [ +*19 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*20 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*21 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*22 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 69,0 +) +*23 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 70,0 +) +*24 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 192,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*25 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*26 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*27 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*28 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*29 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*30 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*31 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*32 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 100,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 106,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "dataNbBits" +type "positive" +value "8" +) +uid 96,0 +) +*46 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 97,0 +) +] +) +pdm (PhysicalDM +uid 107,0 +optionalChildren [ +*47 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *48 (MRCItem +litem &33 +pos 2 +dimension 20 +) +uid 72,0 +optionalChildren [ +*49 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 75,0 +) +*50 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 77,0 +) +*51 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*52 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 98,0 +) +*53 (MRCItem +litem &46 +pos 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*54 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 81,0 +) +*55 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 85,0 +) +*56 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 87,0 +) +*57 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 89,0 +) +*58 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 91,0 +) +*59 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 93,0 +) +*60 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 105,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristate@buffer@unsigned/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristate@buffer@unsigned/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristate@buffer@unsigned" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristateBufferUnsigned" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "tristateBufferUnsigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:44:57" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "io" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/IO/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "tristateBufferUnsigned" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristate@buffer@unsigned/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristateBufferUnsigned/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:44:57" +) +(vvPair +variable "unit" +value "tristateBufferUnsigned" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,18625,36000,19375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +) +xt "36000,18700,44400,19700" +st "in1 : (dataNbBits-1:0)" +blo "36000,19500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10700,26500,11600" +st "in1 : IN unsigned (dataNbBits-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "unsigned" +b "(dataNbBits-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*63 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,18625,41750,19375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +) +xt "32200,18700,41000,19700" +st "out1 : (dataNbBits-1:0)" +ju 2 +blo "41000,19500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11600,25500,12500" +st "out1 : OUT unsigned (dataNbBits-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "unsigned" +b "(dataNbBits-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*64 (CptPort +uid 186,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 187,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37625,16450,38375,17200" +) +tg (CPTG +uid 188,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 189,0 +ro 270 +va (VaSet +isHidden 1 +) +xt "92250,-3550,93250,-2050" +st "OE" +ju 2 +blo "93050,-3550" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 190,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9800,16000,10700" +st "OE : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "OE" +t "std_ulogic" +o 3 +suid 2003,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "36000,16000,41000,22000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "36910,21700,37910,22600" +st "io" +blo "36910,22400" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "36910,22600,48410,23500" +st "tristateBufferUnsigned" +blo "36910,23300" +) +) +gi *65 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "36000,24800,52000,28400" +st "Generic Declarations + +dataNbBits positive 8 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataNbBits" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*66 (Grouping +uid 131,0 +optionalChildren [ +*67 (CommentText +uid 133,0 +shape (Rectangle +uid 134,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 135,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 136,0 +shape (Rectangle +uid 137,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 138,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 139,0 +shape (Rectangle +uid 140,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 141,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 142,0 +shape (Rectangle +uid 143,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 144,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 145,0 +shape (Rectangle +uid 146,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 147,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 148,0 +shape (Rectangle +uid 149,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 150,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 151,0 +shape (Rectangle +uid 152,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 153,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 154,0 +shape (Rectangle +uid 155,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 156,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 157,0 +shape (Rectangle +uid 158,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 159,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 160,0 +shape (Rectangle +uid 161,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 162,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,52400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 132,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *77 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +uid 40,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*79 (MLText +uid 41,0 +va (VaSet +) +xt "0,1000,18600,6000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,35,1397,924" +viewArea "-1084,-1084,77174,50401" +cachedDiagramExtent "0,-3550,93250,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *80 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *81 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,12500,2500,13400" +st "User:" +blo "0,13200" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13400,2000,13400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 215,0 +activeModelName "Symbol" +) diff --git a/Libs/Lcd/hdl/helloWorld_RTL.vhd b/Libs/Lcd/hdl/helloWorld_RTL.vhd new file mode 100644 index 0000000..62f4e05 --- /dev/null +++ b/Libs/Lcd/hdl/helloWorld_RTL.vhd @@ -0,0 +1,159 @@ +library Common; + use Common.CommonLib.all; + +ARCHITECTURE RTL OF helloWorld IS + + constant displaySequenceLength: positive := 97; + type displayDataType is array (1 to displaySequenceLength+1) + of natural; + constant displayData: displayDataType :=( + character'pos(can), -- cancel (clear display) + character'pos(stx), -- start of text (pos 0,0) + character'pos('H'), -- Line 1 + character'pos('E'), + character'pos('S'), + character'pos('-'), + character'pos('S'), + character'pos('O'), + character'pos('/'), + character'pos('/'), + character'pos('V'), + character'pos('a'), + character'pos('l'), + character'pos('a'), + character'pos('i'), + character'pos('s'), + character'pos(' '), + character'pos('W'), + character'pos('a'), + character'pos('l'), + character'pos('l'), + character'pos('i'), + character'pos('s'), + character'pos(' '), + character'pos(cr), + character'pos(lf), + character'pos('-'), -- Line 2 + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos(cr), + character'pos(lf), + character'pos('F'), -- Line 3 + character'pos('P'), + character'pos('G'), + character'pos('A'), + character'pos('-'), + character'pos('E'), + character'pos('B'), + character'pos('S'), + character'pos(' '), + character'pos('L'), + character'pos('C'), + character'pos('D'), + character'pos('-'), + character'pos('E'), + character'pos('x'), + character'pos('t'), + character'pos('e'), + character'pos('n'), + character'pos('s'), + character'pos('i'), + character'pos('o'), + character'pos('n'), + character'pos(cr), + character'pos(lf), + character'pos('L'), -- Line 4 + character'pos('C'), + character'pos('D'), + character'pos(','), + character'pos(' '), + character'pos('4'), + character'pos(' '), + character'pos('B'), + character'pos('u'), + character'pos('t'), + character'pos('t'), + character'pos('o'), + character'pos('n'), + character'pos('s'), + character'pos(','), + character'pos(' '), + character'pos('8'), + character'pos(' '), + character'pos('L'), + character'pos('e'), + character'pos('d'), + character'pos('s'), + character'pos(stx), -- start of text (pos 0,0) + character'pos('-') + ); + + signal sequenceCounter: unsigned(requiredBitNb(displaySequenceLength+1)-1 downto 0); + signal sequenceDone: std_ulogic; + + signal buttonDelayed, buttonRising: std_ulogic; + +BEGIN + ------------------------------------------------------------------------------ + -- find button push + delayButton: process(reset, clock) + begin + if reset='1' then + buttonDelayed <= '0'; + elsif rising_edge(clock) then + buttonDelayed <= button; + end if; + end process delayButton; + + buttonRising <= '1' when (button = '1') and (buttonDelayed = '0') + else '0'; + + ------------------------------------------------------------------------------ + -- display sequence counter + countDisplaySequence: process(reset, clock) + begin + if reset='1' then + sequenceCounter <= to_unsigned(1, sequenceCounter'length); + elsif rising_edge(clock) then + if (buttonRising = '1') and (sequenceDone = '1') then + sequenceCounter <= to_unsigned(1, sequenceCounter'length); + elsif busy = '0' then + if sequenceDone = '0' then + sequenceCounter <= sequenceCounter + 1; + end if; + end if; + end if; + end process countDisplaySequence; + + sequenceDone <= '1' when sequenceCounter > displaySequenceLength + else '0'; + + ------------------------------------------------------------------------------ + -- output control + ascii <= std_ulogic_vector(to_unsigned( + displayData(to_integer(sequenceCounter)), ascii'length + )) when (sequenceCounter > 0) + else (others => '-'); + send <= not busy when sequenceDone = '0' + else '0'; + +END ARCHITECTURE RTL; diff --git a/Libs/Lcd/hdl/lcdCharacterEncoder_RTL.vhd b/Libs/Lcd/hdl/lcdCharacterEncoder_RTL.vhd new file mode 100644 index 0000000..64c0c54 --- /dev/null +++ b/Libs/Lcd/hdl/lcdCharacterEncoder_RTL.vhd @@ -0,0 +1,213 @@ +library Common; + use Common.CommonLib.all; + +ARCHITECTURE Encoder OF lcdCharacterEncoder IS + + constant lcdLineBitNb : positive := 6; + constant lcdPageBitNb : positive := 4; + constant lcdColumnBitNb : positive := 8; + + + type fontDisplayStateType is ( + init, idle, readChar, displayColumns + ); + signal fontDisplayState : fontDisplayStateType; + + signal asciiColumnCounter : unsigned(requiredBitNb(fontColumnNb)-1 downto 0); + signal pixelOffset : unsigned(requiredBitNb(fontColumnNb*fontRowNb-1)-1 downto 0); + + signal pageCounter : unsigned(requiredBitNb(lcdPageNb)-1 downto 0); + signal columnCounter : unsigned(requiredBitNb(lcdColumnNb)-1 downto 0); + + signal A0 : std_ulogic; + + signal pixelPage : std_ulogic_vector(fontRowNb-1 downto 0); + signal pixelColumnHigh : std_ulogic_vector(fontRowNb-1 downto 0); + signal pixelColumnLow : std_ulogic_vector(fontRowNb-1 downto 0); + +BEGIN + ------------------------------------------------------------------------------ + -- diplay FSM + fontDisplaySequencer: process(reset, clock) + begin + if reset = '1' then + fontDisplayState <= init; + elsif rising_edge(clock) then + case fontDisplayState is + when init => + if lcdBusy = '0' then + fontDisplayState <= idle; + end if; + when idle => + if asciiSend = '1' then + fontDisplayState <= readChar; + end if; + when readChar => + fontDisplayState <= displayColumns; + when displayColumns => + if (asciiColumnCounter = 0) and (lcdBusy = '0') then + fontDisplayState <= idle; + end if; + end case; + end if; + end process fontDisplaySequencer; + + asciiBusy <= '0' when fontDisplayState = idle + else '1'; + + a0_proc: process(reset ,clock) + begin + if reset = '1' then + A0 <= '0'; + elsif rising_edge(clock) then + if asciiSend = '1' then + if unsigned(asciiData) < 32 then + A0 <= '0'; + else + A0 <= '1'; + end if; + end if; + end if; + end process a0_proc; + + + ------------------------------------------------------------------------------ + -- ascii column counter + asciiCountColums: process(reset, clock) + begin + if reset = '1' then + asciiColumnCounter <= (others => '0'); + elsif rising_edge(clock) then + if asciiColumnCounter = 0 then + if (fontDisplayState = idle) and (asciiSend = '1') then + asciiColumnCounter <= asciiColumnCounter + 1; + end if; + else + if (fontDisplayState = displayColumns) and (lcdBusy = '0') then + if asciiColumnCounter < fontColumnNb then + asciiColumnCounter <= asciiColumnCounter + 1; + else + asciiColumnCounter <= (others => '0'); + end if; + end if; + end if; + end if; + end process asciiCountColums; + ------------------------------------------------------------------------------ + -- page, column counter + counter: process(reset, clock) + begin + if reset = '1' then + pageCounter <= (others => '0'); + columnCounter <= (others => '0'); + clearDisplay <= '0'; + elsif rising_edge(clock) then + clearDisplay <= '0'; + if asciiSend = '1' then + case to_integer(unsigned(asciiData)) is + when 2 => -- Start of text (home) + pageCounter <= (others => '0'); + columnCounter <= (others => '0'); + when 3 => -- End of text (end) + pageCounter <= to_unsigned(lcdPageNb - 1,pageCounter'length); + columnCounter <= to_unsigned(lcdColumnNb - fontColumnNb, columnCounter'length); + when 8 => -- BS (backspace) (column back) + if (columnCounter - fontColumnNb) < 0 then + columnCounter <= (others => '0'); + else + columnCounter <= columnCounter - fontColumnNb; + end if; + when 10 => -- LF (linefeed) (next line) + if pageCounter = (lcdPageNb-1) then + pageCounter <= (others => '0'); + else + pageCounter <= pageCounter + 1; + end if; + when 11 => -- Vertical Tab (prev line) + if pageCounter = 0 then + pageCounter <= to_unsigned(lcdPageNb - 1,pageCounter'length); + else + pageCounter <= pageCounter - 1; + end if; + when 13 => -- CR (carriage return) (coloumn back) + columnCounter <= (others => '0'); + when 24 => -- CAN (cancel) (clear display) + clearDisplay <= '1'; + when others => + if asciiData >= x"20" then -- normal ascii char + columnCounter <= columnCounter + fontColumnNb; + end if; + end case; + end if; + end if; + end process counter; + + lcdSend <= '1' when + (fontDisplayState = displayColumns) and + (lcdBusy = '0') and + (asciiColumnCounter > 0) + else '0'; + ------------------------------------------------------------------------------ + -- Ram Data + pixelOffset <= resize( + resize(fontColumnNb-asciiColumnCounter, pixelOffset'length)*fontRowNb, + pixelOffset'length + ) when asciiColumnCounter > 0 + else (others => '0'); + pixelPage <= + pixelData( + to_integer(pixelOffset) + fontRowNb-1 downto + to_integer(pixelOffset) + lcdPageBitNb + ) & + std_ulogic_vector(resize(pageCounter,lcdPageBitNb)); + pixelColumnHigh <= + pixelData( + to_integer(pixelOffset) + fontRowNb-1 downto + to_integer(pixelOffset) + (lcdColumnBitNb/2) + ) & + std_ulogic_vector(columnCounter( + columnCounter'high downto (columnCounter'length/2) + )); + pixelColumnLow <= + pixelData( + to_integer(pixelOffset) + fontRowNb-1 downto + to_integer(pixelOffset) + (lcdColumnBitNb/2) + ) & + std_ulogic_vector(columnCounter( + (columnCounter'length/2)-1 downto columnCounter'low + )); + + buildLcdData: process( + A0, pixelData, pixelOffset, + pixelPage, pixelColumnHigh, pixelColumnLow + ) + begin + lcdData(lcdData'high) <= A0; + if A0 = '1' then + lcdData(lcdData'high-1 downto 0) <= pixelData( + to_integer(pixelOffset)+fontRowNb-1 downto to_integer(pixelOffset) + ); + elsif pixelOffset >= 40 then + lcdData(lcdData'high-1 downto 0) <= pixelData( + to_integer(pixelOffset)+fontRowNb-1 downto to_integer(pixelOffset) + ); + elsif pixelOffset >= 32 then + lcdData(lcdData'high-1 downto 0) <= pixelPage; + elsif pixelOffset >= 24 then + lcdData(lcdData'high-1 downto 0) <= pixelColumnHigh; + elsif pixelOffset >= 16 then + lcdData(lcdData'high-1 downto 0) <= pixelColumnLow; + else + lcdData(lcdData'high-1 downto 0) <= pixelData( + to_integer(pixelOffset)+fontRowNb-1 downto to_integer(pixelOffset) + ); + end if; + end process buildLcdData; + --lcdData <= A0 & pixelData(to_integer(pixelOffset)+fontRowNb-1 downto to_integer(pixelOffset)) when (A0 = '1') + -- else A0 & pixelData(to_integer(pixelOffset)+fontRowNb-1 downto to_integer(pixelOffset)) when (pixelOffset >= 40) + -- else A0 & pixelPage when (pixelOffset >= 32) + -- else A0 & pixelColumnHigh when (pixelOffset >= 24) + -- else A0 & pixelColumnLow when (pixelOffset >= 16) + -- else A0 & pixelData(to_integer(pixelOffset)+fontRowNb-1 downto to_integer(pixelOffset)); + +END ARCHITECTURE Encoder; diff --git a/Libs/Lcd/hdl/lcdInitializer_RTL.vhd b/Libs/Lcd/hdl/lcdInitializer_RTL.vhd new file mode 100644 index 0000000..8bbc6d1 --- /dev/null +++ b/Libs/Lcd/hdl/lcdInitializer_RTL.vhd @@ -0,0 +1,105 @@ +library Common; + use Common.CommonLib.all; + +ARCHITECTURE RTL OF lcdInitializer IS + + constant initializationSequenceLength: positive := 14; + type initializationDataType is array (1 to initializationSequenceLength+1) + of std_ulogic_vector(lcdData'range); + constant initializationData: initializationDataType :=( + '0' & X"40", -- Display start line 0 + '0' & X"A1", -- ADC reverse + '0' & X"C0", -- Normal COM0~COM31 + '0' & X"A6", -- Display normal + '0' & X"A2", -- Set bias 1/9 (Duty 1/33) + '0' & X"2F", -- Booster, Regulator and Follower on + '0' & X"F8", -- Set internal Booster to 3x / 4x + '0' & X"00", -- + '0' & X"23", -- Contrast set + '0' & X"81", -- + '0' & X"1F", -- + '0' & X"AC", -- No indicator + '0' & X"00", -- + '0' & X"AF", -- Display on + std_ulogic_vector(to_unsigned(0, lcdData'length)) + ); + + constant clearDisplaySequenceLength : positive := 566;--(3+132)*4 + 3; -- (3 commands + 132 columns) * 4 pages + jump back to start + constant clearDisplayDataLength : positive := 6; + type clearDisplayDataType is array (1 to clearDisplayDataLength+1) + of std_ulogic_vector(lcdData'range); + constant clearDisplayData: clearDisplayDataType :=( + -- ind seq + '0' & X"B0", -- 1 Page 0 + '0' & X"B1", -- 2 Page 1 + '0' & X"B2", -- 3 Page 2 + '0' & X"B3", -- 4 Page 3 + '0' & X"10", -- 5 Column MSB 0 + '0' & X"00", -- 6 Column LSB 0 + '1' & X"00" -- 7 Data "empty" + ); + + signal initSequenceCounter: unsigned(requiredBitNb(initializationSequenceLength+1)-1 downto 0); + signal initSequenceDone: std_ulogic; + signal clearSequenceCounter: unsigned(requiredBitNb(clearDisplaySequenceLength+1)-1 downto 0); + signal clearSequenceDone: std_ulogic; + +BEGIN + ------------------------------------------------------------------------------ + -- initialization sequence counter + buildInitSequence: process(reset, clock) + begin + if reset='1' then + initSequenceCounter <= to_unsigned(1, initSequenceCounter'length); + elsif rising_edge(clock) then + if lcdBusy = '0' then + if initSequenceDone = '0' then + initSequenceCounter <= initSequenceCounter + 1; + end if; + end if; + end if; + end process buildInitSequence; + + initSequenceDone <= '1' when initSequenceCounter > initializationSequenceLength + else '0'; + + ------------------------------------------------------------------------------ + -- clear sequence counter + buildClearSequence: process(reset, clock) + begin + if reset='1' then + clearSequenceCounter <= to_unsigned(clearDisplaySequenceLength+1, clearSequenceCounter'length); + elsif rising_edge(clock) then + if lcdBusy = '0' then + if clearDisplay = '1' and initSequenceDone = '1' then + clearSequenceCounter <= to_unsigned(1, clearSequenceCounter'length); + elsif clearSequenceDone = '0' then + clearSequenceCounter <= clearSequenceCounter + 1; + end if; + end if; + end if; + end process buildClearSequence; + + clearSequenceDone <= '1' when clearSequenceCounter > clearDisplaySequenceLength + else '0'; + + ------------------------------------------------------------------------------ + -- data multiplexer + lcdData <= columnData when (initSequenceDone = '1' and clearSequenceDone = '1') + else initializationData(to_integer(initSequenceCounter)) when (initSequenceCounter > 0 and initSequenceDone = '0') + else clearDisplayData(1) when (clearSequenceCounter = 1 or clearSequenceCounter = 564) + else clearDisplayData(2) when (clearSequenceCounter = 137) + else clearDisplayData(3) when (clearSequenceCounter = 273) + else clearDisplayData(4) when (clearSequenceCounter = 409) + else clearDisplayData(5) when (clearSequenceCounter = 2 or clearSequenceCounter = 138 or clearSequenceCounter = 274 or clearSequenceCounter = 410 or clearSequenceCounter = 565) + else clearDisplayData(6) when (clearSequenceCounter = 3 or clearSequenceCounter = 139 or clearSequenceCounter = 275 or clearSequenceCounter = 411 or clearSequenceCounter = 566) + else clearDisplayData(7); + + lcdSend <= columnSend when initSequenceDone = '1' and clearSequenceDone = '1' + else not lcdBusy when initSequenceCounter <= initializationSequenceLength + else not lcdBusy when clearSequenceCounter <= clearDisplaySequenceLength + else '0'; + columnBusy <= lcdBusy when initSequenceDone = '1' and clearSequenceDone = '1' + else '1'; + +END ARCHITECTURE RTL; diff --git a/Libs/Lcd/hdl/lcdSerializer_RTL.vhd b/Libs/Lcd/hdl/lcdSerializer_RTL.vhd new file mode 100644 index 0000000..dfee520 --- /dev/null +++ b/Libs/Lcd/hdl/lcdSerializer_RTL.vhd @@ -0,0 +1,143 @@ +library Common; + use Common.CommonLib.all; + +ARCHITECTURE RTL OF lcdSerializer IS + + ------------------------------------------------------------------------------ + -- The clock-pulse rate of the SCL line can be up to 20 MHz @3.3V + -- The clock frequency is divided by generic value "baudRateDivide" + -- The corresponding "sclEn" is further divided by 2 to generate SCL + -- + signal sclCounter: unsigned(requiredBitNb(baudRateDivide-1)-1 downto 0); + signal sclEn: std_ulogic; + signal scl_int: std_ulogic; + + ------------------------------------------------------------------------------ + -- The minimal reset pulse width is 1 us + -- "sclEn" at 40 MHz has to be divided by 40 to generate the 1 us delay + -- + constant resetCount : natural := 40; + signal resetCounter: unsigned(requiredBitNb(2*resetCount-1)-1 downto 0); + signal resetDone: std_ulogic; + + + ------------------------------------------------------------------------------ + -- Serial data bits have to be stable at the rising edge of SCL + -- Data bits will be updated at the falling edge of SCL + -- + -- Data in comprises 9 bits: A0 (as MSB) and 8 row pixels or command bits + -- A0 selects between command data (A0 = 0) and pixel data (A0 = 1) + -- + constant pixelsPerColumn : positive := data'length-1; + signal dataSampled : std_ulogic_vector(data'range); + signal chipSelect : std_ulogic; + signal updateData: std_ulogic; + signal dataCounter: unsigned(requiredBitNb(pixelsPerColumn+1)-1 downto 0); + +BEGIN + ------------------------------------------------------------------------------ + -- clock divider for SCL + divideClock: process(reset, clock) + begin + if reset='1' then + scl_int <= '0'; + sclCounter <= (others => '0'); + elsif rising_edge(clock) then + if sclEn = '1' then + sclCounter <= (others => '0'); + scl_int <= not scl_int; + else + sclCounter <= sclCounter + 1; + end if; + end if; + end process divideClock; + + sclEn <= '1' when sclCounter = baudRateDivide-1 + else '0'; + + ------------------------------------------------------------------------------ + -- LCD reset + process(clock,reset) + variable i : natural; + begin + if reset = '1' then + resetCounter <= (others => '0'); + elsif rising_edge(clock) then + if sclEn = '1' then + if resetDone = '0' then + resetCounter <= resetCounter + 1; + end if; + end if; + end if; + end process; + + resetDone <= '1' when resetCounter >= 2*resetCount-1 + else '0'; + RST_n <= '1' when resetCounter >= resetCount-1 + else '0'; + + ------------------------------------------------------------------------------ + -- sample input data + process (reset, clock) + begin + if reset = '1' then + dataSampled <= (others => '0'); + elsif rising_edge(clock) then + if send = '1' then + dataSampled <= data; + end if; + end if; + end process; + + ------------------------------------------------------------------------------ + -- A0 + A0 <= dataSampled(data'high); + + ------------------------------------------------------------------------------ + -- serialize data + updateData <= sclEn and scl_int; + + process (reset, clock) + begin + if reset = '1' then + dataCounter <= (others => '0'); + elsif rising_edge(clock) then + if resetDone = '1' then + if dataCounter = 0 then + if send = '1' then + dataCounter <= to_unsigned(pixelsPerColumn+1, dataCounter'length); + end if; + else + if updateData = '1' then + dataCounter <= dataCounter - 1; + end if; + end if; + end if; + end if; + end process; + + busy <= '1' when (resetDone = '0') or (dataCounter > 0) + else '0'; + chipSelect <= '1' when (dataCounter > 0) and (dataCounter < pixelsPerColumn+1) + else '0'; + + sampleData: process (reset, clock) + begin + if reset = '1' then + CS_n <= '1'; + SCL <= '1'; + SI <= '1'; + elsif rising_edge(clock) then + if chipSelect = '1' then + CS_n <= '0'; + SCL <= scl_int or not(chipSelect); + SI <= dataSampled(to_integer(dataCounter-1)); + else + CS_n <= '1'; + SCL <= '1'; + SI <= '1'; + end if; + end if; + end process sampleData; + +END ARCHITECTURE RTL; diff --git a/Libs/Lcd/hds/.hdlsidedata/_helloWorld_RTL.vhd._fpf b/Libs/Lcd/hds/.hdlsidedata/_helloWorld_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Lcd/hds/.hdlsidedata/_helloWorld_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Lcd/hds/.hdlsidedata/_helloworld_entity.vhg._fpf b/Libs/Lcd/hds/.hdlsidedata/_helloworld_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Lcd/hds/.hdlsidedata/_helloworld_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Lcd/hds/.hdlsidedata/_lcdCharacterEncoder_RTL.vhd._fpf b/Libs/Lcd/hds/.hdlsidedata/_lcdCharacterEncoder_RTL.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Lcd/hds/.hdlsidedata/_lcdCharacterEncoder_RTL.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Lcd/hds/.hdlsidedata/_lcdInitializer_RTL.vhd._fpf b/Libs/Lcd/hds/.hdlsidedata/_lcdInitializer_RTL.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Lcd/hds/.hdlsidedata/_lcdInitializer_RTL.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Lcd/hds/.hdlsidedata/_lcdSerializer_RTL.vhd._fpf b/Libs/Lcd/hds/.hdlsidedata/_lcdSerializer_RTL.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Lcd/hds/.hdlsidedata/_lcdSerializer_RTL.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Lcd/hds/.hdlsidedata/_lcdcharacterencoder_entity.vhg._fpf b/Libs/Lcd/hds/.hdlsidedata/_lcdcharacterencoder_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Lcd/hds/.hdlsidedata/_lcdcharacterencoder_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Lcd/hds/.hdlsidedata/_lcdcontroller_entity.vhg._fpf b/Libs/Lcd/hds/.hdlsidedata/_lcdcontroller_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Lcd/hds/.hdlsidedata/_lcdcontroller_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Lcd/hds/.hdlsidedata/_lcdcontroller_struct.vhg._fpf b/Libs/Lcd/hds/.hdlsidedata/_lcdcontroller_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Lcd/hds/.hdlsidedata/_lcdcontroller_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Lcd/hds/.hdlsidedata/_lcdinitializer_entity.vhg._fpf b/Libs/Lcd/hds/.hdlsidedata/_lcdinitializer_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Lcd/hds/.hdlsidedata/_lcdinitializer_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Lcd/hds/.hdlsidedata/_lcdserializer_entity.vhg._fpf b/Libs/Lcd/hds/.hdlsidedata/_lcdserializer_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Lcd/hds/.hdlsidedata/_lcdserializer_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Lcd/hds/_helloworld._epf b/Libs/Lcd/hds/_helloworld._epf new file mode 100644 index 0000000..28e56b5 --- /dev/null +++ b/Libs/Lcd/hds/_helloworld._epf @@ -0,0 +1,3 @@ +TOP_MARKER atom 0 +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom helloWorld_RTL.vhd diff --git a/Libs/Lcd/hds/_lcdcharacterencoder._epf b/Libs/Lcd/hds/_lcdcharacterencoder._epf new file mode 100644 index 0000000..358609b --- /dev/null +++ b/Libs/Lcd/hds/_lcdcharacterencoder._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom lcdCharacterEncoder_RTL.vhd +DEFAULT_ARCHITECTURE atom Encoder diff --git a/Libs/Lcd/hds/_lcdcontroller._epf b/Libs/Lcd/hds/_lcdcontroller._epf new file mode 100644 index 0000000..e6e0541 --- /dev/null +++ b/Libs/Lcd/hds/_lcdcontroller._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom lcd@controller/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Lcd/hds/_lcddemo._epf b/Libs/Lcd/hds/_lcddemo._epf new file mode 100644 index 0000000..9cb5bdd --- /dev/null +++ b/Libs/Lcd/hds/_lcddemo._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom lcd@demo/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Lcd/hds/_lcdinitializer._epf b/Libs/Lcd/hds/_lcdinitializer._epf new file mode 100644 index 0000000..ffe6a0b --- /dev/null +++ b/Libs/Lcd/hds/_lcdinitializer._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom lcdInitializer_RTL.vhd +DEFAULT_ARCHITECTURE atom RTL diff --git a/Libs/Lcd/hds/_lcdserializer._epf b/Libs/Lcd/hds/_lcdserializer._epf new file mode 100644 index 0000000..f147e2a --- /dev/null +++ b/Libs/Lcd/hds/_lcdserializer._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom lcdSerializer_RTL.vhd +DEFAULT_ARCHITECTURE atom RTL diff --git a/Libs/Lcd/hds/hello@world/symbol.sb b/Libs/Lcd/hds/hello@world/symbol.sb new file mode 100644 index 0000000..af9df0f --- /dev/null +++ b/Libs/Lcd/hds/hello@world/symbol.sb @@ -0,0 +1,1650 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "24.1" +appVersion "2009.2 (Build 10)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 30,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 21,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 111,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 2,0 +) +) +uid 113,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 3 +suid 11,0 +) +) +uid 798,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "send" +t "std_ulogic" +o 4 +suid 21,0 +) +) +uid 1608,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "busy" +t "std_ulogic" +o 5 +suid 29,0 +) +) +uid 2616,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "button" +t "std_ulogic" +o 6 +suid 30,0 +) +) +uid 2719,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 34,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 36,0 +optionalChildren [ +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 37,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 38,0 +) +*24 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 39,0 +) +*25 (MRCItem +litem &14 +pos 1 +dimension 20 +uid 112,0 +) +*26 (MRCItem +litem &15 +pos 0 +dimension 20 +uid 114,0 +) +*27 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 797,0 +) +*28 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 1607,0 +) +*29 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 2615,0 +) +*30 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 2720,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 40,0 +optionalChildren [ +*31 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 41,0 +) +*32 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 42,0 +) +*33 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 43,0 +) +*34 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 44,0 +) +*35 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 45,0 +) +*36 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 46,0 +) +*37 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 47,0 +) +*38 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 48,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 35,0 +vaOverrides [ +] +) +] +) +uid 20,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 50,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "asciiBitNb" +type "positive" +value "7" +) +uid 805,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 62,0 +optionalChildren [ +*52 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *53 (MRCItem +litem &39 +pos 1 +dimension 20 +) +uid 64,0 +optionalChildren [ +*54 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 65,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 66,0 +) +*56 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 67,0 +) +*57 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 804,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 68,0 +optionalChildren [ +*58 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 69,0 +) +*59 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 70,0 +) +*60 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 71,0 +) +*61 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 72,0 +) +*62 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 73,0 +) +*63 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 74,0 +) +*64 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 75,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 63,0 +vaOverrides [ +] +) +] +) +uid 49,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "d:\\Workspaces\\svn\\eda\\VHDL\\ELN_board\\..\\Libs\\Lcd\\hdl" +) +(vvPair +variable "HDSDir" +value "d:\\Workspaces\\svn\\eda\\VHDL\\ELN_board\\..\\Libs\\Lcd\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "d:\\Workspaces\\svn\\eda\\VHDL\\ELN_board\\..\\Libs\\Lcd\\hds\\hello@world\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "d:\\Workspaces\\svn\\eda\\VHDL\\ELN_board\\..\\Libs\\Lcd\\hds\\hello@world\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "d:\\Workspaces\\svn\\eda\\VHDL\\ELN_board\\..\\Libs\\Lcd\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concat" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "d:\\Workspaces\\svn\\eda\\VHDL\\ELN_board\\..\\Libs\\Lcd\\hds\\hello@world" +) +(vvPair +variable "d_logical" +value "d:\\Workspaces\\svn\\eda\\VHDL\\ELN_board\\..\\Libs\\Lcd\\hds\\helloWorld" +) +(vvPair +variable "date" +value "22.08.2013" +) +(vvPair +variable "day" +value "Do" +) +(vvPair +variable "day_long" +value "Donnerstag" +) +(vvPair +variable "dd" +value "22" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "helloWorld" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE3877" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lcd" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../libs/SPI/concat" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../libs/SPI/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/$DESIGN_NAME/Lcd/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "helloWorld" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "d:\\Workspaces\\svn\\eda\\VHDL\\ELN_board\\..\\Libs\\Lcd\\hds\\hello@world\\symbol.sb" +) +(vvPair +variable "p_logical" +value "d:\\Workspaces\\svn\\eda\\VHDL\\ELN_board\\..\\Libs\\Lcd\\hds\\helloWorld\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "16:01:40" +) +(vvPair +variable "unit" +value "helloWorld" +) +(vvPair +variable "user" +value "uadmin" +) +(vvPair +variable "version" +value "2009.2 (Build 10)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2013" +) +(vvPair +variable "yy" +value "13" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 19,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,23625,8000,24375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +font "Verdana,9,0" +) +xt "9000,23400,12400,24600" +st "clock" +blo "9000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,8600,-12000,9400" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*67 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,25625,8000,26375" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +font "Verdana,9,0" +) +xt "9000,25400,12300,26600" +st "reset" +blo "9000,26400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,7800,-12000,8600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 2,0 +) +) +) +*68 (CptPort +uid 799,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2744,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,19625,24750,20375" +) +tg (CPTG +uid 801,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 802,0 +va (VaSet +font "Verdana,9,0" +) +xt "19900,19400,23000,20600" +st "ascii" +ju 2 +blo "23000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 803,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,9400,3500,10200" +st "ascii : OUT std_ulogic_vector (asciiBitNb-1 downto 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 3 +suid 11,0 +) +) +) +*69 (CptPort +uid 1611,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2745,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,21625,24750,22375" +) +tg (CPTG +uid 1613,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1614,0 +va (VaSet +font "Verdana,9,0" +) +xt "19900,21400,23000,22600" +st "send" +ju 2 +blo "23000,22400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1615,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,10200,-12000,11000" +st "send : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "send" +t "std_ulogic" +o 4 +suid 21,0 +) +) +) +*70 (CptPort +uid 2617,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2746,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,23625,24750,24375" +) +tg (CPTG +uid 2619,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2620,0 +va (VaSet +font "Verdana,9,0" +) +xt "19900,23400,23000,24600" +st "busy" +ju 2 +blo "23000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2621,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,11000,-12000,11800" +st "busy : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "busy" +t "std_ulogic" +o 5 +suid 29,0 +) +) +) +*71 (CptPort +uid 2714,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2715,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,19625,8000,20375" +) +tg (CPTG +uid 2716,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2717,0 +va (VaSet +font "Verdana,9,0" +) +xt "9000,19400,12900,20600" +st "button" +blo "9000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2718,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,11800,-13000,12600" +st "button : IN std_ulogic " +) +thePort (LogicalPort +decl (Decl +n "button" +t "std_ulogic" +o 6 +suid 30,0 +) +) +) +] +shape (Rectangle +uid 148,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "8000,16000,24000,28000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "8600,27800,10900,29000" +st "Lcd" +blo "8600,28800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "8600,29000,15200,30200" +st "helloWorld" +blo "8600,30000" +) +) +gi *72 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "8000,31800,21000,34200" +st "Generic Declarations + +asciiBitNb positive 7 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "asciiBitNb" +type "positive" +value "7" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +*73 (Grouping +uid 2491,0 +optionalChildren [ +*74 (CommentText +uid 2493,0 +shape (Rectangle +uid 2494,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "24000,41000,43000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 2495,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "24200,41500,34300,42500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*75 (CommentText +uid 2496,0 +shape (Rectangle +uid 2497,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-8000,41000,18000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 2498,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "-750,41250,10750,42750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*76 (CommentText +uid 2499,0 +shape (Rectangle +uid 2500,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-3000,47000,18000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 2501,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-2800,47500,7200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 2502,0 +shape (Rectangle +uid 2503,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,41000,24000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 2504,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "18200,41500,21200,42500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*78 (CommentText +uid 2505,0 +shape (Rectangle +uid 2506,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-3000,43000,18000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 2507,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-2800,43500,7200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*79 (CommentText +uid 2508,0 +shape (Rectangle +uid 2509,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-8000,43000,-3000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 2510,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-7800,43500,-5700,44500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*80 (CommentText +uid 2511,0 +shape (Rectangle +uid 2512,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-8000,45000,-3000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 2513,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-7800,45500,-5700,46500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*81 (CommentText +uid 2514,0 +shape (Rectangle +uid 2515,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,43000,43000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 2516,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "18200,43200,27400,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*82 (CommentText +uid 2517,0 +shape (Rectangle +uid 2518,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-3000,45000,18000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 2519,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-2800,45500,3700,46500" +st " + +%library/%unit/%view + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*83 (CommentText +uid 2520,0 +shape (Rectangle +uid 2521,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-8000,47000,-3000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 2522,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-7800,47500,-5100,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 2492,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "-8000,41000,43000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *84 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "-30000,0,-22400,1200" +st "Package List" +blo "-30000,1000" +) +*86 (MLText +uid 18,0 +va (VaSet +font "Verdana,9,0" +) +xt "-30000,1200,-12500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "153,35,1441,894" +viewArea "-31000,-1000,45320,49688" +cachedDiagramExtent "-30000,0,43000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-30000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,9,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22200,15000,27600,16200" +st "" +blo "22200,16000" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22200,16200,26100,17400" +st "" +blo "22200,17200" +) +) +gi *87 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,2500,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,4300,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *88 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "-30000,5400,-22600,6600" +st "Declarations" +blo "-30000,6400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "-30000,6600,-26300,7800" +st "Ports:" +blo "-30000,7600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "-30000,12600,-26800,13800" +st "User:" +blo "-30000,13600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-30000,5400,-21800,6600" +st "Internal User:" +blo "-30000,6400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,13800,-28000,13800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-30000,5400,-30000,5400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 2746,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Lcd/hds/lcd@character@encoder/symbol.sb b/Libs/Lcd/hds/lcd@character@encoder/symbol.sb new file mode 100644 index 0000000..f95bd99 --- /dev/null +++ b/Libs/Lcd/hds/lcd@character@encoder/symbol.sb @@ -0,0 +1,2071 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2015.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 30,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 21,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "asciiData" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 4 +suid 1,0 +) +) +uid 106,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "lcdData" +t "std_ulogic_vector" +b "(lcdDataBitNb-1 downto 0)" +o 3 +suid 2,0 +) +) +uid 108,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 7,0 +) +) +uid 229,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 8,0 +) +) +uid 231,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "lcdBusy" +t "std_ulogic" +o 5 +suid 12,0 +) +) +uid 337,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "asciiBusy" +t "std_ulogic" +o 6 +suid 20,0 +) +) +uid 618,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "asciiSend" +t "std_ulogic" +o 7 +suid 22,0 +) +) +uid 676,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "lcdSend" +t "std_ulogic" +o 8 +suid 26,0 +) +) +uid 708,0 +) +*22 (LogPort +port (LogicalPort +decl (Decl +n "pixelData" +t "std_ulogic_vector" +b "(fontColumnNb*fontRowNb-1 downto 0)" +o 9 +suid 28,0 +) +) +uid 954,0 +) +*23 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clearDisplay" +t "std_ulogic" +o 10 +suid 29,0 +) +) +uid 1177,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 34,0 +optionalChildren [ +*24 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *25 (MRCItem +litem &1 +pos 10 +dimension 20 +) +uid 36,0 +optionalChildren [ +*26 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 37,0 +) +*27 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 38,0 +) +*28 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 39,0 +) +*29 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 107,0 +) +*30 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 109,0 +) +*31 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 230,0 +) +*32 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 232,0 +) +*33 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 338,0 +) +*34 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 619,0 +) +*35 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 677,0 +) +*36 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 709,0 +) +*37 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 955,0 +) +*38 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 1178,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 40,0 +optionalChildren [ +*39 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 41,0 +) +*40 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 42,0 +) +*41 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 43,0 +) +*42 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 44,0 +) +*43 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 45,0 +) +*44 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 46,0 +) +*45 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 47,0 +) +*46 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 48,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 35,0 +vaOverrides [ +] +) +] +) +uid 20,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *47 (LEmptyRow +) +uid 50,0 +optionalChildren [ +*48 (RefLabelRowHdr +) +*49 (TitleRowHdr +) +*50 (FilterRowHdr +) +*51 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*52 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*53 (GroupColHdr +tm "GroupColHdrMgr" +) +*54 (NameColHdr +tm "GenericNameColHdrMgr" +) +*55 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*56 (InitColHdr +tm "GenericValueColHdrMgr" +) +*57 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*58 (EolColHdr +tm "GenericEolColHdrMgr" +) +*59 (LogGeneric +generic (GiElement +name "lcdDataBitNb" +type "positive" +value "8" +) +uid 142,0 +) +*60 (LogGeneric +generic (GiElement +name "asciiBitNb" +type "positive" +value "7" +) +uid 144,0 +) +*61 (LogGeneric +generic (GiElement +name "fontColumnNb" +type "positive" +value "6" +) +uid 1072,0 +) +*62 (LogGeneric +generic (GiElement +name "fontRowNb" +type "positive" +value "8" +) +uid 1097,0 +) +*63 (LogGeneric +generic (GiElement +name "lcdPageNb" +type "positive" +value "4" +) +uid 1122,0 +) +*64 (LogGeneric +generic (GiElement +name "lcdColumnNb" +type "positive" +value "8" +) +uid 1147,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 62,0 +optionalChildren [ +*65 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *66 (MRCItem +litem &47 +pos 6 +dimension 20 +) +uid 64,0 +optionalChildren [ +*67 (MRCItem +litem &48 +pos 0 +dimension 20 +uid 65,0 +) +*68 (MRCItem +litem &49 +pos 1 +dimension 23 +uid 66,0 +) +*69 (MRCItem +litem &50 +pos 2 +hidden 1 +dimension 20 +uid 67,0 +) +*70 (MRCItem +litem &59 +pos 1 +dimension 20 +uid 143,0 +) +*71 (MRCItem +litem &60 +pos 0 +dimension 20 +uid 145,0 +) +*72 (MRCItem +litem &61 +pos 2 +dimension 20 +uid 1073,0 +) +*73 (MRCItem +litem &62 +pos 3 +dimension 20 +uid 1098,0 +) +*74 (MRCItem +litem &63 +pos 4 +dimension 20 +uid 1123,0 +) +*75 (MRCItem +litem &64 +pos 5 +dimension 20 +uid 1148,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 68,0 +optionalChildren [ +*76 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 69,0 +) +*77 (MRCItem +litem &53 +pos 1 +dimension 50 +uid 70,0 +) +*78 (MRCItem +litem &54 +pos 2 +dimension 100 +uid 71,0 +) +*79 (MRCItem +litem &55 +pos 3 +dimension 100 +uid 72,0 +) +*80 (MRCItem +litem &56 +pos 4 +dimension 95 +uid 73,0 +) +*81 (MRCItem +litem &57 +pos 5 +dimension 50 +uid 74,0 +) +*82 (MRCItem +litem &58 +pos 6 +dimension 80 +uid 75,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 63,0 +vaOverrides [ +] +) +] +) +uid 49,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "R:\\SYND\\Ele_2131\\ELN\\Labs\\Libraries\\Lcd\\hdl" +) +(vvPair +variable "HDSDir" +value "R:\\SYND\\Ele_2131\\ELN\\Labs\\Libraries\\Lcd\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "R:\\SYND\\Ele_2131\\ELN\\Labs\\Libraries\\Lcd\\hds\\lcd@character@encoder\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "R:\\SYND\\Ele_2131\\ELN\\Labs\\Libraries\\Lcd\\hds\\lcd@character@encoder\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "R:\\SYND\\Ele_2131\\ELN\\Labs\\Libraries\\Lcd\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "R:\\SYND\\Ele_2131\\ELN\\Labs\\Libraries\\Lcd\\hds\\lcd@character@encoder" +) +(vvPair +variable "d_logical" +value "R:\\SYND\\Ele_2131\\ELN\\Labs\\Libraries\\Lcd\\hds\\lcdCharacterEncoder" +) +(vvPair +variable "date" +value "14.12.2017" +) +(vvPair +variable "day" +value "Do" +) +(vvPair +variable "day_long" +value "Donnerstag" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdCharacterEncoder" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "oliver.gubler" +) +(vvPair +variable "graphical_source_date" +value "14.12.2017" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE5370" +) +(vvPair +variable "graphical_source_time" +value "10:21:59" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE5370" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "LCD" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../libs/SPI/concat" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../libs/SPI/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HEI_LIBS_DIR/LCD/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "lcdCharacterEncoder" +) +(vvPair +variable "month" +value "Dez" +) +(vvPair +variable "month_long" +value "Dezember" +) +(vvPair +variable "p" +value "R:\\SYND\\Ele_2131\\ELN\\Labs\\Libraries\\Lcd\\hds\\lcd@character@encoder\\symbol.sb" +) +(vvPair +variable "p_logical" +value "R:\\SYND\\Ele_2131\\ELN\\Labs\\Libraries\\Lcd\\hds\\lcdCharacterEncoder\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "ElN_chrono" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_ActelPath" +value "$LIBERO_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$LIBERO_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_LiberoDir" +value "$HDS_LIBERO_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "10:21:59" +) +(vvPair +variable "unit" +value "lcdCharacterEncoder" +) +(vvPair +variable "user" +value "oliver.gubler" +) +(vvPair +variable "version" +value "2015.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2017" +) +(vvPair +variable "yy" +value "17" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 19,0 +optionalChildren [ +*83 (SymbolBody +uid 8,0 +optionalChildren [ +*84 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,-4375,40000,-3625" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +font "Verdana,9,0" +) +xt "41000,-4600,46500,-3400" +st "asciiData" +blo "41000,-3600" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-11000,36500,-10200" +st "asciiData : IN std_ulogic_vector (asciiBitNb-1 downto 0) ;" +) +thePort (LogicalPort +decl (Decl +n "asciiData" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 4 +suid 1,0 +) +) +) +*85 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,-4375,56750,-3625" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +font "Verdana,9,0" +) +xt "50400,-4600,55000,-3400" +st "lcdData" +ju 2 +blo "55000,-3600" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-11800,37500,-11000" +st "lcdData : OUT std_ulogic_vector (lcdDataBitNb-1 downto 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "lcdData" +t "std_ulogic_vector" +b "(lcdDataBitNb-1 downto 0)" +o 3 +suid 2,0 +) +) +) +*86 (CptPort +uid 219,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 220,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,3625,40000,4375" +) +tg (CPTG +uid 221,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 222,0 +va (VaSet +font "Verdana,9,0" +) +xt "41000,3400,44400,4600" +st "clock" +blo "41000,4400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 223,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-13400,21000,-12600" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 7,0 +) +) +) +*87 (CptPort +uid 224,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 225,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,5625,40000,6375" +) +tg (CPTG +uid 226,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 227,0 +va (VaSet +font "Verdana,9,0" +) +xt "41000,5400,44300,6600" +st "reset" +blo "41000,6400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 228,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-12600,21000,-11800" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 8,0 +) +) +) +*88 (CptPort +uid 332,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 333,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,-375,56750,375" +) +tg (CPTG +uid 334,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 335,0 +va (VaSet +font "Verdana,9,0" +) +xt "50300,-600,55000,600" +st "lcdBusy" +ju 2 +blo "55000,400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 336,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-10200,21000,-9400" +st "lcdBusy : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "lcdBusy" +t "std_ulogic" +o 5 +suid 12,0 +) +) +) +*89 (CptPort +uid 613,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 614,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,-375,40000,375" +) +tg (CPTG +uid 615,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 616,0 +va (VaSet +font "Verdana,9,0" +) +xt "41000,-600,46600,600" +st "asciiBusy" +blo "41000,400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 617,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-9400,21000,-8600" +st "asciiBusy : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "asciiBusy" +t "std_ulogic" +o 6 +suid 20,0 +) +) +) +*90 (CptPort +uid 666,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 667,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,-2375,40000,-1625" +) +tg (CPTG +uid 668,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 669,0 +va (VaSet +font "Verdana,9,0" +) +xt "41000,-2600,46600,-1400" +st "asciiSend" +blo "41000,-1600" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 670,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-8600,21000,-7800" +st "asciiSend : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "asciiSend" +t "std_ulogic" +o 7 +suid 22,0 +) +) +) +*91 (CptPort +uid 703,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 704,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,-2375,56750,-1625" +) +tg (CPTG +uid 705,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 706,0 +va (VaSet +font "Verdana,9,0" +) +xt "50300,-2600,55000,-1400" +st "lcdSend" +ju 2 +blo "55000,-1600" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 707,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-7800,21000,-7000" +st "lcdSend : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "lcdSend" +t "std_ulogic" +o 8 +suid 26,0 +) +) +) +*92 (CptPort +uid 949,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1048,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,2625,56750,3375" +) +tg (CPTG +uid 951,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 952,0 +va (VaSet +font "Verdana,9,0" +) +xt "49500,2400,55000,3600" +st "pixelData" +ju 2 +blo "55000,3400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 953,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-7000,42500,-6200" +st "pixelData : IN std_ulogic_vector (fontColumnNb*fontRowNb-1 downto 0) ;" +) +thePort (LogicalPort +decl (Decl +n "pixelData" +t "std_ulogic_vector" +b "(fontColumnNb*fontRowNb-1 downto 0)" +o 9 +suid 28,0 +) +) +) +*93 (CptPort +uid 1172,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1173,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,-6375,56750,-5625" +) +tg (CPTG +uid 1174,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1175,0 +va (VaSet +font "Verdana,9,0" +) +xt "47300,-6600,55000,-5400" +st "clearDisplay" +ju 2 +blo "55000,-5600" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1176,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-6200,20000,-5400" +st "clearDisplay : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "clearDisplay" +t "std_ulogic" +o 10 +suid 29,0 +) +) +) +] +shape (Rectangle +uid 141,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "40000,-8000,56000,8000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "40750,8000,43250,9200" +st "LCD" +blo "40750,9000" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "40750,9200,52050,10400" +st "lcdCharacterEncoder" +blo "40750,10200" +) +) +gi *94 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "40000,12000,54000,18400" +st "Generic Declarations + +asciiBitNb positive 7 +lcdDataBitNb positive 8 +fontColumnNb positive 6 +fontRowNb positive 8 +lcdPageNb positive 4 +lcdColumnNb positive 8 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "asciiBitNb" +type "positive" +value "7" +) +(GiElement +name "lcdDataBitNb" +type "positive" +value "8" +) +(GiElement +name "fontColumnNb" +type "positive" +value "6" +) +(GiElement +name "fontRowNb" +type "positive" +value "8" +) +(GiElement +name "lcdPageNb" +type "positive" +value "4" +) +(GiElement +name "lcdColumnNb" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +*95 (Grouping +uid 848,0 +optionalChildren [ +*96 (CommentText +uid 850,0 +shape (Rectangle +uid 851,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,26000,53000,27000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 852,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,26000,46100,27000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*97 (CommentText +uid 853,0 +shape (Rectangle +uid 854,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,22000,57000,23000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 855,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,22000,56200,23000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*98 (CommentText +uid 856,0 +shape (Rectangle +uid 857,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,24000,53000,25000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 858,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,24000,46200,25000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*99 (CommentText +uid 859,0 +shape (Rectangle +uid 860,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,24000,36000,25000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 861,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,24000,34300,25000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*100 (CommentText +uid 862,0 +shape (Rectangle +uid 863,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,23000,73000,27000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 864,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,23200,62400,24200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*101 (CommentText +uid 865,0 +shape (Rectangle +uid 866,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,22000,73000,23000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 867,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,22000,58800,23000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*102 (CommentText +uid 868,0 +shape (Rectangle +uid 869,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,22000,53000,24000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 870,0 +va (VaSet +fg "32768,0,0" +) +xt "39150,22500,45850,23500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*103 (CommentText +uid 871,0 +shape (Rectangle +uid 872,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,25000,36000,26000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 873,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,25000,34300,26000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*104 (CommentText +uid 874,0 +shape (Rectangle +uid 875,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,26000,36000,27000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 876,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,26000,34900,27000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*105 (CommentText +uid 877,0 +shape (Rectangle +uid 878,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,25000,53000,26000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 879,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,25000,48500,26000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 849,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,22000,73000,27000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *106 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*107 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-22000,7600,-20800" +st "Package List" +blo "0,-21000" +) +*108 (MLText +uid 18,0 +va (VaSet +font "Verdana,9,0" +) +xt "0,-20800,17500,-17200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "136,36,1445,900" +viewArea "29600,-16000,69597,10233" +cachedDiagramExtent "0,-22000,73000,27000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-22000" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,9,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22200,15000,27600,16200" +st "" +blo "22200,16000" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22200,16200,26100,17400" +st "" +blo "22200,17200" +) +) +gi *109 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,2500,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,4300,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *110 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-15800,7400,-14600" +st "Declarations" +blo "0,-14800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-14600,3700,-13400" +st "Ports:" +blo "0,-13600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-5400,3200,-4200" +st "User:" +blo "0,-4400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "0,-15800,8200,-14600" +st "Internal User:" +blo "0,-14800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-4200,2000,-4200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,-15800,0,-15800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 1201,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Lcd/hds/lcd@controller/struct.bd b/Libs/Lcd/hds/lcd@controller/struct.bd new file mode 100644 index 0000000..4422399 --- /dev/null +++ b/Libs/Lcd/hds/lcd@controller/struct.bd @@ -0,0 +1,7037 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +instances [ +(Instance +name "I_rom" +duLibraryName "Memory" +duName "bramDualportWritefirst" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "asciiBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "characterPixelNb" +) +(GiElement +name "initFile" +type "string" +value "\"$SIMULATION_DIR/lcdFont.txt\"" +) +] +mwi 0 +uid 12026,0 +) +(Instance +name "I_ser" +duLibraryName "Lcd" +duName "lcdSerializer" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "lcdDataBitNb" +) +(GiElement +name "baudRateDivide" +type "integer" +value "baudRateDivide" +) +] +mwi 0 +uid 12076,0 +) +(Instance +name "I_init" +duLibraryName "Lcd" +duName "lcdInitializer" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "lcdDataBitNb" +) +] +mwi 0 +uid 12519,0 +) +(Instance +name "I_font" +duLibraryName "Lcd" +duName "lcdCharacterEncoder" +elements [ +(GiElement +name "asciiBitNb" +type "positive" +value "asciiBitNb" +) +(GiElement +name "lcdDataBitNb" +type "positive" +value "lcdDataBitNb" +) +(GiElement +name "fontColumnNb" +type "positive" +value "fontColumnNb" +) +(GiElement +name "fontRowNb" +type "positive" +value "fontRowNb" +) +(GiElement +name "lcdPageNb" +type "positive" +value "lcdPageNb" +) +(GiElement +name "lcdColumnNb" +type "positive" +value "lcdColumnNb" +) +] +mwi 0 +uid 12569,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@controller\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@controller\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@controller" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcdController" +) +(vvPair +variable "date" +value "14.10.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdController" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "14.10.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "10:35:46" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "LCD" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../libs/SPI/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../libs/SPI/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/LCD/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "mm" +value "10" +) +(vvPair +variable "module_name" +value "lcdController" +) +(vvPair +variable "month" +value "Oct" +) +(vvPair +variable "month_long" +value "October" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@controller\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcdController\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_ActelPath" +value "D:\\Projects\\Trypano\\ControlBoard\\IglooTester\\Board\\actel\\boardTester" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "10:35:46" +) +(vvPair +variable "unit" +value "lcdController" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 41,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,89000,38000,90000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,89500,21200,89500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "38000,85000,42000,86000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "38200,85500,38200,85500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,87000,38000,88000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,87500,21200,87500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "17000,87000,21000,88000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "17200,87500,17200,87500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "38000,86000,58000,90000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "38200,86200,52300,87400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,85000,58000,86000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,85500,42200,85500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "17000,85000,38000,87000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "22350,85400,32650,86600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "17000,88000,21000,89000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "17200,88500,17200,88500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "17000,89000,21000,90000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "17200,89500,17200,89500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,88000,38000,89000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,88500,21200,88500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "17000,85000,58000,90000" +) +oxt "14000,66000,55000,71000" +) +*12 (Net +uid 6676,0 +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 115,0 +) +declText (MLText +uid 6677,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,56300,-63400,57300" +st "reset : std_ulogic +" +) +) +*13 (PortIoOut +uid 6678,0 +shape (CompositeShape +uid 6679,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6680,0 +sl 0 +ro 270 +xt "40500,16625,42000,17375" +) +(Line +uid 6681,0 +sl 0 +ro 270 +xt "40000,17000,40500,17000" +pts [ +"40000,17000" +"40500,17000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6682,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6683,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "43000,16300,44400,17600" +st "SI" +blo "43000,17300" +tm "WireNameMgr" +) +) +) +*14 (PortIoOut +uid 6684,0 +shape (CompositeShape +uid 6685,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6686,0 +sl 0 +ro 270 +xt "40500,18625,42000,19375" +) +(Line +uid 6687,0 +sl 0 +ro 270 +xt "40000,19000,40500,19000" +pts [ +"40000,19000" +"40500,19000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6688,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6689,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "43000,18300,45100,19600" +st "SCL" +blo "43000,19300" +tm "WireNameMgr" +) +) +) +*15 (PortIoOut +uid 6690,0 +shape (CompositeShape +uid 6691,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6692,0 +sl 0 +ro 270 +xt "40500,20625,42000,21375" +) +(Line +uid 6693,0 +sl 0 +ro 270 +xt "40000,21000,40500,21000" +pts [ +"40000,21000" +"40500,21000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6694,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6695,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "43000,20300,44400,21600" +st "A0" +blo "43000,21300" +tm "WireNameMgr" +) +) +) +*16 (PortIoOut +uid 6696,0 +shape (CompositeShape +uid 6697,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6698,0 +sl 0 +ro 270 +xt "40500,24625,42000,25375" +) +(Line +uid 6699,0 +sl 0 +ro 270 +xt "40000,25000,40500,25000" +pts [ +"40000,25000" +"40500,25000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6700,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6701,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "43000,24300,46500,25600" +st "CS1_n" +blo "43000,25300" +tm "WireNameMgr" +) +) +) +*17 (PortIoOut +uid 6734,0 +shape (CompositeShape +uid 6735,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6736,0 +sl 0 +ro 270 +xt "40500,22625,42000,23375" +) +(Line +uid 6737,0 +sl 0 +ro 270 +xt "40000,23000,40500,23000" +pts [ +"40000,23000" +"40500,23000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6738,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6739,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "43000,22300,46500,23600" +st "RST_n" +blo "43000,23300" +tm "WireNameMgr" +) +) +) +*18 (Net +uid 6748,0 +decl (Decl +n "SI" +t "std_ulogic" +o 2 +suid 121,0 +) +declText (MLText +uid 6749,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,57300,-63700,58300" +st "SI : std_ulogic +" +) +) +*19 (Net +uid 6750,0 +decl (Decl +n "SCL" +t "std_ulogic" +o 3 +suid 122,0 +) +declText (MLText +uid 6751,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,58300,-63300,59300" +st "SCL : std_ulogic +" +) +) +*20 (Net +uid 6752,0 +decl (Decl +n "A0" +t "std_ulogic" +o 4 +suid 123,0 +) +declText (MLText +uid 6753,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,59300,-63500,60300" +st "A0 : std_ulogic +" +) +) +*21 (Net +uid 7909,0 +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 139,0 +) +declText (MLText +uid 7910,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,62300,-63400,63300" +st "clock : std_ulogic +" +) +) +*22 (PortIoIn +uid 8031,0 +shape (CompositeShape +uid 8032,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 8033,0 +sl 0 +ro 270 +xt "-58000,16625,-56500,17375" +) +(Line +uid 8034,0 +sl 0 +ro 270 +xt "-56500,17000,-56000,17000" +pts [ +"-56500,17000" +"-56000,17000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 8035,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 8036,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-62500,16300,-59000,17600" +st "ascii" +ju 2 +blo "-59000,17300" +tm "WireNameMgr" +) +) +) +*23 (Net +uid 8170,0 +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 8 +suid 158,0 +) +declText (MLText +uid 8171,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,63300,-50600,64300" +st "ascii : std_ulogic_vector(asciiBitNb-1 downto 0) +" +) +) +*24 (PortIoOut +uid 9321,0 +shape (CompositeShape +uid 9322,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 9323,0 +sl 0 +ro 90 +xt "-58000,20625,-56500,21375" +) +(Line +uid 9324,0 +sl 0 +ro 90 +xt "-56500,21000,-56000,21000" +pts [ +"-56000,21000" +"-56500,21000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 9325,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9326,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-61800,20300,-59000,21600" +st "busy" +ju 2 +blo "-59000,21300" +tm "WireNameMgr" +) +) +) +*25 (PortIoIn +uid 9455,0 +shape (CompositeShape +uid 9456,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 9457,0 +sl 0 +ro 270 +xt "-58000,18625,-56500,19375" +) +(Line +uid 9458,0 +sl 0 +ro 270 +xt "-56500,19000,-56000,19000" +pts [ +"-56500,19000" +"-56000,19000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 9459,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9460,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-61800,18300,-59000,19600" +st "send" +ju 2 +blo "-59000,19300" +tm "WireNameMgr" +) +) +) +*26 (Net +uid 9467,0 +decl (Decl +n "send" +t "std_ulogic" +o 9 +suid 176,0 +) +declText (MLText +uid 9468,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,64300,-63200,65300" +st "send : std_ulogic +" +) +) +*27 (Net +uid 9948,0 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 5 +suid 182,0 +) +declText (MLText +uid 9949,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,60300,-62800,61300" +st "CS1_n : std_ulogic +" +) +) +*28 (Net +uid 9950,0 +decl (Decl +n "RST_n" +t "std_ulogic" +o 6 +suid 183,0 +) +declText (MLText +uid 9951,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,61300,-62800,62300" +st "RST_n : std_ulogic +" +) +) +*29 (PortIoIn +uid 10651,0 +shape (CompositeShape +uid 10652,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 10653,0 +sl 0 +ro 270 +xt "-58000,24625,-56500,25375" +) +(Line +uid 10654,0 +sl 0 +ro 270 +xt "-56500,25000,-56000,25000" +pts [ +"-56500,25000" +"-56000,25000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 10655,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10656,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-62500,24300,-59000,25600" +st "clock" +ju 2 +blo "-59000,25300" +tm "WireNameMgr" +) +) +) +*30 (PortIoIn +uid 10657,0 +shape (CompositeShape +uid 10658,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 10659,0 +sl 0 +ro 270 +xt "-58000,26625,-56500,27375" +) +(Line +uid 10660,0 +sl 0 +ro 270 +xt "-56500,27000,-56000,27000" +pts [ +"-56500,27000" +"-56000,27000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 10661,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10662,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-62500,26300,-59000,27600" +st "reset" +ju 2 +blo "-59000,27300" +tm "WireNameMgr" +) +) +) +*31 (Net +uid 11116,0 +decl (Decl +n "lcdData" +t "std_ulogic_vector" +b "(9-1 DOWNTO 0)" +o 11 +suid 187,0 +) +declText (MLText +uid 11117,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,75100,-49300,76100" +st "SIGNAL lcdData : std_ulogic_vector(9-1 DOWNTO 0) +" +) +) +*32 (Net +uid 11118,0 +decl (Decl +n "lcdSend" +t "std_ulogic" +o 12 +suid 188,0 +) +declText (MLText +uid 11119,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,76100,-59700,77100" +st "SIGNAL lcdSend : std_ulogic +" +) +) +*33 (Net +uid 11124,0 +decl (Decl +n "lcdBusy" +t "std_ulogic" +o 13 +suid 189,0 +) +declText (MLText +uid 11125,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,77100,-59800,78100" +st "SIGNAL lcdBusy : std_ulogic +" +) +) +*34 (Net +uid 11273,0 +decl (Decl +n "lcdData1" +t "std_ulogic_vector" +b "(lcdDataBitNb-1 downto 0)" +o 14 +suid 190,0 +) +declText (MLText +uid 11274,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,78100,-45200,79100" +st "SIGNAL lcdData1 : std_ulogic_vector(lcdDataBitNb-1 downto 0) +" +) +) +*35 (Net +uid 11279,0 +decl (Decl +n "lcdSend1" +t "std_ulogic" +o 15 +suid 191,0 +) +declText (MLText +uid 11280,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,79100,-59500,80100" +st "SIGNAL lcdSend1 : std_ulogic +" +) +) +*36 (Net +uid 11285,0 +decl (Decl +n "lcdBusy1" +t "std_ulogic" +o 16 +suid 192,0 +) +declText (MLText +uid 11286,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,80100,-59600,81100" +st "SIGNAL lcdBusy1 : std_ulogic +" +) +) +*37 (Net +uid 11291,0 +decl (Decl +n "busy" +t "std_ulogic" +o 10 +suid 193,0 +) +declText (MLText +uid 11292,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,65300,-63200,66300" +st "busy : std_ulogic +" +) +) +*38 (Net +uid 11446,0 +lang 11 +decl (Decl +n "dataInA" +t "std_ulogic_vector" +b "(characterPixelNb-1 DOWNTO 0)" +o 17 +suid 194,0 +) +declText (MLText +uid 11447,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,81100,-43000,82100" +st "SIGNAL dataInA : std_ulogic_vector(characterPixelNb-1 DOWNTO 0) +" +) +) +*39 (Net +uid 11454,0 +lang 11 +decl (Decl +n "addressB" +t "std_ulogic_vector" +b "(asciiBitNb-1 DOWNTO 0)" +o 18 +suid 195,0 +) +declText (MLText +uid 11455,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,82100,-45700,83100" +st "SIGNAL addressB : std_ulogic_vector(asciiBitNb-1 DOWNTO 0) +" +) +) +*40 (Net +uid 11462,0 +lang 11 +decl (Decl +n "dataInB" +t "std_ulogic_vector" +b "(characterPixelNb-1 DOWNTO 0)" +o 19 +suid 196,0 +) +declText (MLText +uid 11463,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,83100,-43000,84100" +st "SIGNAL dataInB : std_ulogic_vector(characterPixelNb-1 DOWNTO 0) +" +) +) +*41 (Net +uid 11470,0 +lang 11 +decl (Decl +n "writeEnB" +t "std_ulogic" +o 20 +suid 197,0 +) +declText (MLText +uid 11471,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,84100,-59600,85100" +st "SIGNAL writeEnB : std_ulogic +" +) +) +*42 (Net +uid 11478,0 +lang 11 +decl (Decl +n "enB" +t "std_ulogic" +o 21 +suid 198,0 +) +declText (MLText +uid 11479,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,85100,-60100,86100" +st "SIGNAL enB : std_ulogic +" +) +) +*43 (Net +uid 11486,0 +lang 11 +decl (Decl +n "clockB" +t "std_ulogic" +o 22 +suid 199,0 +) +declText (MLText +uid 11487,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,86100,-60000,87100" +st "SIGNAL clockB : std_ulogic +" +) +) +*44 (Net +uid 11494,0 +lang 11 +decl (Decl +n "enA" +t "std_ulogic" +o 23 +suid 200,0 +) +declText (MLText +uid 11495,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,87100,-60100,88100" +st "SIGNAL enA : std_ulogic +" +) +) +*45 (Net +uid 11502,0 +lang 11 +decl (Decl +n "writeEnA" +t "std_ulogic" +o 24 +suid 201,0 +) +declText (MLText +uid 11503,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,88100,-59600,89100" +st "SIGNAL writeEnA : std_ulogic +" +) +) +*46 (Net +uid 11665,0 +lang 11 +decl (Decl +n "pixelData" +t "std_ulogic_vector" +b "(characterPixelNb-1 DOWNTO 0)" +posAdd 0 +o 25 +suid 203,0 +) +declText (MLText +uid 11666,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,89100,-42900,90100" +st "SIGNAL pixelData : std_ulogic_vector(characterPixelNb-1 DOWNTO 0) +" +) +) +*47 (HdlText +uid 11667,0 +optionalChildren [ +*48 (EmbeddedText +uid 11714,0 +commentText (CommentText +uid 11715,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 11716,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "16000,38000,32000,54000" +) +oxt "0,0,18000,5000" +text (MLText +uid 11717,0 +va (VaSet +font "Courier,9,0" +) +xt "16200,38200,30600,53800" +st " +addressB <= (others => '0'); +dataInA <= (others => '0'); +dataInB <= (others => '0'); +enA <= '1'; +enB <= '0'; +writeEnA <= '0'; +writeEnB <= '0'; +clockB <= '0'; + + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 16000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 11668,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "16000,37000,32000,55000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 11669,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*49 (Text +uid 11670,0 +va (VaSet +font "Verdana,9,1" +) +xt "16400,55000,17900,55900" +st "eb1" +blo "16400,55700" +tm "HdlTextNameMgr" +) +*50 (Text +uid 11671,0 +va (VaSet +font "Verdana,9,1" +) +xt "16400,56200,16900,57100" +st "1" +blo "16400,56900" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 11850,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "16250,53250,17750,54750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*51 (SaComponent +uid 12026,0 +optionalChildren [ +*52 (CptPort +uid 11978,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11979,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-16750,52625,-16000,53375" +) +tg (CPTG +uid 11980,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11981,0 +va (VaSet +) +xt "-15000,52500,-11400,53500" +st "clockA" +blo "-15000,53300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "clockA" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*53 (CptPort +uid 11982,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11983,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-16750,50625,-16000,51375" +) +tg (CPTG +uid 11984,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11985,0 +va (VaSet +) +xt "-15000,50500,-13200,51500" +st "enA" +blo "-15000,51300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "enA" +t "std_ulogic" +o 2 +suid 3,0 +) +) +) +*54 (CptPort +uid 11986,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11987,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-16750,46625,-16000,47375" +) +tg (CPTG +uid 11988,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11989,0 +va (VaSet +) +xt "-15000,46500,-10200,47500" +st "writeEnA" +blo "-15000,47300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "writeEnA" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*55 (CptPort +uid 11990,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11991,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-16750,40625,-16000,41375" +) +tg (CPTG +uid 11992,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11993,0 +va (VaSet +) +xt "-15000,40500,-10200,41500" +st "addressA" +blo "-15000,41300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "addressA" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 4 +suid 5,0 +) +) +) +*56 (CptPort +uid 11994,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11995,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-16750,44625,-16000,45375" +) +tg (CPTG +uid 11996,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11997,0 +va (VaSet +) +xt "-15000,44500,-10800,45500" +st "dataInA" +blo "-15000,45300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "dataInA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 6,0 +) +) +) +*57 (CptPort +uid 11998,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11999,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-16750,42625,-16000,43375" +) +tg (CPTG +uid 12000,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12001,0 +va (VaSet +) +xt "-15000,42500,-10200,43500" +st "dataOutA" +blo "-15000,43300" +) +) +thePort (LogicalPort +lang 10 +m 1 +decl (Decl +n "dataOutA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 6 +suid 7,0 +) +) +) +*58 (CptPort +uid 12002,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12003,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,52625,750,53375" +) +tg (CPTG +uid 12004,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12005,0 +va (VaSet +) +xt "-4600,52500,-1000,53500" +st "clockB" +ju 2 +blo "-1000,53300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "clockB" +t "std_ulogic" +o 7 +suid 8,0 +) +) +) +*59 (CptPort +uid 12006,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12007,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,50625,750,51375" +) +tg (CPTG +uid 12008,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12009,0 +va (VaSet +) +xt "-2800,50500,-1000,51500" +st "enB" +ju 2 +blo "-1000,51300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "enB" +t "std_ulogic" +o 8 +suid 10,0 +) +) +) +*60 (CptPort +uid 12010,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12011,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,46625,750,47375" +) +tg (CPTG +uid 12012,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12013,0 +va (VaSet +) +xt "-5800,46500,-1000,47500" +st "writeEnB" +ju 2 +blo "-1000,47300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "writeEnB" +t "std_ulogic" +o 9 +suid 11,0 +) +) +) +*61 (CptPort +uid 12014,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12015,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,40625,750,41375" +) +tg (CPTG +uid 12016,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12017,0 +va (VaSet +) +xt "-5800,40500,-1000,41500" +st "addressB" +ju 2 +blo "-1000,41300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "addressB" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 10 +suid 12,0 +) +) +) +*62 (CptPort +uid 12018,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12019,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,44625,750,45375" +) +tg (CPTG +uid 12020,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12021,0 +va (VaSet +) +xt "-5200,44500,-1000,45500" +st "dataInB" +ju 2 +blo "-1000,45300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "dataInB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 13,0 +) +) +) +*63 (CptPort +uid 12022,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12023,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,42625,750,43375" +) +tg (CPTG +uid 12024,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12025,0 +va (VaSet +) +xt "-5800,42500,-1000,43500" +st "dataOutB" +ju 2 +blo "-1000,43300" +) +) +thePort (LogicalPort +lang 10 +m 1 +decl (Decl +n "dataOutB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 14,0 +) +) +) +] +shape (Rectangle +uid 12027,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-16000,37000,0,55000" +) +oxt "39000,11000,55000,29000" +ttg (MlTextGroup +uid 12028,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*64 (Text +uid 12029,0 +va (VaSet +font "Verdana,8,1" +) +xt "-15800,55000,-12800,55900" +st "Memory" +blo "-15800,55700" +tm "BdLibraryNameMgr" +) +*65 (Text +uid 12030,0 +va (VaSet +font "Verdana,8,1" +) +xt "-15800,56000,-4300,56900" +st "bramDualportWritefirst" +blo "-15800,56700" +tm "CptNameMgr" +) +*66 (Text +uid 12031,0 +va (VaSet +font "Verdana,8,1" +) +xt "-15800,57000,-13300,57900" +st "I_rom" +blo "-15800,57700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 12032,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 12033,0 +text (MLText +uid 12034,0 +va (VaSet +font "Verdana,8,0" +) +xt "-16000,58000,10100,61000" +st "addressBitNb = asciiBitNb ( positive ) +dataBitNb = characterPixelNb ( positive ) +initFile = \"$SIMULATION_DIR/lcdFont.txt\" ( string ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "asciiBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "characterPixelNb" +) +(GiElement +name "initFile" +type "string" +value "\"$SIMULATION_DIR/lcdFont.txt\"" +) +] +) +viewicon (ZoomableIcon +uid 12035,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "-15750,53250,-14250,54750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +connectByName 1 +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +archFileType "UNKNOWN" +) +*67 (SaComponent +uid 12076,0 +optionalChildren [ +*68 (CptPort +uid 12036,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12037,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32000,20625,32750,21375" +) +tg (CPTG +uid 12038,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12039,0 +va (VaSet +) +xt "30000,20400,31000,21300" +st "A0" +ju 2 +blo "31000,21100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 5 +suid 1,0 +) +) +) +*69 (CptPort +uid 12040,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12041,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,24625,16000,25375" +) +tg (CPTG +uid 12042,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12043,0 +va (VaSet +) +xt "17000,24400,19500,25300" +st "clock" +blo "17000,25100" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*70 (CptPort +uid 12044,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12045,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32000,24625,32750,25375" +) +tg (CPTG +uid 12046,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12047,0 +va (VaSet +) +xt "29000,24400,31000,25300" +st "CS_n" +ju 2 +blo "31000,25100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "CS_n" +t "std_ulogic" +o 6 +suid 4,0 +) +) +) +*71 (CptPort +uid 12048,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12049,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,26625,16000,27375" +) +tg (CPTG +uid 12050,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12051,0 +va (VaSet +) +xt "17000,26400,19500,27300" +st "reset" +blo "17000,27100" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 7,0 +) +) +) +*72 (CptPort +uid 12052,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12053,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32000,22625,32750,23375" +) +tg (CPTG +uid 12054,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12055,0 +va (VaSet +) +xt "28500,22400,31000,23300" +st "RST_n" +ju 2 +blo "31000,23100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 7 +suid 8,0 +) +) +) +*73 (CptPort +uid 12056,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12057,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32000,18625,32750,19375" +) +tg (CPTG +uid 12058,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12059,0 +va (VaSet +) +xt "29500,18400,31000,19300" +st "SCL" +ju 2 +blo "31000,19100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 4 +suid 9,0 +) +) +) +*74 (CptPort +uid 12060,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12061,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32000,16625,32750,17375" +) +tg (CPTG +uid 12062,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12063,0 +va (VaSet +) +xt "30000,16400,31000,17300" +st "SI" +ju 2 +blo "31000,17100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 3 +suid 10,0 +) +) +) +*75 (CptPort +uid 12064,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12065,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,16625,16000,17375" +) +tg (CPTG +uid 12066,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12067,0 +va (VaSet +) +xt "17000,16400,19000,17300" +st "data" +blo "17000,17100" +) +) +thePort (LogicalPort +decl (Decl +n "data" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 11,0 +) +) +) +*76 (CptPort +uid 12068,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12069,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,18625,16000,19375" +) +tg (CPTG +uid 12070,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12071,0 +va (VaSet +) +xt "17000,18400,19000,19300" +st "send" +blo "17000,19100" +) +) +thePort (LogicalPort +decl (Decl +n "send" +t "std_ulogic" +o 9 +suid 17,0 +) +) +) +*77 (CptPort +uid 12072,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12073,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,20625,16000,21375" +) +tg (CPTG +uid 12074,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12075,0 +va (VaSet +) +xt "17000,20400,19000,21300" +st "busy" +blo "17000,21100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "busy" +t "std_ulogic" +o 10 +suid 19,0 +) +) +) +] +shape (Rectangle +uid 12077,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "16000,13000,32000,29000" +) +oxt "38000,-2000,54000,14000" +ttg (MlTextGroup +uid 12078,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +uid 12079,0 +va (VaSet +font "Verdana,9,1" +) +xt "15950,29000,17450,29900" +st "Lcd" +blo "15950,29700" +tm "BdLibraryNameMgr" +) +*79 (Text +uid 12080,0 +va (VaSet +font "Verdana,9,1" +) +xt "15950,30200,22950,31100" +st "lcdSerializer" +blo "15950,30900" +tm "CptNameMgr" +) +*80 (Text +uid 12081,0 +va (VaSet +font "Verdana,9,1" +) +xt "15950,31400,18450,32300" +st "I_ser" +blo "15950,32100" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 12082,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 12083,0 +text (MLText +uid 12084,0 +va (VaSet +font "Verdana,8,0" +) +xt "16000,33800,38400,35800" +st "dataBitNb = lcdDataBitNb ( positive ) +baudRateDivide = baudRateDivide ( integer ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "lcdDataBitNb" +) +(GiElement +name "baudRateDivide" +type "integer" +value "baudRateDivide" +) +] +) +viewicon (ZoomableIcon +uid 12085,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "16250,27250,17750,28750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*81 (SaComponent +uid 12519,0 +optionalChildren [ +*82 (CptPort +uid 12483,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12484,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-16750,18625,-16000,19375" +) +tg (CPTG +uid 12485,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12486,0 +va (VaSet +) +xt "-15000,18400,-9500,19300" +st "columnSend" +blo "-15000,19100" +) +) +thePort (LogicalPort +decl (Decl +n "columnSend" +t "std_ulogic" +o 3 +suid 2,0 +) +) +) +*83 (CptPort +uid 12487,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12488,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-16750,16625,-16000,17375" +) +tg (CPTG +uid 12489,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12490,0 +va (VaSet +) +xt "-15000,16400,-9500,17300" +st "columnData" +blo "-15000,17100" +) +) +thePort (LogicalPort +decl (Decl +n "columnData" +t "std_ulogic_vector" +b "(dataBitNb-1 downto 0)" +o 4 +suid 3,0 +) +) +) +*84 (CptPort +uid 12491,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12492,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,16625,750,17375" +) +tg (CPTG +uid 12493,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12494,0 +va (VaSet +) +xt "-4500,16400,-1000,17300" +st "lcdData" +ju 2 +blo "-1000,17100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "lcdData" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 4,0 +) +) +) +*85 (CptPort +uid 12495,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12496,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-16750,24625,-16000,25375" +) +tg (CPTG +uid 12497,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12498,0 +va (VaSet +) +xt "-15000,24400,-12500,25300" +st "clock" +blo "-15000,25100" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 6,0 +) +) +) +*86 (CptPort +uid 12499,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12500,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,18625,750,19375" +) +tg (CPTG +uid 12501,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12502,0 +va (VaSet +) +xt "-4500,18400,-1000,19300" +st "lcdSend" +ju 2 +blo "-1000,19100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "lcdSend" +t "std_ulogic" +o 5 +suid 11,0 +) +) +) +*87 (CptPort +uid 12503,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12504,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,20625,750,21375" +) +tg (CPTG +uid 12505,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12506,0 +va (VaSet +) +xt "-4500,20400,-1000,21300" +st "lcdBusy" +ju 2 +blo "-1000,21100" +) +) +thePort (LogicalPort +decl (Decl +n "lcdBusy" +t "std_ulogic" +o 6 +suid 12,0 +) +) +) +*88 (CptPort +uid 12507,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12508,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-16750,26625,-16000,27375" +) +tg (CPTG +uid 12509,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12510,0 +va (VaSet +) +xt "-15000,26400,-12500,27300" +st "reset" +blo "-15000,27100" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 13,0 +) +) +) +*89 (CptPort +uid 12511,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12512,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-16750,20625,-16000,21375" +) +tg (CPTG +uid 12513,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12514,0 +va (VaSet +) +xt "-15000,20400,-9500,21300" +st "columnBusy" +blo "-15000,21100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "columnBusy" +t "std_ulogic" +o 8 +suid 14,0 +) +) +) +*90 (CptPort +uid 12515,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12516,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-16750,14625,-16000,15375" +) +tg (CPTG +uid 12517,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12518,0 +va (VaSet +) +xt "-15000,14400,-8500,15300" +st "clearDisplay" +blo "-15000,15100" +) +) +thePort (LogicalPort +decl (Decl +n "clearDisplay" +t "std_ulogic" +o 9 +suid 15,0 +) +) +) +] +shape (Rectangle +uid 12520,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-16000,13000,0,29000" +) +oxt "44000,1000,60000,17000" +ttg (MlTextGroup +uid 12521,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*91 (Text +uid 12522,0 +va (VaSet +font "Verdana,9,1" +) +xt "-16000,29500,-14500,30400" +st "Lcd" +blo "-16000,30200" +tm "BdLibraryNameMgr" +) +*92 (Text +uid 12523,0 +va (VaSet +font "Verdana,9,1" +) +xt "-16000,30700,-8500,31600" +st "lcdInitializer" +blo "-16000,31400" +tm "CptNameMgr" +) +*93 (Text +uid 12524,0 +va (VaSet +font "Verdana,9,1" +) +xt "-16000,31900,-13000,32800" +st "I_init" +blo "-16000,32600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 12525,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 12526,0 +text (MLText +uid 12527,0 +va (VaSet +font "Verdana,8,0" +) +xt "-16000,33600,2600,34600" +st "dataBitNb = lcdDataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "lcdDataBitNb" +) +] +) +viewicon (ZoomableIcon +uid 12528,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "-15750,27250,-14250,28750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*94 (SaComponent +uid 12569,0 +optionalChildren [ +*95 (CptPort +uid 12529,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12530,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-48750,16625,-48000,17375" +) +tg (CPTG +uid 12531,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12532,0 +va (VaSet +) +xt "-47000,16400,-42500,17300" +st "asciiData" +blo "-47000,17100" +) +) +thePort (LogicalPort +decl (Decl +n "asciiData" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 4 +suid 1,0 +) +) +) +*96 (CptPort +uid 12533,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12534,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-32000,16625,-31250,17375" +) +tg (CPTG +uid 12535,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12536,0 +va (VaSet +) +xt "-36500,16400,-33000,17300" +st "lcdData" +ju 2 +blo "-33000,17100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "lcdData" +t "std_ulogic_vector" +b "(lcdDataBitNb-1 downto 0)" +o 3 +suid 2,0 +) +) +) +*97 (CptPort +uid 12537,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12538,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-48750,24625,-48000,25375" +) +tg (CPTG +uid 12539,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12540,0 +va (VaSet +) +xt "-47000,24400,-44500,25300" +st "clock" +blo "-47000,25100" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 7,0 +) +) +) +*98 (CptPort +uid 12541,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12542,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-48750,26625,-48000,27375" +) +tg (CPTG +uid 12543,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12544,0 +va (VaSet +) +xt "-47000,26400,-44500,27300" +st "reset" +blo "-47000,27100" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 8,0 +) +) +) +*99 (CptPort +uid 12545,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12546,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-32000,20625,-31250,21375" +) +tg (CPTG +uid 12547,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12548,0 +va (VaSet +) +xt "-36500,20400,-33000,21300" +st "lcdBusy" +ju 2 +blo "-33000,21100" +) +) +thePort (LogicalPort +decl (Decl +n "lcdBusy" +t "std_ulogic" +o 5 +suid 12,0 +) +) +) +*100 (CptPort +uid 12549,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12550,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-48750,20625,-48000,21375" +) +tg (CPTG +uid 12551,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12552,0 +va (VaSet +) +xt "-47000,20400,-42500,21300" +st "asciiBusy" +blo "-47000,21100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "asciiBusy" +t "std_ulogic" +o 6 +suid 20,0 +) +) +) +*101 (CptPort +uid 12553,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12554,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-48750,18625,-48000,19375" +) +tg (CPTG +uid 12555,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12556,0 +va (VaSet +) +xt "-47000,18400,-42500,19300" +st "asciiSend" +blo "-47000,19100" +) +) +thePort (LogicalPort +decl (Decl +n "asciiSend" +t "std_ulogic" +o 7 +suid 22,0 +) +) +) +*102 (CptPort +uid 12557,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12558,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-32000,18625,-31250,19375" +) +tg (CPTG +uid 12559,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12560,0 +va (VaSet +) +xt "-36500,18400,-33000,19300" +st "lcdSend" +ju 2 +blo "-33000,19100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "lcdSend" +t "std_ulogic" +o 8 +suid 26,0 +) +) +) +*103 (CptPort +uid 12561,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12562,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-32000,23625,-31250,24375" +) +tg (CPTG +uid 12563,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12564,0 +va (VaSet +) +xt "-37500,23400,-33000,24300" +st "pixelData" +ju 2 +blo "-33000,24100" +) +) +thePort (LogicalPort +decl (Decl +n "pixelData" +t "std_ulogic_vector" +b "(fontColumnNb*fontRowNb-1 downto 0)" +o 9 +suid 28,0 +) +) +) +*104 (CptPort +uid 12565,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12566,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-32000,14625,-31250,15375" +) +tg (CPTG +uid 12567,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12568,0 +va (VaSet +) +xt "-39500,14400,-33000,15300" +st "clearDisplay" +ju 2 +blo "-33000,15100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "clearDisplay" +t "std_ulogic" +o 10 +suid 29,0 +) +) +) +] +shape (Rectangle +uid 12570,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-48000,13000,-32000,29000" +) +oxt "40000,-8000,56000,8000" +ttg (MlTextGroup +uid 12571,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*105 (Text +uid 12572,0 +va (VaSet +font "Verdana,9,1" +) +xt "-47250,29000,-45750,29900" +st "Lcd" +blo "-47250,29700" +tm "BdLibraryNameMgr" +) +*106 (Text +uid 12573,0 +va (VaSet +font "Verdana,9,1" +) +xt "-47250,30200,-37250,31100" +st "lcdCharacterEncoder" +blo "-47250,30900" +tm "CptNameMgr" +) +*107 (Text +uid 12574,0 +va (VaSet +font "Verdana,9,1" +) +xt "-47250,31400,-44250,32300" +st "I_font" +blo "-47250,32100" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 12575,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 12576,0 +text (MLText +uid 12577,0 +va (VaSet +font "Verdana,8,0" +) +xt "-48000,33000,-26800,39000" +st "asciiBitNb = asciiBitNb ( positive ) +lcdDataBitNb = lcdDataBitNb ( positive ) +fontColumnNb = fontColumnNb ( positive ) +fontRowNb = fontRowNb ( positive ) +lcdPageNb = lcdPageNb ( positive ) +lcdColumnNb = lcdColumnNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "asciiBitNb" +type "positive" +value "asciiBitNb" +) +(GiElement +name "lcdDataBitNb" +type "positive" +value "lcdDataBitNb" +) +(GiElement +name "fontColumnNb" +type "positive" +value "fontColumnNb" +) +(GiElement +name "fontRowNb" +type "positive" +value "fontRowNb" +) +(GiElement +name "lcdPageNb" +type "positive" +value "lcdPageNb" +) +(GiElement +name "lcdColumnNb" +type "positive" +value "lcdColumnNb" +) +] +) +viewicon (ZoomableIcon +uid 12578,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "-47750,27250,-46250,28750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*108 (Net +uid 12579,0 +decl (Decl +n "clearDisplay" +t "std_ulogic" +o 26 +suid 204,0 +) +declText (MLText +uid 12580,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,90100,-59500,91100" +st "SIGNAL clearDisplay : std_ulogic +" +) +) +*109 (Wire +uid 6704,0 +shape (OrthoPolyLine +uid 6705,0 +va (VaSet +vasetType 3 +) +xt "32750,17000,40000,17000" +pts [ +"32750,17000" +"40000,17000" +] +) +start &74 +end &13 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6708,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6709,0 +va (VaSet +font "Verdana,12,0" +) +xt "38000,15600,39400,16900" +st "SI" +blo "38000,16600" +tm "WireNameMgr" +) +) +on &18 +) +*110 (Wire +uid 6712,0 +shape (OrthoPolyLine +uid 6713,0 +va (VaSet +vasetType 3 +) +xt "32750,19000,40000,19000" +pts [ +"32750,19000" +"40000,19000" +] +) +start &73 +end &14 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6716,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6717,0 +va (VaSet +font "Verdana,12,0" +) +xt "37000,17600,39100,18900" +st "SCL" +blo "37000,18600" +tm "WireNameMgr" +) +) +on &19 +) +*111 (Wire +uid 6720,0 +shape (OrthoPolyLine +uid 6721,0 +va (VaSet +vasetType 3 +) +xt "32750,21000,40000,21000" +pts [ +"32750,21000" +"40000,21000" +] +) +start &68 +end &15 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6724,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6725,0 +va (VaSet +font "Verdana,12,0" +) +xt "38000,19600,39400,20900" +st "A0" +blo "38000,20600" +tm "WireNameMgr" +) +) +on &20 +) +*112 (Wire +uid 6728,0 +shape (OrthoPolyLine +uid 6729,0 +va (VaSet +vasetType 3 +) +xt "32750,25000,40000,25000" +pts [ +"32750,25000" +"40000,25000" +] +) +start &70 +end &16 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6732,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6733,0 +va (VaSet +font "Verdana,12,0" +) +xt "36000,23600,39500,24900" +st "CS1_n" +blo "36000,24600" +tm "WireNameMgr" +) +) +on &27 +) +*113 (Wire +uid 6742,0 +shape (OrthoPolyLine +uid 6743,0 +va (VaSet +vasetType 3 +) +xt "32750,23000,40000,23000" +pts [ +"32750,23000" +"40000,23000" +] +) +start &72 +end &17 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6746,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6747,0 +va (VaSet +font "Verdana,12,0" +) +xt "36000,21600,39500,22900" +st "RST_n" +blo "36000,22600" +tm "WireNameMgr" +) +) +on &28 +) +*114 (Wire +uid 7967,0 +shape (OrthoPolyLine +uid 7968,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "750,17000,15250,17000" +pts [ +"750,17000" +"15250,17000" +] +) +start &84 +end &75 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7971,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7972,0 +va (VaSet +font "Verdana,12,0" +) +xt "3000,15600,7900,16900" +st "lcdData" +blo "3000,16600" +tm "WireNameMgr" +) +) +on &31 +) +*115 (Wire +uid 8051,0 +optionalChildren [ +*116 (BdJunction +uid 11663,0 +ps "OnConnectorStrategy" +shape (Circle +uid 11664,0 +va (VaSet +vasetType 1 +) +xt "-50391,16600,-49591,17400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 8052,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-56000,17000,-48750,17000" +pts [ +"-56000,17000" +"-48750,17000" +] +) +start &22 +end &95 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 8055,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 8056,0 +va (VaSet +font "Verdana,12,0" +) +xt "-56000,15600,-52500,16900" +st "ascii" +blo "-56000,16600" +tm "WireNameMgr" +) +) +on &23 +) +*117 (Wire +uid 9469,0 +optionalChildren [ +*118 (BdJunction +uid 12304,0 +ps "OnConnectorStrategy" +shape (Circle +uid 12305,0 +va (VaSet +vasetType 1 +) +xt "-52399,18600,-51599,19400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 9470,0 +va (VaSet +vasetType 3 +) +xt "-56000,19000,-48750,19000" +pts [ +"-56000,19000" +"-48750,19000" +] +) +start &25 +end &101 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 9471,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9472,0 +va (VaSet +font "Verdana,12,0" +) +xt "-56000,17600,-53200,18900" +st "send" +blo "-56000,18600" +tm "WireNameMgr" +) +) +on &26 +) +*119 (Wire +uid 9608,0 +shape (OrthoPolyLine +uid 9609,0 +va (VaSet +vasetType 3 +) +xt "-56000,21000,-48750,21000" +pts [ +"-48750,21000" +"-56000,21000" +] +) +start &100 +end &24 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 9610,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9611,0 +va (VaSet +font "Verdana,12,0" +) +xt "-56000,19600,-53200,20900" +st "busy" +blo "-56000,20600" +tm "WireNameMgr" +) +) +on &37 +) +*120 (Wire +uid 9879,0 +shape (OrthoPolyLine +uid 9880,0 +va (VaSet +vasetType 3 +) +xt "12000,25000,15250,25000" +pts [ +"12000,25000" +"15250,25000" +] +) +end &69 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 9885,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9886,0 +va (VaSet +font "Verdana,12,0" +) +xt "11000,23600,14500,24900" +st "clock" +blo "11000,24600" +tm "WireNameMgr" +) +) +on &21 +) +*121 (Wire +uid 9887,0 +shape (OrthoPolyLine +uid 9888,0 +va (VaSet +vasetType 3 +) +xt "12000,27000,15250,27000" +pts [ +"12000,27000" +"15250,27000" +] +) +end &71 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 9893,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9894,0 +va (VaSet +font "Verdana,12,0" +) +xt "11000,25600,14500,26900" +st "reset" +blo "11000,26600" +tm "WireNameMgr" +) +) +on &12 +) +*122 (Wire +uid 10663,0 +shape (OrthoPolyLine +uid 10664,0 +va (VaSet +vasetType 3 +) +xt "-56000,27000,-48750,27000" +pts [ +"-56000,27000" +"-48750,27000" +] +) +start &30 +end &98 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10667,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10668,0 +va (VaSet +font "Verdana,12,0" +) +xt "-56000,25600,-52500,26900" +st "reset" +blo "-56000,26600" +tm "WireNameMgr" +) +) +on &12 +) +*123 (Wire +uid 10669,0 +shape (OrthoPolyLine +uid 10670,0 +va (VaSet +vasetType 3 +) +xt "-56000,25000,-48750,25000" +pts [ +"-56000,25000" +"-48750,25000" +] +) +start &29 +end &97 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10673,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10674,0 +va (VaSet +font "Verdana,12,0" +) +xt "-56000,23600,-52500,24900" +st "clock" +blo "-56000,24600" +tm "WireNameMgr" +) +) +on &21 +) +*124 (Wire +uid 11120,0 +shape (OrthoPolyLine +uid 11121,0 +va (VaSet +vasetType 3 +) +xt "750,19000,15250,19000" +pts [ +"750,19000" +"15250,19000" +] +) +start &86 +end &76 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11122,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11123,0 +va (VaSet +font "Verdana,12,0" +) +xt "2750,17600,7650,18900" +st "lcdSend" +blo "2750,18600" +tm "WireNameMgr" +) +) +on &32 +) +*125 (Wire +uid 11126,0 +shape (OrthoPolyLine +uid 11127,0 +va (VaSet +vasetType 3 +) +xt "750,21000,15250,21000" +pts [ +"750,21000" +"15250,21000" +] +) +start &87 +end &77 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11128,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11129,0 +va (VaSet +font "Verdana,12,0" +) +xt "2750,19600,7650,20900" +st "lcdBusy" +blo "2750,20600" +tm "WireNameMgr" +) +) +on &33 +) +*126 (Wire +uid 11130,0 +shape (OrthoPolyLine +uid 11131,0 +va (VaSet +vasetType 3 +) +xt "-20000,25000,-16750,25000" +pts [ +"-20000,25000" +"-16750,25000" +] +) +end &85 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11136,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11137,0 +va (VaSet +font "Verdana,12,0" +) +xt "-21000,23600,-17500,24900" +st "clock" +blo "-21000,24600" +tm "WireNameMgr" +) +) +on &21 +) +*127 (Wire +uid 11138,0 +shape (OrthoPolyLine +uid 11139,0 +va (VaSet +vasetType 3 +) +xt "-20000,27000,-16750,27000" +pts [ +"-20000,27000" +"-16750,27000" +] +) +end &88 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11144,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11145,0 +va (VaSet +font "Verdana,12,0" +) +xt "-21000,25600,-17500,26900" +st "reset" +blo "-21000,26600" +tm "WireNameMgr" +) +) +on &12 +) +*128 (Wire +uid 11275,0 +shape (OrthoPolyLine +uid 11276,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-31250,17000,-16750,17000" +pts [ +"-31250,17000" +"-16750,17000" +] +) +start &96 +end &83 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11277,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11278,0 +va (VaSet +font "Verdana,12,0" +) +xt "-29000,15600,-23400,16900" +st "lcdData1" +blo "-29000,16600" +tm "WireNameMgr" +) +) +on &34 +) +*129 (Wire +uid 11281,0 +shape (OrthoPolyLine +uid 11282,0 +va (VaSet +vasetType 3 +) +xt "-31250,19000,-16750,19000" +pts [ +"-31250,19000" +"-16750,19000" +] +) +start &102 +end &82 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11283,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11284,0 +va (VaSet +font "Verdana,12,0" +) +xt "-29250,17600,-23650,18900" +st "lcdSend1" +blo "-29250,18600" +tm "WireNameMgr" +) +) +on &35 +) +*130 (Wire +uid 11287,0 +shape (OrthoPolyLine +uid 11288,0 +va (VaSet +vasetType 3 +) +xt "-31250,21000,-16750,21000" +pts [ +"-31250,21000" +"-16750,21000" +] +) +start &99 +end &89 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11289,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11290,0 +va (VaSet +font "Verdana,12,0" +) +xt "-29250,19600,-23650,20900" +st "lcdBusy1" +blo "-29250,20600" +tm "WireNameMgr" +) +) +on &36 +) +*131 (Wire +uid 11448,0 +shape (OrthoPolyLine +uid 11449,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-20000,45000,-16750,45000" +pts [ +"-16750,45000" +"-20000,45000" +] +) +start &56 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11452,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11453,0 +va (VaSet +font "Verdana,12,0" +) +xt "-23750,43600,-17950,45000" +st "dataInA" +blo "-23750,44800" +tm "WireNameMgr" +) +) +on &38 +) +*132 (Wire +uid 11456,0 +shape (OrthoPolyLine +uid 11457,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "750,41000,16000,41000" +pts [ +"750,41000" +"16000,41000" +] +) +start &61 +end &47 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11460,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11461,0 +va (VaSet +font "Verdana,12,0" +) +xt "2000,39600,8700,41000" +st "addressB" +blo "2000,40800" +tm "WireNameMgr" +) +) +on &39 +) +*133 (Wire +uid 11464,0 +shape (OrthoPolyLine +uid 11465,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "750,45000,16000,45000" +pts [ +"750,45000" +"16000,45000" +] +) +start &62 +end &47 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11468,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11469,0 +va (VaSet +font "Verdana,12,0" +) +xt "2000,43600,7800,45000" +st "dataInB" +blo "2000,44800" +tm "WireNameMgr" +) +) +on &40 +) +*134 (Wire +uid 11472,0 +shape (OrthoPolyLine +uid 11473,0 +va (VaSet +vasetType 3 +) +xt "750,47000,16000,47000" +pts [ +"750,47000" +"16000,47000" +] +) +start &60 +end &47 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11476,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11477,0 +va (VaSet +font "Verdana,12,0" +) +xt "2000,45600,8400,47000" +st "writeEnB" +blo "2000,46800" +tm "WireNameMgr" +) +) +on &41 +) +*135 (Wire +uid 11480,0 +shape (OrthoPolyLine +uid 11481,0 +va (VaSet +vasetType 3 +) +xt "750,51000,16000,51000" +pts [ +"750,51000" +"16000,51000" +] +) +start &59 +end &47 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11484,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11485,0 +va (VaSet +font "Verdana,12,0" +) +xt "2000,49600,5200,51000" +st "enB" +blo "2000,50800" +tm "WireNameMgr" +) +) +on &42 +) +*136 (Wire +uid 11488,0 +shape (OrthoPolyLine +uid 11489,0 +va (VaSet +vasetType 3 +) +xt "750,53000,16000,53000" +pts [ +"750,53000" +"16000,53000" +] +) +start &58 +end &47 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11492,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11493,0 +va (VaSet +font "Verdana,12,0" +) +xt "2000,51600,6600,53000" +st "clockB" +blo "2000,52800" +tm "WireNameMgr" +) +) +on &43 +) +*137 (Wire +uid 11504,0 +shape (OrthoPolyLine +uid 11505,0 +va (VaSet +vasetType 3 +) +xt "-20000,47000,-16750,47000" +pts [ +"-16750,47000" +"-20000,47000" +] +) +start &54 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11508,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11509,0 +va (VaSet +font "Verdana,12,0" +) +xt "-23750,45600,-17350,47000" +st "writeEnA" +blo "-23750,46800" +tm "WireNameMgr" +) +) +on &45 +) +*138 (Wire +uid 11510,0 +shape (OrthoPolyLine +uid 11511,0 +va (VaSet +vasetType 3 +) +xt "-20000,53000,-16750,53000" +pts [ +"-20000,53000" +"-16750,53000" +] +) +end &52 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11516,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11517,0 +va (VaSet +font "Verdana,12,0" +) +xt "-21000,51600,-17500,52900" +st "clock" +blo "-21000,52600" +tm "WireNameMgr" +) +) +on &21 +) +*139 (Wire +uid 11655,0 +shape (OrthoPolyLine +uid 11656,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-31250,24000,-16750,43000" +pts [ +"-16750,43000" +"-24000,43000" +"-24000,24000" +"-31250,24000" +] +) +start &57 +end &103 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11657,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11658,0 +va (VaSet +font "Verdana,12,0" +) +xt "-24750,41600,-18050,43000" +st "pixelData" +blo "-24750,42800" +tm "WireNameMgr" +) +) +on &46 +) +*140 (Wire +uid 11659,0 +shape (OrthoPolyLine +uid 11660,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-49991,17000,-16750,41000" +pts [ +"-49991,17000" +"-49991,41000" +"-16750,41000" +] +) +start &116 +end &55 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11661,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11662,0 +va (VaSet +font "Verdana,12,0" +) +xt "-21750,39600,-18250,40900" +st "ascii" +blo "-21750,40600" +tm "WireNameMgr" +) +) +on &23 +) +*141 (Wire +uid 11672,0 +shape (OrthoPolyLine +uid 11673,0 +va (VaSet +vasetType 3 +) +xt "12000,50000,16000,50000" +pts [ +"16000,50000" +"12000,50000" +] +) +start &47 +sat 2 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11678,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11679,0 +va (VaSet +font "Verdana,12,0" +) +xt "11250,48600,14450,50000" +st "enA" +blo "11250,49800" +tm "WireNameMgr" +) +) +on &44 +) +*142 (Wire +uid 11680,0 +shape (OrthoPolyLine +uid 11681,0 +va (VaSet +vasetType 3 +) +xt "12000,49000,16000,49000" +pts [ +"16000,49000" +"12000,49000" +] +) +start &47 +sat 2 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11686,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11687,0 +va (VaSet +font "Verdana,12,0" +) +xt "8250,47600,14650,49000" +st "writeEnA" +blo "8250,48800" +tm "WireNameMgr" +) +) +on &45 +) +*143 (Wire +uid 11688,0 +shape (OrthoPolyLine +uid 11689,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "12000,43000,16000,43000" +pts [ +"16000,43000" +"12000,43000" +] +) +start &47 +sat 2 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11694,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11695,0 +va (VaSet +font "Verdana,12,0" +) +xt "8250,41600,14050,43000" +st "dataInA" +blo "8250,42800" +tm "WireNameMgr" +) +) +on &38 +) +*144 (Wire +uid 12300,0 +shape (OrthoPolyLine +uid 12301,0 +va (VaSet +vasetType 3 +) +xt "-51999,19000,-16750,51000" +pts [ +"-51999,19000" +"-51999,51000" +"-16750,51000" +] +) +start &118 +end &53 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12302,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12303,0 +va (VaSet +font "Verdana,12,0" +) +xt "-21750,49600,-18950,50900" +st "send" +blo "-21750,50600" +tm "WireNameMgr" +) +) +on &26 +) +*145 (Wire +uid 12581,0 +shape (OrthoPolyLine +uid 12582,0 +va (VaSet +vasetType 3 +) +xt "-31250,15000,-16750,15000" +pts [ +"-31250,15000" +"-16750,15000" +] +) +start &104 +end &90 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 12583,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12584,0 +va (VaSet +font "Verdana,12,0" +) +xt "-29250,13600,-20150,14900" +st "clearDisplay" +blo "-29250,14600" +tm "WireNameMgr" +) +) +on &108 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *146 (PackageList +uid 42,0 +stg "VerticalLayoutStrategy" +textVec [ +*147 (Text +uid 43,0 +va (VaSet +font "Verdana,9,1" +) +xt "-76000,100,-69500,1000" +st "Package List" +blo "-76000,800" +) +*148 (MLText +uid 44,0 +va (VaSet +) +xt "-76000,1300,-58500,4900" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 45,0 +stg "VerticalLayoutStrategy" +textVec [ +*149 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30000,900" +st "Compiler Directives" +blo "20000,700" +) +*150 (Text +uid 47,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,31500,1900" +st "Pre-module directives:" +blo "20000,1700" +) +*151 (MLText +uid 48,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*152 (Text +uid 49,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32000,4900" +st "Post-module directives:" +blo "20000,4700" +) +*153 (MLText +uid 50,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*154 (Text +uid 51,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,31500,5900" +st "End-module directives:" +blo "20000,5700" +) +*155 (MLText +uid 52,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "208,38,1383,976" +viewArea "-77939,-1818,60767,94402" +cachedDiagramExtent "-76000,0,58000,90000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +scale 55 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-76000,0" +lastUid 12996,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "700,1000,4700,2200" +st "Panel0" +blo "700,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*156 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2450,3500,7850,4700" +st "" +blo "2450,4500" +tm "BdLibraryNameMgr" +) +*157 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2450,4700,7250,5900" +st "" +blo "2450,5700" +tm "BlkNameMgr" +) +*158 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2450,5900,4750,7100" +st "I_0" +blo "2450,6900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "2450,13500,2450,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "250,8250,1750,9750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*159 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1000,3500,6700,4900" +st "Library" +blo "1000,4700" +) +*160 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1000,4900,12700,6300" +st "MWComponent" +blo "1000,6100" +) +*161 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1000,6300,4300,7700" +st "I_0" +blo "1000,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*162 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1250,3500,6950,4900" +st "Library" +blo "1250,4700" +tm "BdLibraryNameMgr" +) +*163 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1250,4900,12150,6300" +st "SaComponent" +blo "1250,6100" +tm "CptNameMgr" +) +*164 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1250,6300,4550,7700" +st "I_0" +blo "1250,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "250,8250,1750,9750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*165 (Text +va (VaSet +font "Verdana,12,1" +) +xt "950,3500,6650,4900" +st "Library" +blo "950,4700" +) +*166 (Text +va (VaSet +font "Verdana,12,1" +) +xt "950,4900,13050,6300" +st "VhdlComponent" +blo "950,6100" +) +*167 (Text +va (VaSet +font "Verdana,12,1" +) +xt "950,6300,4250,7700" +st "I_0" +blo "950,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*168 (Text +va (VaSet +font "Verdana,12,1" +) +xt "450,3500,6150,4900" +st "Library" +blo "450,4700" +) +*169 (Text +va (VaSet +font "Verdana,12,1" +) +xt "450,4900,14350,6300" +st "VerilogComponent" +blo "450,6100" +) +*170 (Text +va (VaSet +font "Verdana,12,1" +) +xt "450,6300,3750,7700" +st "I_0" +blo "450,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*171 (Text +va (VaSet +font "Verdana,9,1" +) +xt "3400,4000,5800,5200" +st "eb1" +blo "3400,5000" +tm "HdlTextNameMgr" +) +*172 (Text +va (VaSet +font "Verdana,9,1" +) +xt "3400,5200,4600,6400" +st "1" +blo "3400,6200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "250,8250,1750,9750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "Courier,9,0" +) +xt "200,200,4200,1500" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3400,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,4700,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,-200,4700,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1200,9600,2400" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "-2950,-1200,15550,0" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "-150,150,1250,1350" +st "1" +blo "-150,1150" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*173 (Text +va (VaSet +font "Verdana,9,1" +) +xt "14100,20000,24900,21200" +st "Frame Declarations" +blo "14100,21000" +) +*174 (MLText +va (VaSet +) +xt "14100,21200,14100,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "-1800,-1200,9200,0" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "-150,150,1250,1350" +st "1" +blo "-150,1150" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*175 (Text +va (VaSet +font "Verdana,9,1" +) +xt "14100,20000,24900,21200" +st "Frame Declarations" +blo "14100,21000" +) +*176 (MLText +va (VaSet +) +xt "14100,21200,14100,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "-76000,54500,-69500,55400" +st "Declarations" +blo "-76000,55200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "-76000,55400,-73000,56300" +st "Ports:" +blo "-76000,56100" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "-76000,66300,-71500,67200" +st "Pre User:" +blo "-76000,67000" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,67200,-44900,74200" +st "constant fontColumnNb: positive := 6; +constant fontRowNb: positive := 8; +constant characterPixelNb: positive := fontColumnNb*fontRowNb; + +constant lcdDataBitNb: positive := 8+1; +constant lcdPageNb: positive := 4; +constant lcdColumnNb: positive := 132;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "-76000,74200,-67500,75100" +st "Diagram Signals:" +blo "-76000,74900" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "-76000,54500,-70500,55400" +st "Post User:" +blo "-76000,55200" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-76000,54500,-76000,54500" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 205,0 +usingSuid 1 +emptyRow *177 (LEmptyRow +) +uid 1151,0 +optionalChildren [ +*178 (RefLabelRowHdr +) +*179 (TitleRowHdr +) +*180 (FilterRowHdr +) +*181 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*182 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*183 (GroupColHdr +tm "GroupColHdrMgr" +) +*184 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*185 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*186 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*187 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*188 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*189 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*190 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 115,0 +) +) +uid 6784,0 +) +*191 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 2 +suid 121,0 +) +) +uid 6786,0 +) +*192 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 3 +suid 122,0 +) +) +uid 6788,0 +) +*193 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 4 +suid 123,0 +) +) +uid 6790,0 +) +*194 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 139,0 +) +) +uid 7915,0 +) +*195 (LeafLogPort +port (LogicalPort +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 8 +suid 158,0 +) +) +uid 8176,0 +) +*196 (LeafLogPort +port (LogicalPort +decl (Decl +n "send" +t "std_ulogic" +o 9 +suid 176,0 +) +) +uid 9479,0 +) +*197 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 5 +suid 182,0 +) +) +uid 9952,0 +) +*198 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 6 +suid 183,0 +) +) +uid 9954,0 +) +*199 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "lcdData" +t "std_ulogic_vector" +b "(9-1 DOWNTO 0)" +o 11 +suid 187,0 +) +) +uid 11293,0 +) +*200 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "lcdSend" +t "std_ulogic" +o 12 +suid 188,0 +) +) +uid 11295,0 +) +*201 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "lcdBusy" +t "std_ulogic" +o 13 +suid 189,0 +) +) +uid 11297,0 +) +*202 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "lcdData1" +t "std_ulogic_vector" +b "(lcdDataBitNb-1 downto 0)" +o 14 +suid 190,0 +) +) +uid 11299,0 +) +*203 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "lcdSend1" +t "std_ulogic" +o 15 +suid 191,0 +) +) +uid 11301,0 +) +*204 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "lcdBusy1" +t "std_ulogic" +o 16 +suid 192,0 +) +) +uid 11303,0 +) +*205 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "busy" +t "std_ulogic" +o 10 +suid 193,0 +) +) +uid 11305,0 +) +*206 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "dataInA" +t "std_ulogic_vector" +b "(characterPixelNb-1 DOWNTO 0)" +o 17 +suid 194,0 +) +) +uid 11696,0 +) +*207 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "addressB" +t "std_ulogic_vector" +b "(asciiBitNb-1 DOWNTO 0)" +o 18 +suid 195,0 +) +) +uid 11698,0 +) +*208 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "dataInB" +t "std_ulogic_vector" +b "(characterPixelNb-1 DOWNTO 0)" +o 19 +suid 196,0 +) +) +uid 11700,0 +) +*209 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "writeEnB" +t "std_ulogic" +o 20 +suid 197,0 +) +) +uid 11702,0 +) +*210 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "enB" +t "std_ulogic" +o 21 +suid 198,0 +) +) +uid 11704,0 +) +*211 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "clockB" +t "std_ulogic" +o 22 +suid 199,0 +) +) +uid 11706,0 +) +*212 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "enA" +t "std_ulogic" +o 23 +suid 200,0 +) +) +uid 11708,0 +) +*213 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "writeEnA" +t "std_ulogic" +o 24 +suid 201,0 +) +) +uid 11710,0 +) +*214 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "pixelData" +t "std_ulogic_vector" +b "(characterPixelNb-1 DOWNTO 0)" +posAdd 0 +o 25 +suid 203,0 +) +) +uid 11712,0 +) +*215 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clearDisplay" +t "std_ulogic" +o 26 +suid 204,0 +) +) +uid 12585,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 1164,0 +optionalChildren [ +*216 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *217 (MRCItem +litem &177 +pos 26 +dimension 20 +) +uid 1166,0 +optionalChildren [ +*218 (MRCItem +litem &178 +pos 0 +dimension 20 +uid 1167,0 +) +*219 (MRCItem +litem &179 +pos 1 +dimension 23 +uid 1168,0 +) +*220 (MRCItem +litem &180 +pos 2 +hidden 1 +dimension 20 +uid 1169,0 +) +*221 (MRCItem +litem &190 +pos 0 +dimension 20 +uid 6785,0 +) +*222 (MRCItem +litem &191 +pos 1 +dimension 20 +uid 6787,0 +) +*223 (MRCItem +litem &192 +pos 2 +dimension 20 +uid 6789,0 +) +*224 (MRCItem +litem &193 +pos 3 +dimension 20 +uid 6791,0 +) +*225 (MRCItem +litem &194 +pos 4 +dimension 20 +uid 7916,0 +) +*226 (MRCItem +litem &195 +pos 5 +dimension 20 +uid 8177,0 +) +*227 (MRCItem +litem &196 +pos 6 +dimension 20 +uid 9480,0 +) +*228 (MRCItem +litem &197 +pos 7 +dimension 20 +uid 9953,0 +) +*229 (MRCItem +litem &198 +pos 8 +dimension 20 +uid 9955,0 +) +*230 (MRCItem +litem &199 +pos 10 +dimension 20 +uid 11294,0 +) +*231 (MRCItem +litem &200 +pos 11 +dimension 20 +uid 11296,0 +) +*232 (MRCItem +litem &201 +pos 12 +dimension 20 +uid 11298,0 +) +*233 (MRCItem +litem &202 +pos 13 +dimension 20 +uid 11300,0 +) +*234 (MRCItem +litem &203 +pos 14 +dimension 20 +uid 11302,0 +) +*235 (MRCItem +litem &204 +pos 15 +dimension 20 +uid 11304,0 +) +*236 (MRCItem +litem &205 +pos 9 +dimension 20 +uid 11306,0 +) +*237 (MRCItem +litem &206 +pos 16 +dimension 20 +uid 11697,0 +) +*238 (MRCItem +litem &207 +pos 17 +dimension 20 +uid 11699,0 +) +*239 (MRCItem +litem &208 +pos 18 +dimension 20 +uid 11701,0 +) +*240 (MRCItem +litem &209 +pos 19 +dimension 20 +uid 11703,0 +) +*241 (MRCItem +litem &210 +pos 20 +dimension 20 +uid 11705,0 +) +*242 (MRCItem +litem &211 +pos 21 +dimension 20 +uid 11707,0 +) +*243 (MRCItem +litem &212 +pos 22 +dimension 20 +uid 11709,0 +) +*244 (MRCItem +litem &213 +pos 23 +dimension 20 +uid 11711,0 +) +*245 (MRCItem +litem &214 +pos 24 +dimension 20 +uid 11713,0 +) +*246 (MRCItem +litem &215 +pos 25 +dimension 20 +uid 12586,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1170,0 +optionalChildren [ +*247 (MRCItem +litem &181 +pos 0 +dimension 20 +uid 1171,0 +) +*248 (MRCItem +litem &183 +pos 1 +dimension 50 +uid 1172,0 +) +*249 (MRCItem +litem &184 +pos 2 +dimension 100 +uid 1173,0 +) +*250 (MRCItem +litem &185 +pos 3 +dimension 50 +uid 1174,0 +) +*251 (MRCItem +litem &186 +pos 4 +dimension 100 +uid 1175,0 +) +*252 (MRCItem +litem &187 +pos 5 +dimension 100 +uid 1176,0 +) +*253 (MRCItem +litem &188 +pos 6 +dimension 50 +uid 1177,0 +) +*254 (MRCItem +litem &189 +pos 7 +dimension 80 +uid 1178,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 1165,0 +vaOverrides [ +] +) +] +) +uid 1150,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *255 (LEmptyRow +) +uid 1180,0 +optionalChildren [ +*256 (RefLabelRowHdr +) +*257 (TitleRowHdr +) +*258 (FilterRowHdr +) +*259 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*260 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*261 (GroupColHdr +tm "GroupColHdrMgr" +) +*262 (NameColHdr +tm "GenericNameColHdrMgr" +) +*263 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*264 (InitColHdr +tm "GenericValueColHdrMgr" +) +*265 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*266 (EolColHdr +tm "GenericEolColHdrMgr" +) +*267 (LogGeneric +generic (GiElement +name "asciiBitNb" +type "positive" +value "7" +) +uid 8178,0 +) +*268 (LogGeneric +generic (GiElement +name "baudRateDivide" +type "integer" +value "2" +) +uid 10994,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 1192,0 +optionalChildren [ +*269 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *270 (MRCItem +litem &255 +pos 2 +dimension 20 +) +uid 1194,0 +optionalChildren [ +*271 (MRCItem +litem &256 +pos 0 +dimension 20 +uid 1195,0 +) +*272 (MRCItem +litem &257 +pos 1 +dimension 23 +uid 1196,0 +) +*273 (MRCItem +litem &258 +pos 2 +hidden 1 +dimension 20 +uid 1197,0 +) +*274 (MRCItem +litem &267 +pos 1 +dimension 20 +uid 8179,0 +) +*275 (MRCItem +litem &268 +pos 0 +dimension 20 +uid 10993,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1198,0 +optionalChildren [ +*276 (MRCItem +litem &259 +pos 0 +dimension 20 +uid 1199,0 +) +*277 (MRCItem +litem &261 +pos 1 +dimension 50 +uid 1200,0 +) +*278 (MRCItem +litem &262 +pos 2 +dimension 100 +uid 1201,0 +) +*279 (MRCItem +litem &263 +pos 3 +dimension 100 +uid 1202,0 +) +*280 (MRCItem +litem &264 +pos 4 +dimension 50 +uid 1203,0 +) +*281 (MRCItem +litem &265 +pos 5 +dimension 50 +uid 1204,0 +) +*282 (MRCItem +litem &266 +pos 6 +dimension 80 +uid 1205,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 1193,0 +vaOverrides [ +] +) +] +) +uid 1179,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Lcd/hds/lcd@controller/symbol.sb b/Libs/Lcd/hds/lcd@controller/symbol.sb new file mode 100644 index 0000000..edae5b1 --- /dev/null +++ b/Libs/Lcd/hds/lcd@controller/symbol.sb @@ -0,0 +1,1962 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 32,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 21,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 1,0 +) +) +uid 111,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 2,0 +) +) +uid 113,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 2 +suid 3,0 +) +) +uid 115,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 3 +suid 4,0 +) +) +uid 117,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 4 +suid 5,0 +) +) +uid 119,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 8 +suid 11,0 +) +) +uid 798,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "send" +t "std_ulogic" +o 9 +suid 21,0 +) +) +uid 1608,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 5 +suid 27,0 +) +) +uid 2133,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 6 +suid 28,0 +) +) +uid 2135,0 +) +*23 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "busy" +t "std_ulogic" +o 10 +suid 29,0 +) +) +uid 2616,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 34,0 +optionalChildren [ +*24 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *25 (MRCItem +litem &1 +pos 13 +dimension 20 +) +uid 36,0 +optionalChildren [ +*26 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 37,0 +) +*27 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 38,0 +) +*28 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 39,0 +) +*29 (MRCItem +litem &14 +pos 4 +dimension 20 +uid 112,0 +) +*30 (MRCItem +litem &15 +pos 0 +dimension 20 +uid 114,0 +) +*31 (MRCItem +litem &16 +pos 1 +dimension 20 +uid 116,0 +) +*32 (MRCItem +litem &17 +pos 2 +dimension 20 +uid 118,0 +) +*33 (MRCItem +litem &18 +pos 3 +dimension 20 +uid 120,0 +) +*34 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 797,0 +) +*35 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 1607,0 +) +*36 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 2132,0 +) +*37 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 2134,0 +) +*38 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 2615,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 40,0 +optionalChildren [ +*39 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 41,0 +) +*40 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 42,0 +) +*41 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 43,0 +) +*42 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 44,0 +) +*43 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 45,0 +) +*44 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 46,0 +) +*45 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 47,0 +) +*46 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 48,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 35,0 +vaOverrides [ +] +) +] +) +uid 20,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *47 (LEmptyRow +) +uid 50,0 +optionalChildren [ +*48 (RefLabelRowHdr +) +*49 (TitleRowHdr +) +*50 (FilterRowHdr +) +*51 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*52 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*53 (GroupColHdr +tm "GroupColHdrMgr" +) +*54 (NameColHdr +tm "GenericNameColHdrMgr" +) +*55 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*56 (InitColHdr +tm "GenericValueColHdrMgr" +) +*57 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*58 (EolColHdr +tm "GenericEolColHdrMgr" +) +*59 (LogGeneric +generic (GiElement +name "baudRateDivide" +type "integer" +value "2" +) +uid 149,0 +) +*60 (LogGeneric +generic (GiElement +name "asciiBitNb" +type "positive" +value "7" +) +uid 805,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 62,0 +optionalChildren [ +*61 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *62 (MRCItem +litem &47 +pos 2 +dimension 20 +) +uid 64,0 +optionalChildren [ +*63 (MRCItem +litem &48 +pos 0 +dimension 20 +uid 65,0 +) +*64 (MRCItem +litem &49 +pos 1 +dimension 23 +uid 66,0 +) +*65 (MRCItem +litem &50 +pos 2 +hidden 1 +dimension 20 +uid 67,0 +) +*66 (MRCItem +litem &59 +pos 0 +dimension 20 +uid 150,0 +) +*67 (MRCItem +litem &60 +pos 1 +dimension 20 +uid 804,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 68,0 +optionalChildren [ +*68 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 69,0 +) +*69 (MRCItem +litem &53 +pos 1 +dimension 50 +uid 70,0 +) +*70 (MRCItem +litem &54 +pos 2 +dimension 100 +uid 71,0 +) +*71 (MRCItem +litem &55 +pos 3 +dimension 100 +uid 72,0 +) +*72 (MRCItem +litem &56 +pos 4 +dimension 50 +uid 73,0 +) +*73 (MRCItem +litem &57 +pos 5 +dimension 50 +uid 74,0 +) +*74 (MRCItem +litem &58 +pos 6 +dimension 80 +uid 75,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 63,0 +vaOverrides [ +] +) +] +) +uid 49,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@controller\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@controller\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@controller" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcdController" +) +(vvPair +variable "date" +value "14.10.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdController" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "14.10.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "10:35:46" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "LCD" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../libs/SPI/concat" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../libs/SPI/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/LCD/work" +) +(vvPair +variable "mm" +value "10" +) +(vvPair +variable "module_name" +value "lcdController" +) +(vvPair +variable "month" +value "Oct" +) +(vvPair +variable "month_long" +value "October" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@controller\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcdController\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "10:35:46" +) +(vvPair +variable "unit" +value "lcdController" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 19,0 +optionalChildren [ +*75 (SymbolBody +uid 8,0 +optionalChildren [ +*76 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,23625,8000,24375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +) +xt "9000,23400,12400,24600" +st "clock" +blo "9000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,12600,-12500,13400" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 1,0 +) +) +) +*77 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,25625,8000,26375" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +) +xt "9000,25400,12300,26600" +st "reset" +blo "9000,26400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,7800,-12500,8600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 2,0 +) +) +) +*78 (CptPort +uid 86,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 87,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,15625,24750,16375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 89,0 +va (VaSet +) +xt "21100,15400,23000,16600" +st "SI" +ju 2 +blo "23000,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,8600,-12500,9400" +st "SI : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 2 +suid 3,0 +) +) +) +*79 (CptPort +uid 91,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 92,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,17625,24750,18375" +) +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 94,0 +va (VaSet +) +xt "20200,17400,23000,18600" +st "SCL" +ju 2 +blo "23000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 95,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,9400,-12500,10200" +st "SCL : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*80 (CptPort +uid 96,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 97,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,19625,24750,20375" +) +tg (CPTG +uid 98,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 99,0 +va (VaSet +) +xt "20800,19400,23000,20600" +st "A0" +ju 2 +blo "23000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 100,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,10200,-12500,11000" +st "A0 : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +*81 (CptPort +uid 799,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 800,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,15625,8000,16375" +) +tg (CPTG +uid 801,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 802,0 +va (VaSet +) +xt "9000,15400,12100,16600" +st "ascii" +blo "9000,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 803,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,13400,3000,14200" +st "ascii : IN std_ulogic_vector (asciiBitNb-1 downto 0) ;" +) +thePort (LogicalPort +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 8 +suid 11,0 +) +) +) +*82 (CptPort +uid 1611,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1612,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,17625,8000,18375" +) +tg (CPTG +uid 1613,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1614,0 +va (VaSet +) +xt "9000,17400,12100,18600" +st "send" +blo "9000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1615,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,14200,-12500,15000" +st "send : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "send" +t "std_ulogic" +o 9 +suid 21,0 +) +) +) +*83 (CptPort +uid 2136,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2137,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,23625,24750,24375" +) +tg (CPTG +uid 2138,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2139,0 +va (VaSet +) +xt "18900,23400,23000,24600" +st "CS1_n" +ju 2 +blo "23000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2140,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,11000,-12500,11800" +st "CS1_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 5 +suid 27,0 +) +) +) +*84 (CptPort +uid 2141,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2142,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,21625,24750,22375" +) +tg (CPTG +uid 2143,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2144,0 +va (VaSet +) +xt "19000,21400,23000,22600" +st "RST_n" +ju 2 +blo "23000,22400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2145,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,11800,-12500,12600" +st "RST_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 6 +suid 28,0 +) +) +) +*85 (CptPort +uid 2617,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2618,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,19625,8000,20375" +) +tg (CPTG +uid 2619,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2620,0 +va (VaSet +) +xt "9000,19400,12100,20600" +st "busy" +blo "9000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2621,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,15000,-13500,15800" +st "busy : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "busy" +t "std_ulogic" +o 10 +suid 29,0 +) +) +) +] +shape (Rectangle +uid 148,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "8000,12000,24000,28000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "8600,27800,11100,29000" +st "LCD" +blo "8600,28800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "8600,29000,16100,30200" +st "lcdController" +blo "8600,30000" +) +) +gi *86 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "8000,31800,23000,35000" +st "Generic Declarations + +baudRateDivide integer 2 +asciiBitNb positive 7 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "baudRateDivide" +type "integer" +value "2" +) +(GiElement +name "asciiBitNb" +type "positive" +value "7" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +*87 (Grouping +uid 2491,0 +optionalChildren [ +*88 (CommentText +uid 2493,0 +shape (Rectangle +uid 2494,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "24000,41000,43000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 2495,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "24200,41400,39600,42600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*89 (CommentText +uid 2496,0 +shape (Rectangle +uid 2497,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-8000,41000,18000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 2498,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "-750,41250,10750,42750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*90 (CommentText +uid 2499,0 +shape (Rectangle +uid 2500,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-3000,47000,18000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 2501,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-2800,47400,13000,48600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*91 (CommentText +uid 2502,0 +shape (Rectangle +uid 2503,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,41000,24000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 2504,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "18200,41400,22900,42600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*92 (CommentText +uid 2505,0 +shape (Rectangle +uid 2506,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-3000,43000,18000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 2507,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-2800,43400,12400,44600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*93 (CommentText +uid 2508,0 +shape (Rectangle +uid 2509,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-8000,43000,-3000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 2510,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-7800,43400,-4400,44600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*94 (CommentText +uid 2511,0 +shape (Rectangle +uid 2512,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-8000,45000,-3000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 2513,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-7800,45400,-4400,46600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*95 (CommentText +uid 2514,0 +shape (Rectangle +uid 2515,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,43000,43000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 2516,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "18200,43200,32300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*96 (CommentText +uid 2517,0 +shape (Rectangle +uid 2518,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-3000,45000,18000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 2519,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-2800,45400,7100,46600" +st " + +%library/%unit/%view + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*97 (CommentText +uid 2520,0 +shape (Rectangle +uid 2521,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-8000,47000,-3000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 2522,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-7800,47400,-3500,48600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 2492,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "-8000,41000,43000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *98 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "-30000,0,-22400,1200" +st "Package List" +blo "-30000,1000" +) +*100 (MLText +uid 18,0 +va (VaSet +) +xt "-30000,1200,-12500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "153,35,1440,894" +viewArea "-31100,-1000,45148,49688" +cachedDiagramExtent "-30000,0,43000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-30000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22200,15000,27600,16200" +st "" +blo "22200,16000" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22200,16200,26100,17400" +st "" +blo "22200,17200" +) +) +gi *101 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *102 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "-30000,5400,-22600,6600" +st "Declarations" +blo "-30000,6400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "-30000,6600,-26300,7800" +st "Ports:" +blo "-30000,7600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "-30000,15800,-26800,17000" +st "User:" +blo "-30000,16800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-30000,5400,-21800,6600" +st "Internal User:" +blo "-30000,6400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,17000,-28000,17000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-30000,5400,-30000,5400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 2849,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/Lcd/hds/lcd@demo/struct.bd b/Libs/Lcd/hds/lcd@demo/struct.bd new file mode 100644 index 0000000..c5d3aff --- /dev/null +++ b/Libs/Lcd/hds/lcd@demo/struct.bd @@ -0,0 +1,5667 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +instances [ +(Instance +name "I_lcd" +duLibraryName "Lcd" +duName "lcdController" +elements [ +(GiElement +name "baudRateDivide" +type "integer" +value "baudRateDivide" +) +(GiElement +name "asciiBitNb" +type "positive" +value "asciiBitNb" +) +] +mwi 0 +uid 13430,0 +) +(Instance +name "I_0" +duLibraryName "RS232" +duName "serialPortReceiver" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "rs232DataBitNb" +) +(GiElement +name "baudRateDivide" +type "positive" +value "clockFrequency/rs232BaudRate" +) +] +mwi 0 +uid 13570,0 +) +(Instance +name "I_hello" +duLibraryName "Lcd" +duName "helloWorld" +elements [ +(GiElement +name "asciiBitNb" +type "positive" +value "asciiBitNb" +) +] +mwi 0 +uid 13604,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +(EmbeddedInstance +name "eb2" +number "2" +) +(EmbeddedInstance +name "eb3" +number "3" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@demo\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@demo\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@demo" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcdDemo" +) +(vvPair +variable "date" +value "14.10.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdDemo" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "14.10.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "10:35:46" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "LCD" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../libs/SPI/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../libs/SPI/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/LCD/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "mm" +value "10" +) +(vvPair +variable "module_name" +value "lcdDemo" +) +(vvPair +variable "month" +value "Oct" +) +(vvPair +variable "month_long" +value "October" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@demo\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcdDemo\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_ActelPath" +value "D:\\Projects\\Trypano\\ControlBoard\\IglooTester\\Board\\actel\\boardTester" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "10:35:46" +) +(vvPair +variable "unit" +value "lcdDemo" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 41,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,24000,31000,25000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "14200,24500,14200,24500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "31000,20000,35000,21000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "31200,20500,31200,20500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,22000,31000,23000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "14200,22500,14200,22500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "10000,22000,14000,23000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "10200,22500,10200,22500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "31000,21000,51000,25000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "31200,21200,45300,22400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,20000,51000,21000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "35200,20500,35200,20500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "10000,20000,31000,22000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "15350,20400,25650,21600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "10000,23000,14000,24000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "10200,23500,10200,23500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "10000,24000,14000,25000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "10200,24500,10200,24500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,23000,31000,24000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "14200,23500,14200,23500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "10000,20000,51000,25000" +) +oxt "14000,66000,55000,71000" +) +*12 (Net +uid 6676,0 +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 115,0 +) +declText (MLText +uid 6677,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-65600,-85300,-64600" +st "reset : std_ulogic" +) +) +*13 (PortIoOut +uid 6678,0 +shape (CompositeShape +uid 6679,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6680,0 +sl 0 +ro 270 +xt "14500,-16375,16000,-15625" +) +(Line +uid 6681,0 +sl 0 +ro 270 +xt "14000,-16000,14500,-16000" +pts [ +"14000,-16000" +"14500,-16000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6682,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6683,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "17000,-16700,18400,-15400" +st "SI" +blo "17000,-15700" +tm "WireNameMgr" +) +) +) +*14 (PortIoOut +uid 6684,0 +shape (CompositeShape +uid 6685,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6686,0 +sl 0 +ro 270 +xt "14500,-14375,16000,-13625" +) +(Line +uid 6687,0 +sl 0 +ro 270 +xt "14000,-14000,14500,-14000" +pts [ +"14000,-14000" +"14500,-14000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6688,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6689,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "17000,-14700,19100,-13400" +st "SCL" +blo "17000,-13700" +tm "WireNameMgr" +) +) +) +*15 (PortIoOut +uid 6690,0 +shape (CompositeShape +uid 6691,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6692,0 +sl 0 +ro 270 +xt "14500,-12375,16000,-11625" +) +(Line +uid 6693,0 +sl 0 +ro 270 +xt "14000,-12000,14500,-12000" +pts [ +"14000,-12000" +"14500,-12000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6694,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6695,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "17000,-12700,18400,-11400" +st "A0" +blo "17000,-11700" +tm "WireNameMgr" +) +) +) +*16 (PortIoOut +uid 6696,0 +shape (CompositeShape +uid 6697,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6698,0 +sl 0 +ro 270 +xt "14500,-8375,16000,-7625" +) +(Line +uid 6699,0 +sl 0 +ro 270 +xt "14000,-8000,14500,-8000" +pts [ +"14000,-8000" +"14500,-8000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6700,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6701,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "17000,-8700,20500,-7400" +st "CS1_n" +blo "17000,-7700" +tm "WireNameMgr" +) +) +) +*17 (PortIoOut +uid 6734,0 +shape (CompositeShape +uid 6735,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6736,0 +sl 0 +ro 270 +xt "14500,-10375,16000,-9625" +) +(Line +uid 6737,0 +sl 0 +ro 270 +xt "14000,-10000,14500,-10000" +pts [ +"14000,-10000" +"14500,-10000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6738,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6739,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "17000,-10700,20500,-9400" +st "RST_n" +blo "17000,-9700" +tm "WireNameMgr" +) +) +) +*18 (Net +uid 6748,0 +decl (Decl +n "SI" +t "std_ulogic" +o 2 +suid 121,0 +) +declText (MLText +uid 6749,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-64700,-85600,-63700" +st "SI : std_ulogic" +) +) +*19 (Net +uid 6750,0 +decl (Decl +n "SCL" +t "std_ulogic" +o 3 +suid 122,0 +) +declText (MLText +uid 6751,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-63800,-85200,-62800" +st "SCL : std_ulogic" +) +) +*20 (Net +uid 6752,0 +decl (Decl +n "A0" +t "std_ulogic" +o 4 +suid 123,0 +) +declText (MLText +uid 6753,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-62900,-85400,-61900" +st "A0 : std_ulogic" +) +) +*21 (Net +uid 7909,0 +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 139,0 +) +declText (MLText +uid 7910,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-60200,-85300,-59200" +st "clock : std_ulogic" +) +) +*22 (Net +uid 9948,0 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 5 +suid 182,0 +) +declText (MLText +uid 9949,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-62000,-84700,-61000" +st "CS1_n : std_ulogic" +) +) +*23 (Net +uid 9950,0 +decl (Decl +n "RST_n" +t "std_ulogic" +o 6 +suid 183,0 +) +declText (MLText +uid 9951,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-61100,-84700,-60100" +st "RST_n : std_ulogic" +) +) +*24 (PortIoIn +uid 10651,0 +shape (CompositeShape +uid 10652,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 10653,0 +sl 0 +ro 270 +xt "-75000,-12375,-73500,-11625" +) +(Line +uid 10654,0 +sl 0 +ro 270 +xt "-73500,-12000,-73000,-12000" +pts [ +"-73500,-12000" +"-73000,-12000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 10655,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10656,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-79500,-12700,-76000,-11400" +st "clock" +ju 2 +blo "-76000,-11700" +tm "WireNameMgr" +) +) +) +*25 (PortIoIn +uid 10657,0 +shape (CompositeShape +uid 10658,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 10659,0 +sl 0 +ro 270 +xt "-75000,-10375,-73500,-9625" +) +(Line +uid 10660,0 +sl 0 +ro 270 +xt "-73500,-10000,-73000,-10000" +pts [ +"-73500,-10000" +"-73000,-10000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 10661,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10662,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-79500,-10700,-76000,-9400" +st "reset" +ju 2 +blo "-76000,-9700" +tm "WireNameMgr" +) +) +) +*26 (HdlText +uid 11667,0 +optionalChildren [ +*27 (EmbeddedText +uid 11714,0 +commentText (CommentText +uid 11715,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 11716,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-10000,5000,6000,21000" +) +oxt "0,0,18000,5000" +text (MLText +uid 11717,0 +va (VaSet +font "Courier,9,0" +) +xt "-9800,5200,6200,13000" +st " +leds(buttons'range) <= buttons; + +leds(buttons'high+1 to leds'high) <= (others => '0'); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 16000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 11668,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-10000,4000,6000,22000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 11669,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*28 (Text +uid 11670,0 +va (VaSet +font "Verdana,9,1" +) +xt "-9600,22000,-8100,22900" +st "eb1" +blo "-9600,22700" +tm "HdlTextNameMgr" +) +*29 (Text +uid 11671,0 +va (VaSet +font "Verdana,9,1" +) +xt "-9600,23200,-9100,24100" +st "1" +blo "-9600,23900" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 11850,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "-9750,20250,-8250,21750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*30 (PortIoIn +uid 12304,0 +shape (CompositeShape +uid 12305,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 12306,0 +sl 0 +ro 270 +xt "-20000,7625,-18500,8375" +) +(Line +uid 12307,0 +sl 0 +ro 270 +xt "-18500,8000,-18000,8000" +pts [ +"-18500,8000" +"-18000,8000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 12308,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12309,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-25900,7500,-21000,8800" +st "buttons" +ju 2 +blo "-21000,8500" +tm "WireNameMgr" +) +) +) +*31 (Net +uid 12316,0 +decl (Decl +n "buttons" +t "std_ulogic_vector" +b "(1 to buttonNb)" +o 8 +suid 204,0 +) +declText (MLText +uid 12317,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-59300,-75000,-58300" +st "buttons : std_ulogic_vector(1 to buttonNb)" +) +) +*32 (PortIoOut +uid 12318,0 +shape (CompositeShape +uid 12319,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 12320,0 +sl 0 +ro 270 +xt "14500,7625,16000,8375" +) +(Line +uid 12321,0 +sl 0 +ro 270 +xt "14000,8000,14500,8000" +pts [ +"14000,8000" +"14500,8000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 12322,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12323,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "17000,7500,19800,8800" +st "leds" +blo "17000,8500" +tm "WireNameMgr" +) +) +) +*33 (Net +uid 12330,0 +decl (Decl +n "leds" +t "std_ulogic_vector" +b "(1 to ledNb)" +o 9 +suid 205,0 +) +declText (MLText +uid 12331,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-58400,-76900,-57400" +st "leds : std_ulogic_vector(1 to ledNb)" +) +) +*34 (HdlText +uid 12702,0 +optionalChildren [ +*35 (EmbeddedText +uid 12708,0 +commentText (CommentText +uid 12709,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 12710,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-32000,-19000,-16000,-9000" +) +oxt "0,0,18000,5000" +text (MLText +uid 12711,0 +va (VaSet +font "Courier,9,0" +) +xt "-31800,-18800,-16600,-9700" +st " +mux: process(uartSend, helloData, helloSend) +begin + if uartSend = '1' then + ascii <= uartData(ascii'range); + send <= '1'; + else + ascii <= helloData; + send <= helloSend; + end if; +end process mux; + +helloBusy <= busy; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 10000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 12703,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-32000,-20000,-16000,-8000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 12704,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*36 (Text +uid 12705,0 +va (VaSet +font "Verdana,9,1" +) +xt "-31700,-8200,-30200,-7300" +st "eb2" +blo "-31700,-7500" +tm "HdlTextNameMgr" +) +*37 (Text +uid 12706,0 +va (VaSet +font "Verdana,9,1" +) +xt "-31700,-7000,-31200,-6100" +st "2" +blo "-31700,-6300" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 12707,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "-31750,-9750,-30250,-8250" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*38 (Net +uid 12758,0 +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 13 +suid 214,0 +) +declText (MLText +uid 12759,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-50300,-69300,-49300" +st "SIGNAL ascii : std_ulogic_vector(asciiBitNb-1 downto 0)" +) +) +*39 (Net +uid 12760,0 +decl (Decl +n "send" +t "std_ulogic" +o 15 +suid 215,0 +) +declText (MLText +uid 12761,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-48500,-81900,-47500" +st "SIGNAL send : std_ulogic" +) +) +*40 (Net +uid 12762,0 +decl (Decl +n "busy" +t "std_ulogic" +o 17 +suid 216,0 +) +declText (MLText +uid 12763,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-46700,-81900,-45700" +st "SIGNAL busy : std_ulogic" +) +) +*41 (PortIoIn +uid 12796,0 +shape (CompositeShape +uid 12797,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 12798,0 +sl 0 +ro 270 +xt "-75000,-36375,-73500,-35625" +) +(Line +uid 12799,0 +sl 0 +ro 270 +xt "-73500,-36000,-73000,-36000" +pts [ +"-73500,-36000" +"-73000,-36000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 12800,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12801,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-78100,-36700,-76000,-35400" +st "RxD" +ju 2 +blo "-76000,-35700" +tm "WireNameMgr" +) +) +) +*42 (Net +uid 12802,0 +decl (Decl +n "RxD" +t "std_ulogic" +o 10 +suid 217,0 +) +declText (MLText +uid 12803,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-57500,-85000,-56500" +st "RxD : std_ulogic" +) +) +*43 (PortIoOut +uid 13024,0 +shape (CompositeShape +uid 13025,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 13026,0 +sl 0 +ro 270 +xt "14500,-33375,16000,-32625" +) +(Line +uid 13027,0 +sl 0 +ro 270 +xt "14000,-33000,14500,-33000" +pts [ +"14000,-33000" +"14500,-33000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 13028,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13029,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "17000,-33700,20500,-32400" +st "debug" +blo "17000,-32700" +tm "WireNameMgr" +) +) +) +*44 (Net +uid 13038,0 +decl (Decl +n "debug" +t "std_ulogic_vector" +b "(15 DOWNTO 0)" +o 11 +suid 219,0 +) +declText (MLText +uid 13039,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-56600,-74700,-55600" +st "debug : std_ulogic_vector(15 DOWNTO 0)" +) +) +*45 (HdlText +uid 13102,0 +optionalChildren [ +*46 (EmbeddedText +uid 13132,0 +commentText (CommentText +uid 13133,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 13134,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "2000,-31000,23000,-26000" +) +oxt "0,0,18000,5000" +text (MLText +uid 13135,0 +va (VaSet +font "Courier,9,0" +) +xt "2200,-30800,23000,-28200" +st " +debug <= (others => '0'); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 5000 +visibleWidth 21000 +) +) +) +] +shape (Rectangle +uid 13103,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-6000,-35000,2000,-25000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 13104,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*47 (Text +uid 13105,0 +va (VaSet +font "Verdana,9,1" +) +xt "-3200,-31200,-1700,-30300" +st "eb3" +blo "-3200,-30500" +tm "HdlTextNameMgr" +) +*48 (Text +uid 13106,0 +va (VaSet +font "Verdana,9,1" +) +xt "-3200,-30000,-2700,-29100" +st "3" +blo "-3200,-29300" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 13107,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "-5750,-26750,-4250,-25250" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*49 (SaComponent +uid 13430,0 +optionalChildren [ +*50 (CptPort +uid 13390,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13391,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-10750,-8375,-10000,-7625" +) +tg (CPTG +uid 13392,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13393,0 +va (VaSet +) +xt "-9000,-8600,-6500,-7700" +st "clock" +blo "-9000,-7900" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 1,0 +) +) +) +*51 (CptPort +uid 13394,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13395,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-10750,-6375,-10000,-5625" +) +tg (CPTG +uid 13396,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13397,0 +va (VaSet +) +xt "-9000,-6600,-6500,-5700" +st "reset" +blo "-9000,-5900" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 2,0 +) +) +) +*52 (CptPort +uid 13398,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13399,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6000,-16375,6750,-15625" +) +tg (CPTG +uid 13400,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 13401,0 +va (VaSet +) +xt "4000,-16600,5000,-15700" +st "SI" +ju 2 +blo "5000,-15900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 2 +suid 3,0 +) +) +) +*53 (CptPort +uid 13402,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13403,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6000,-14375,6750,-13625" +) +tg (CPTG +uid 13404,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 13405,0 +va (VaSet +) +xt "3500,-14600,5000,-13700" +st "SCL" +ju 2 +blo "5000,-13900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*54 (CptPort +uid 13406,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13407,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6000,-12375,6750,-11625" +) +tg (CPTG +uid 13408,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 13409,0 +va (VaSet +) +xt "4000,-12600,5000,-11700" +st "A0" +ju 2 +blo "5000,-11900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +*55 (CptPort +uid 13410,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13411,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-10750,-16375,-10000,-15625" +) +tg (CPTG +uid 13412,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13413,0 +va (VaSet +) +xt "-9000,-16600,-6500,-15700" +st "ascii" +blo "-9000,-15900" +) +) +thePort (LogicalPort +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 8 +suid 11,0 +) +) +) +*56 (CptPort +uid 13414,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13415,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-10750,-14375,-10000,-13625" +) +tg (CPTG +uid 13416,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13417,0 +va (VaSet +) +xt "-9000,-14600,-7000,-13700" +st "send" +blo "-9000,-13900" +) +) +thePort (LogicalPort +decl (Decl +n "send" +t "std_ulogic" +o 9 +suid 21,0 +) +) +) +*57 (CptPort +uid 13418,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13419,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6000,-8375,6750,-7625" +) +tg (CPTG +uid 13420,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 13421,0 +va (VaSet +) +xt "2500,-8600,5000,-7700" +st "CS1_n" +ju 2 +blo "5000,-7900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 5 +suid 27,0 +) +) +) +*58 (CptPort +uid 13422,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13423,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6000,-10375,6750,-9625" +) +tg (CPTG +uid 13424,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 13425,0 +va (VaSet +) +xt "2500,-10600,5000,-9700" +st "RST_n" +ju 2 +blo "5000,-9900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 6 +suid 28,0 +) +) +) +*59 (CptPort +uid 13426,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13427,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-10750,-12375,-10000,-11625" +) +tg (CPTG +uid 13428,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13429,0 +va (VaSet +) +xt "-9000,-12600,-7000,-11700" +st "busy" +blo "-9000,-11900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "busy" +t "std_ulogic" +o 10 +suid 29,0 +) +) +) +] +shape (Rectangle +uid 13431,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-10000,-20000,6000,-4000" +) +oxt "8000,12000,24000,28000" +ttg (MlTextGroup +uid 13432,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +uid 13433,0 +va (VaSet +font "Verdana,9,1" +) +xt "-9400,-4200,-7900,-3300" +st "Lcd" +blo "-9400,-3500" +tm "BdLibraryNameMgr" +) +*61 (Text +uid 13434,0 +va (VaSet +font "Verdana,9,1" +) +xt "-9400,-3000,-2400,-2100" +st "lcdController" +blo "-9400,-2300" +tm "CptNameMgr" +) +*62 (Text +uid 13435,0 +va (VaSet +font "Verdana,9,1" +) +xt "-9400,-1800,-6900,-900" +st "I_lcd" +blo "-9400,-1100" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 13436,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 13437,0 +text (MLText +uid 13438,0 +va (VaSet +font "Verdana,8,0" +) +xt "-10000,-600,12400,1400" +st "baudRateDivide = baudRateDivide ( integer ) +asciiBitNb = asciiBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "baudRateDivide" +type "integer" +value "baudRateDivide" +) +(GiElement +name "asciiBitNb" +type "positive" +value "asciiBitNb" +) +] +) +viewicon (ZoomableIcon +uid 13439,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "-9750,-5750,-8250,-4250" +iconName "BlockDiagram.png" +iconMaskName "BlockDiagram.msk" +ftype 1 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*63 (SaComponent +uid 13570,0 +optionalChildren [ +*64 (CptPort +uid 13550,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13551,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-65750,-36375,-65000,-35625" +) +tg (CPTG +uid 13552,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13553,0 +va (VaSet +) +xt "-64000,-36600,-62500,-35700" +st "RxD" +blo "-64000,-35900" +) +) +thePort (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 13554,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13555,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-65750,-32375,-65000,-31625" +) +tg (CPTG +uid 13556,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13557,0 +va (VaSet +) +xt "-64000,-32600,-61500,-31700" +st "clock" +blo "-64000,-31900" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*66 (CptPort +uid 13558,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13559,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-65750,-30375,-65000,-29625" +) +tg (CPTG +uid 13560,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13561,0 +va (VaSet +) +xt "-64000,-30600,-61500,-29700" +st "reset" +blo "-64000,-29900" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*67 (CptPort +uid 13562,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13563,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-49000,-36375,-48250,-35625" +) +tg (CPTG +uid 13564,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 13565,0 +va (VaSet +) +xt "-53499,-36600,-49999,-35700" +st "dataOut" +ju 2 +blo "-49999,-35900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*68 (CptPort +uid 13566,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13567,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-49000,-34375,-48250,-33625" +) +tg (CPTG +uid 13568,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 13569,0 +va (VaSet +) +xt "-54500,-34600,-50000,-33700" +st "dataValid" +ju 2 +blo "-50000,-33900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataValid" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 13571,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-65000,-40000,-49000,-28000" +) +oxt "34000,16000,50000,28000" +ttg (MlTextGroup +uid 13572,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*69 (Text +uid 13573,0 +va (VaSet +font "Verdana,9,1" +) +xt "-64400,-28200,-61900,-27300" +st "RS232" +blo "-64400,-27500" +tm "BdLibraryNameMgr" +) +*70 (Text +uid 13574,0 +va (VaSet +font "Verdana,9,1" +) +xt "-64400,-27300,-54900,-26400" +st "serialPortReceiver" +blo "-64400,-26600" +tm "CptNameMgr" +) +*71 (Text +uid 13575,0 +va (VaSet +font "Verdana,9,1" +) +xt "-64400,-26400,-62900,-25500" +st "I_0" +blo "-64400,-25700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 13576,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 13577,0 +text (MLText +uid 13578,0 +va (VaSet +font "Verdana,8,0" +) +xt "-65000,-25200,-36300,-23200" +st "dataBitNb = rs232DataBitNb ( positive ) +baudRateDivide = clockFrequency/rs232BaudRate ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "rs232DataBitNb" +) +(GiElement +name "baudRateDivide" +type "positive" +value "clockFrequency/rs232BaudRate" +) +] +) +viewicon (ZoomableIcon +uid 13579,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "-64750,-29750,-63250,-28250" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*72 (SaComponent +uid 13604,0 +optionalChildren [ +*73 (CptPort +uid 13580,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13581,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-65750,-12375,-65000,-11625" +) +tg (CPTG +uid 13582,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13583,0 +va (VaSet +) +xt "-64000,-12600,-61500,-11700" +st "clock" +blo "-64000,-11900" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*74 (CptPort +uid 13584,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13585,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-65750,-10375,-65000,-9625" +) +tg (CPTG +uid 13586,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13587,0 +va (VaSet +) +xt "-64000,-10600,-61500,-9700" +st "reset" +blo "-64000,-9900" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 2,0 +) +) +) +*75 (CptPort +uid 13588,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13589,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-49000,-16375,-48250,-15625" +) +tg (CPTG +uid 13590,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 13591,0 +va (VaSet +) +xt "-52500,-16600,-50000,-15700" +st "ascii" +ju 2 +blo "-50000,-15900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 3 +suid 11,0 +) +) +) +*76 (CptPort +uid 13592,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13593,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-49000,-14375,-48250,-13625" +) +tg (CPTG +uid 13594,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 13595,0 +va (VaSet +) +xt "-52000,-14600,-50000,-13700" +st "send" +ju 2 +blo "-50000,-13900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "send" +t "std_ulogic" +o 4 +suid 21,0 +) +) +) +*77 (CptPort +uid 13596,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13597,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-49000,-12375,-48250,-11625" +) +tg (CPTG +uid 13598,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 13599,0 +va (VaSet +) +xt "-52000,-12600,-50000,-11700" +st "busy" +ju 2 +blo "-50000,-11900" +) +) +thePort (LogicalPort +decl (Decl +n "busy" +t "std_ulogic" +o 5 +suid 29,0 +) +) +) +*78 (CptPort +uid 13600,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13601,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-65750,-16375,-65000,-15625" +) +tg (CPTG +uid 13602,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13603,0 +va (VaSet +) +xt "-64000,-16600,-61000,-15700" +st "button" +blo "-64000,-15900" +) +) +thePort (LogicalPort +decl (Decl +n "button" +t "std_ulogic" +o 6 +suid 30,0 +) +) +) +] +shape (Rectangle +uid 13605,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-65000,-20000,-49000,-8000" +) +oxt "8000,16000,24000,28000" +ttg (MlTextGroup +uid 13606,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +uid 13607,0 +va (VaSet +font "Verdana,9,1" +) +xt "-64400,-8200,-62900,-7300" +st "Lcd" +blo "-64400,-7500" +tm "BdLibraryNameMgr" +) +*80 (Text +uid 13608,0 +va (VaSet +font "Verdana,9,1" +) +xt "-64400,-7300,-58900,-6400" +st "helloWorld" +blo "-64400,-6600" +tm "CptNameMgr" +) +*81 (Text +uid 13609,0 +va (VaSet +font "Verdana,9,1" +) +xt "-64400,-6400,-60900,-5500" +st "I_hello" +blo "-64400,-5700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 13610,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 13611,0 +text (MLText +uid 13612,0 +va (VaSet +font "Verdana,8,0" +) +xt "-65000,-4200,-47800,-3200" +st "asciiBitNb = asciiBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "asciiBitNb" +type "positive" +value "asciiBitNb" +) +] +) +viewicon (ZoomableIcon +uid 13613,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "-64750,-9750,-63250,-8250" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +connectByName 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*82 (Net +uid 13620,0 +decl (Decl +n "helloData" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 12 +suid 226,0 +) +declText (MLText +uid 13621,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-51200,-68400,-50200" +st "SIGNAL helloData : std_ulogic_vector(asciiBitNb-1 downto 0)" +) +) +*83 (Net +uid 13622,0 +decl (Decl +n "helloSend" +t "std_ulogic" +o 14 +suid 227,0 +) +declText (MLText +uid 13623,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-49400,-81400,-48400" +st "SIGNAL helloSend : std_ulogic" +) +) +*84 (Net +uid 13624,0 +decl (Decl +n "helloBusy" +t "std_ulogic" +o 16 +suid 228,0 +) +declText (MLText +uid 13625,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-47600,-81500,-46600" +st "SIGNAL helloBusy : std_ulogic" +) +) +*85 (Net +uid 13626,0 +decl (Decl +n "uartData" +t "std_ulogic_vector" +b "(rs232DataBitNb-1 DOWNTO 0)" +o 18 +suid 229,0 +) +declText (MLText +uid 13627,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-45800,-65300,-44800" +st "SIGNAL uartData : std_ulogic_vector(rs232DataBitNb-1 DOWNTO 0)" +) +) +*86 (Net +uid 13628,0 +decl (Decl +n "uartSend" +t "std_ulogic" +o 19 +suid 230,0 +) +declText (MLText +uid 13629,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-44900,-81400,-43900" +st "SIGNAL uartSend : std_ulogic" +) +) +*87 (Wire +uid 6704,0 +shape (OrthoPolyLine +uid 6705,0 +va (VaSet +vasetType 3 +) +xt "6750,-16000,14000,-16000" +pts [ +"6750,-16000" +"14000,-16000" +] +) +start &52 +end &13 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6708,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6709,0 +va (VaSet +font "Verdana,12,0" +) +xt "12000,-17400,13400,-16100" +st "SI" +blo "12000,-16400" +tm "WireNameMgr" +) +) +on &18 +) +*88 (Wire +uid 6712,0 +shape (OrthoPolyLine +uid 6713,0 +va (VaSet +vasetType 3 +) +xt "6750,-14000,14000,-14000" +pts [ +"6750,-14000" +"14000,-14000" +] +) +start &53 +end &14 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6716,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6717,0 +va (VaSet +font "Verdana,12,0" +) +xt "11000,-15400,13100,-14100" +st "SCL" +blo "11000,-14400" +tm "WireNameMgr" +) +) +on &19 +) +*89 (Wire +uid 6720,0 +shape (OrthoPolyLine +uid 6721,0 +va (VaSet +vasetType 3 +) +xt "6750,-12000,14000,-12000" +pts [ +"6750,-12000" +"14000,-12000" +] +) +start &54 +end &15 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6724,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6725,0 +va (VaSet +font "Verdana,12,0" +) +xt "12000,-13400,13400,-12100" +st "A0" +blo "12000,-12400" +tm "WireNameMgr" +) +) +on &20 +) +*90 (Wire +uid 6728,0 +shape (OrthoPolyLine +uid 6729,0 +va (VaSet +vasetType 3 +) +xt "6750,-8000,14000,-8000" +pts [ +"6750,-8000" +"14000,-8000" +] +) +start &57 +end &16 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6732,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6733,0 +va (VaSet +font "Verdana,12,0" +) +xt "10000,-9400,13500,-8100" +st "CS1_n" +blo "10000,-8400" +tm "WireNameMgr" +) +) +on &22 +) +*91 (Wire +uid 6742,0 +shape (OrthoPolyLine +uid 6743,0 +va (VaSet +vasetType 3 +) +xt "6750,-10000,14000,-10000" +pts [ +"6750,-10000" +"14000,-10000" +] +) +start &58 +end &17 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6746,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6747,0 +va (VaSet +font "Verdana,12,0" +) +xt "10000,-11400,13500,-10100" +st "RST_n" +blo "10000,-10400" +tm "WireNameMgr" +) +) +on &23 +) +*92 (Wire +uid 9879,0 +shape (OrthoPolyLine +uid 9880,0 +va (VaSet +vasetType 3 +) +xt "-14000,-8000,-10750,-8000" +pts [ +"-14000,-8000" +"-10750,-8000" +] +) +end &50 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 9885,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9886,0 +va (VaSet +font "Verdana,12,0" +) +xt "-15000,-9400,-11500,-8100" +st "clock" +blo "-15000,-8400" +tm "WireNameMgr" +) +) +on &21 +) +*93 (Wire +uid 9887,0 +shape (OrthoPolyLine +uid 9888,0 +va (VaSet +vasetType 3 +) +xt "-14000,-6000,-10750,-6000" +pts [ +"-14000,-6000" +"-10750,-6000" +] +) +end &51 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 9893,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9894,0 +va (VaSet +font "Verdana,12,0" +) +xt "-15000,-7400,-11500,-6100" +st "reset" +blo "-15000,-6400" +tm "WireNameMgr" +) +) +on &12 +) +*94 (Wire +uid 10663,0 +shape (OrthoPolyLine +uid 10664,0 +va (VaSet +vasetType 3 +) +xt "-73000,-10000,-65750,-10000" +pts [ +"-73000,-10000" +"-65750,-10000" +] +) +start &25 +end &74 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10667,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10668,0 +va (VaSet +font "Verdana,12,0" +) +xt "-73000,-11400,-69500,-10100" +st "reset" +blo "-73000,-10400" +tm "WireNameMgr" +) +) +on &12 +) +*95 (Wire +uid 10669,0 +shape (OrthoPolyLine +uid 10670,0 +va (VaSet +vasetType 3 +) +xt "-73000,-12000,-65750,-12000" +pts [ +"-73000,-12000" +"-65750,-12000" +] +) +start &24 +end &73 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10673,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10674,0 +va (VaSet +font "Verdana,12,0" +) +xt "-73000,-13400,-69500,-12100" +st "clock" +blo "-73000,-12400" +tm "WireNameMgr" +) +) +on &21 +) +*96 (Wire +uid 12310,0 +shape (OrthoPolyLine +uid 12311,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-18000,8000,-10000,8000" +pts [ +"-18000,8000" +"-10000,8000" +] +) +start &30 +end &26 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12314,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12315,0 +va (VaSet +font "Verdana,12,0" +) +xt "-18000,6600,-13100,7900" +st "buttons" +blo "-18000,7600" +tm "WireNameMgr" +) +) +on &31 +) +*97 (Wire +uid 12324,0 +shape (OrthoPolyLine +uid 12325,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "6000,8000,14000,8000" +pts [ +"6000,8000" +"14000,8000" +] +) +start &26 +end &32 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12328,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12329,0 +va (VaSet +font "Verdana,12,0" +) +xt "11000,6600,13800,7900" +st "leds" +blo "11000,7600" +tm "WireNameMgr" +) +) +on &33 +) +*98 (Wire +uid 12543,0 +shape (OrthoPolyLine +uid 12544,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-48250,-16000,-32000,-16000" +pts [ +"-48250,-16000" +"-40000,-16000" +"-32000,-16000" +] +) +start &75 +end &34 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12545,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12546,0 +va (VaSet +font "Verdana,12,0" +) +xt "-47000,-17300,-40700,-16000" +st "helloData" +blo "-47000,-16300" +tm "WireNameMgr" +) +) +on &82 +) +*99 (Wire +uid 12549,0 +shape (OrthoPolyLine +uid 12550,0 +va (VaSet +vasetType 3 +) +xt "-48250,-14000,-32000,-14000" +pts [ +"-48250,-14000" +"-40000,-14000" +"-32000,-14000" +] +) +start &76 +end &34 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12551,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12552,0 +va (VaSet +font "Verdana,12,0" +) +xt "-47000,-15300,-40700,-14000" +st "helloSend" +blo "-47000,-14300" +tm "WireNameMgr" +) +) +on &83 +) +*100 (Wire +uid 12555,0 +shape (OrthoPolyLine +uid 12556,0 +va (VaSet +vasetType 3 +) +xt "-48250,-12000,-32000,-12000" +pts [ +"-48250,-12000" +"-40000,-12000" +"-32000,-12000" +] +) +start &77 +end &34 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12557,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12558,0 +va (VaSet +font "Verdana,12,0" +) +xt "-47000,-13300,-40700,-12000" +st "helloBusy" +blo "-47000,-12300" +tm "WireNameMgr" +) +) +on &84 +) +*101 (Wire +uid 12559,0 +shape (OrthoPolyLine +uid 12560,0 +va (VaSet +vasetType 3 +) +xt "-73000,-16000,-65750,-16000" +pts [ +"-65750,-16000" +"-73000,-16000" +] +) +start &78 +sat 32 +eat 16 +sl "(1)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12563,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12564,0 +va (VaSet +font "Verdana,12,0" +) +xt "-74000,-17400,-66300,-16100" +st "buttons(1)" +blo "-74000,-16400" +tm "WireNameMgr" +) +) +on &31 +) +*102 (Wire +uid 12714,0 +shape (OrthoPolyLine +uid 12715,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-48250,-36000,-20000,-20000" +pts [ +"-48250,-36000" +"-20000,-36000" +"-20000,-20000" +] +) +start &67 +end &34 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12718,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12719,0 +va (VaSet +font "Verdana,12,0" +) +xt "-46250,-37400,-40650,-36100" +st "uartData" +blo "-46250,-36400" +tm "WireNameMgr" +) +) +on &85 +) +*103 (Wire +uid 12722,0 +shape (OrthoPolyLine +uid 12723,0 +va (VaSet +vasetType 3 +) +xt "-48250,-34000,-23000,-20000" +pts [ +"-48250,-34000" +"-23000,-34000" +"-23000,-20000" +] +) +start &68 +end &34 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12726,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12727,0 +va (VaSet +font "Verdana,12,0" +) +xt "-46250,-35400,-40650,-34100" +st "uartSend" +blo "-46250,-34400" +tm "WireNameMgr" +) +) +on &86 +) +*104 (Wire +uid 12734,0 +shape (OrthoPolyLine +uid 12735,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-16000,-16000,-10750,-16000" +pts [ +"-16000,-16000" +"-12000,-16000" +"-10750,-16000" +] +) +start &34 +end &55 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12740,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12741,0 +va (VaSet +font "Verdana,12,0" +) +xt "-14000,-16400,-10500,-15100" +st "ascii" +blo "-14000,-15400" +tm "WireNameMgr" +) +) +on &38 +) +*105 (Wire +uid 12742,0 +shape (OrthoPolyLine +uid 12743,0 +va (VaSet +vasetType 3 +) +xt "-16000,-14000,-10750,-14000" +pts [ +"-16000,-14000" +"-10750,-14000" +] +) +start &34 +end &56 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12748,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12749,0 +va (VaSet +font "Verdana,12,0" +) +xt "-14250,-14000,-11450,-12700" +st "send" +blo "-14250,-13000" +tm "WireNameMgr" +) +) +on &39 +) +*106 (Wire +uid 12750,0 +shape (OrthoPolyLine +uid 12751,0 +va (VaSet +vasetType 3 +) +xt "-16000,-12000,-10750,-12000" +pts [ +"-16000,-12000" +"-10750,-12000" +] +) +start &34 +end &59 +sat 1 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12756,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12757,0 +va (VaSet +font "Verdana,12,0" +) +xt "-14000,-12400,-11200,-11100" +st "busy" +blo "-14000,-11400" +tm "WireNameMgr" +) +) +on &40 +) +*107 (Wire +uid 12780,0 +shape (OrthoPolyLine +uid 12781,0 +va (VaSet +vasetType 3 +) +xt "-69000,-32000,-65750,-32000" +pts [ +"-69000,-32000" +"-65750,-32000" +] +) +end &65 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12786,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12787,0 +va (VaSet +font "Verdana,12,0" +) +xt "-70000,-33400,-66500,-32100" +st "clock" +blo "-70000,-32400" +tm "WireNameMgr" +) +) +on &21 +) +*108 (Wire +uid 12788,0 +shape (OrthoPolyLine +uid 12789,0 +va (VaSet +vasetType 3 +) +xt "-69000,-30000,-65750,-30000" +pts [ +"-69000,-30000" +"-65750,-30000" +] +) +end &66 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12794,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12795,0 +va (VaSet +font "Verdana,12,0" +) +xt "-70000,-31400,-66500,-30100" +st "reset" +blo "-70000,-30400" +tm "WireNameMgr" +) +) +on &12 +) +*109 (Wire +uid 12804,0 +shape (OrthoPolyLine +uid 12805,0 +va (VaSet +vasetType 3 +) +xt "-73000,-36000,-65750,-36000" +pts [ +"-73000,-36000" +"-65750,-36000" +] +) +start &41 +end &64 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12806,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12807,0 +va (VaSet +font "Verdana,12,0" +) +xt "-71000,-37400,-68900,-36100" +st "RxD" +blo "-71000,-36400" +tm "WireNameMgr" +) +) +on &42 +) +*110 (Wire +uid 13032,0 +shape (OrthoPolyLine +uid 13033,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "2000,-33000,14000,-33000" +pts [ +"2000,-33000" +"14000,-33000" +] +) +start &45 +end &43 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 13036,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13037,0 +va (VaSet +font "Verdana,12,0" +) +xt "9000,-34400,12500,-33100" +st "debug" +blo "9000,-33400" +tm "WireNameMgr" +) +) +on &44 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *111 (PackageList +uid 42,0 +stg "VerticalLayoutStrategy" +textVec [ +*112 (Text +uid 43,0 +va (VaSet +font "Verdana,9,1" +) +xt "-97000,-74000,-90500,-73100" +st "Package List" +blo "-97000,-73300" +) +*113 (MLText +uid 44,0 +va (VaSet +) +xt "-97000,-72800,-79500,-69200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 45,0 +stg "VerticalLayoutStrategy" +textVec [ +*114 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30000,900" +st "Compiler Directives" +blo "20000,700" +) +*115 (Text +uid 47,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,31500,1900" +st "Pre-module directives:" +blo "20000,1700" +) +*116 (MLText +uid 48,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*117 (Text +uid 49,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32000,4900" +st "Post-module directives:" +blo "20000,4700" +) +*118 (MLText +uid 50,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*119 (Text +uid 51,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,31500,5900" +st "End-module directives:" +blo "20000,5700" +) +*120 (MLText +uid 52,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "545,32,1655,952" +viewArea "-99147,-76102,54100,30070" +cachedDiagramExtent "-97000,-74000,51000,25000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +scale 50 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-97000,-74000" +lastUid 13694,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "700,1000,4700,2200" +st "Panel0" +blo "700,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*121 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2450,3500,7850,4700" +st "" +blo "2450,4500" +tm "BdLibraryNameMgr" +) +*122 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2450,4700,7250,5900" +st "" +blo "2450,5700" +tm "BlkNameMgr" +) +*123 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2450,5900,4750,7100" +st "I_0" +blo "2450,6900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "2450,13500,2450,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "250,8250,1750,9750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*124 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1000,3500,6700,4900" +st "Library" +blo "1000,4700" +) +*125 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1000,4900,12700,6300" +st "MWComponent" +blo "1000,6100" +) +*126 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1000,6300,4300,7700" +st "I_0" +blo "1000,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*127 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1250,3500,6950,4900" +st "Library" +blo "1250,4700" +tm "BdLibraryNameMgr" +) +*128 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1250,4900,12150,6300" +st "SaComponent" +blo "1250,6100" +tm "CptNameMgr" +) +*129 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1250,6300,4550,7700" +st "I_0" +blo "1250,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "250,8250,1750,9750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*130 (Text +va (VaSet +font "Verdana,12,1" +) +xt "950,3500,6650,4900" +st "Library" +blo "950,4700" +) +*131 (Text +va (VaSet +font "Verdana,12,1" +) +xt "950,4900,13050,6300" +st "VhdlComponent" +blo "950,6100" +) +*132 (Text +va (VaSet +font "Verdana,12,1" +) +xt "950,6300,4250,7700" +st "I_0" +blo "950,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*133 (Text +va (VaSet +font "Verdana,12,1" +) +xt "450,3500,6150,4900" +st "Library" +blo "450,4700" +) +*134 (Text +va (VaSet +font "Verdana,12,1" +) +xt "450,4900,14350,6300" +st "VerilogComponent" +blo "450,6100" +) +*135 (Text +va (VaSet +font "Verdana,12,1" +) +xt "450,6300,3750,7700" +st "I_0" +blo "450,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*136 (Text +va (VaSet +font "Verdana,9,1" +) +xt "3400,4000,5800,5200" +st "eb1" +blo "3400,5000" +tm "HdlTextNameMgr" +) +*137 (Text +va (VaSet +font "Verdana,9,1" +) +xt "3400,5200,4600,6400" +st "1" +blo "3400,6200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "250,8250,1750,9750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "Courier,9,0" +) +xt "200,200,4200,1500" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3400,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,4700,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,-200,4700,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1200,9600,2400" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "-2950,-1200,15550,0" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "-150,150,1250,1350" +st "1" +blo "-150,1150" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*138 (Text +va (VaSet +font "Verdana,9,1" +) +xt "14100,20000,24900,21200" +st "Frame Declarations" +blo "14100,21000" +) +*139 (MLText +va (VaSet +) +xt "14100,21200,14100,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "-1800,-1200,9200,0" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "-150,150,1250,1350" +st "1" +blo "-150,1150" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*140 (Text +va (VaSet +font "Verdana,9,1" +) +xt "14100,20000,24900,21200" +st "Frame Declarations" +blo "14100,21000" +) +*141 (MLText +va (VaSet +) +xt "14100,21200,14100,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "-97000,-67400,-90500,-66500" +st "Declarations" +blo "-97000,-66700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "-97000,-66500,-94000,-65600" +st "Ports:" +blo "-97000,-65800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "-97000,-55700,-92500,-54800" +st "Pre User:" +blo "-97000,-55000" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-54800,-75200,-51800" +st "constant asciiBitNb: positive := 7; +constant rs232DataBitNb: positive := 8; +constant clockFrequency: positive := 66E6;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "-97000,-52100,-88500,-51200" +st "Diagram Signals:" +blo "-97000,-51400" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "-97000,-67400,-91500,-66500" +st "Post User:" +blo "-97000,-66700" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-97000,-67400,-97000,-67400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 230,0 +usingSuid 1 +emptyRow *142 (LEmptyRow +) +uid 1151,0 +optionalChildren [ +*143 (RefLabelRowHdr +) +*144 (TitleRowHdr +) +*145 (FilterRowHdr +) +*146 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*147 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*148 (GroupColHdr +tm "GroupColHdrMgr" +) +*149 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*150 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*151 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*152 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*153 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*154 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*155 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 115,0 +) +) +uid 6784,0 +) +*156 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 2 +suid 121,0 +) +) +uid 6786,0 +) +*157 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 3 +suid 122,0 +) +) +uid 6788,0 +) +*158 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 4 +suid 123,0 +) +) +uid 6790,0 +) +*159 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 139,0 +) +) +uid 7915,0 +) +*160 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 5 +suid 182,0 +) +) +uid 9952,0 +) +*161 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 6 +suid 183,0 +) +) +uid 9954,0 +) +*162 (LeafLogPort +port (LogicalPort +decl (Decl +n "buttons" +t "std_ulogic_vector" +b "(1 to buttonNb)" +o 8 +suid 204,0 +) +) +uid 12301,0 +) +*163 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "leds" +t "std_ulogic_vector" +b "(1 to ledNb)" +o 9 +suid 205,0 +) +) +uid 12303,0 +) +*164 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 13 +suid 214,0 +) +) +uid 12774,0 +) +*165 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "send" +t "std_ulogic" +o 15 +suid 215,0 +) +) +uid 12776,0 +) +*166 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "busy" +t "std_ulogic" +o 17 +suid 216,0 +) +) +uid 12778,0 +) +*167 (LeafLogPort +port (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 10 +suid 217,0 +) +) +uid 12808,0 +) +*168 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "debug" +t "std_ulogic_vector" +b "(15 DOWNTO 0)" +o 11 +suid 219,0 +) +) +uid 13198,0 +) +*169 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "helloData" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 12 +suid 226,0 +) +) +uid 13630,0 +) +*170 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "helloSend" +t "std_ulogic" +o 14 +suid 227,0 +) +) +uid 13632,0 +) +*171 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "helloBusy" +t "std_ulogic" +o 16 +suid 228,0 +) +) +uid 13634,0 +) +*172 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "uartData" +t "std_ulogic_vector" +b "(rs232DataBitNb-1 DOWNTO 0)" +o 18 +suid 229,0 +) +) +uid 13636,0 +) +*173 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "uartSend" +t "std_ulogic" +o 19 +suid 230,0 +) +) +uid 13638,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 1164,0 +optionalChildren [ +*174 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *175 (MRCItem +litem &142 +pos 19 +dimension 20 +) +uid 1166,0 +optionalChildren [ +*176 (MRCItem +litem &143 +pos 0 +dimension 20 +uid 1167,0 +) +*177 (MRCItem +litem &144 +pos 1 +dimension 23 +uid 1168,0 +) +*178 (MRCItem +litem &145 +pos 2 +hidden 1 +dimension 20 +uid 1169,0 +) +*179 (MRCItem +litem &155 +pos 0 +dimension 20 +uid 6785,0 +) +*180 (MRCItem +litem &156 +pos 1 +dimension 20 +uid 6787,0 +) +*181 (MRCItem +litem &157 +pos 2 +dimension 20 +uid 6789,0 +) +*182 (MRCItem +litem &158 +pos 3 +dimension 20 +uid 6791,0 +) +*183 (MRCItem +litem &159 +pos 4 +dimension 20 +uid 7916,0 +) +*184 (MRCItem +litem &160 +pos 6 +dimension 20 +uid 9953,0 +) +*185 (MRCItem +litem &161 +pos 7 +dimension 20 +uid 9955,0 +) +*186 (MRCItem +litem &162 +pos 5 +dimension 20 +uid 12300,0 +) +*187 (MRCItem +litem &163 +pos 8 +dimension 20 +uid 12302,0 +) +*188 (MRCItem +litem &164 +pos 11 +dimension 20 +uid 12775,0 +) +*189 (MRCItem +litem &165 +pos 12 +dimension 20 +uid 12777,0 +) +*190 (MRCItem +litem &166 +pos 13 +dimension 20 +uid 12779,0 +) +*191 (MRCItem +litem &167 +pos 9 +dimension 20 +uid 12809,0 +) +*192 (MRCItem +litem &168 +pos 10 +dimension 20 +uid 13199,0 +) +*193 (MRCItem +litem &169 +pos 14 +dimension 20 +uid 13631,0 +) +*194 (MRCItem +litem &170 +pos 15 +dimension 20 +uid 13633,0 +) +*195 (MRCItem +litem &171 +pos 16 +dimension 20 +uid 13635,0 +) +*196 (MRCItem +litem &172 +pos 17 +dimension 20 +uid 13637,0 +) +*197 (MRCItem +litem &173 +pos 18 +dimension 20 +uid 13639,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1170,0 +optionalChildren [ +*198 (MRCItem +litem &146 +pos 0 +dimension 20 +uid 1171,0 +) +*199 (MRCItem +litem &148 +pos 1 +dimension 50 +uid 1172,0 +) +*200 (MRCItem +litem &149 +pos 2 +dimension 100 +uid 1173,0 +) +*201 (MRCItem +litem &150 +pos 3 +dimension 50 +uid 1174,0 +) +*202 (MRCItem +litem &151 +pos 4 +dimension 100 +uid 1175,0 +) +*203 (MRCItem +litem &152 +pos 5 +dimension 100 +uid 1176,0 +) +*204 (MRCItem +litem &153 +pos 6 +dimension 50 +uid 1177,0 +) +*205 (MRCItem +litem &154 +pos 7 +dimension 80 +uid 1178,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 1165,0 +vaOverrides [ +] +) +] +) +uid 1150,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *206 (LEmptyRow +) +uid 1180,0 +optionalChildren [ +*207 (RefLabelRowHdr +) +*208 (TitleRowHdr +) +*209 (FilterRowHdr +) +*210 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*211 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*212 (GroupColHdr +tm "GroupColHdrMgr" +) +*213 (NameColHdr +tm "GenericNameColHdrMgr" +) +*214 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*215 (InitColHdr +tm "GenericValueColHdrMgr" +) +*216 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*217 (EolColHdr +tm "GenericEolColHdrMgr" +) +*218 (LogGeneric +generic (GiElement +name "baudRateDivide" +type "positive" +value "2" +) +uid 10994,0 +) +*219 (LogGeneric +generic (GiElement +name "buttonNb" +type "positive" +value "4" +) +uid 12333,0 +) +*220 (LogGeneric +generic (GiElement +name "ledNb" +type "positive" +value "8" +) +uid 12335,0 +) +*221 (LogGeneric +generic (GiElement +name "rs232BaudRate" +type "positive" +value "9600" +) +uid 12916,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 1192,0 +optionalChildren [ +*222 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *223 (MRCItem +litem &206 +pos 4 +dimension 20 +) +uid 1194,0 +optionalChildren [ +*224 (MRCItem +litem &207 +pos 0 +dimension 20 +uid 1195,0 +) +*225 (MRCItem +litem &208 +pos 1 +dimension 23 +uid 1196,0 +) +*226 (MRCItem +litem &209 +pos 2 +hidden 1 +dimension 20 +uid 1197,0 +) +*227 (MRCItem +litem &218 +pos 0 +dimension 20 +uid 10993,0 +) +*228 (MRCItem +litem &219 +pos 1 +dimension 20 +uid 12332,0 +) +*229 (MRCItem +litem &220 +pos 2 +dimension 20 +uid 12334,0 +) +*230 (MRCItem +litem &221 +pos 3 +dimension 20 +uid 12917,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1198,0 +optionalChildren [ +*231 (MRCItem +litem &210 +pos 0 +dimension 20 +uid 1199,0 +) +*232 (MRCItem +litem &212 +pos 1 +dimension 50 +uid 1200,0 +) +*233 (MRCItem +litem &213 +pos 2 +dimension 100 +uid 1201,0 +) +*234 (MRCItem +litem &214 +pos 3 +dimension 100 +uid 1202,0 +) +*235 (MRCItem +litem &215 +pos 4 +dimension 50 +uid 1203,0 +) +*236 (MRCItem +litem &216 +pos 5 +dimension 50 +uid 1204,0 +) +*237 (MRCItem +litem &217 +pos 6 +dimension 80 +uid 1205,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 1193,0 +vaOverrides [ +] +) +] +) +uid 1179,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Lcd/hds/lcd@demo/symbol.sb b/Libs/Lcd/hds/lcd@demo/symbol.sb new file mode 100644 index 0000000..46a6a66 --- /dev/null +++ b/Libs/Lcd/hds/lcd@demo/symbol.sb @@ -0,0 +1,2070 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 32,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 21,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 1,0 +) +) +uid 111,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 2,0 +) +) +uid 113,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 2 +suid 3,0 +) +) +uid 115,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 3 +suid 4,0 +) +) +uid 117,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 4 +suid 5,0 +) +) +uid 119,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "buttons" +t "std_ulogic_vector" +b "(1 to buttonNb)" +o 8 +suid 11,0 +) +) +uid 798,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 5 +suid 27,0 +) +) +uid 2133,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 6 +suid 28,0 +) +) +uid 2135,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "leds" +t "std_ulogic_vector" +b "(1 to ledNb)" +o 9 +suid 30,0 +) +) +uid 2723,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 10 +suid 31,0 +) +) +uid 2750,0 +) +*24 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "debug" +t "std_ulogic_vector" +b "(15 DOWNTO 0)" +o 11 +suid 32,0 +) +) +uid 2828,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 34,0 +optionalChildren [ +*25 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *26 (MRCItem +litem &1 +pos 11 +dimension 20 +) +uid 36,0 +optionalChildren [ +*27 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 37,0 +) +*28 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 38,0 +) +*29 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 39,0 +) +*30 (MRCItem +litem &14 +pos 4 +dimension 20 +uid 112,0 +) +*31 (MRCItem +litem &15 +pos 0 +dimension 20 +uid 114,0 +) +*32 (MRCItem +litem &16 +pos 1 +dimension 20 +uid 116,0 +) +*33 (MRCItem +litem &17 +pos 2 +dimension 20 +uid 118,0 +) +*34 (MRCItem +litem &18 +pos 3 +dimension 20 +uid 120,0 +) +*35 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 797,0 +) +*36 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 2132,0 +) +*37 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 2134,0 +) +*38 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 2724,0 +) +*39 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 2749,0 +) +*40 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 2827,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 40,0 +optionalChildren [ +*41 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 41,0 +) +*42 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 42,0 +) +*43 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 43,0 +) +*44 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 44,0 +) +*45 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 45,0 +) +*46 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 46,0 +) +*47 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 47,0 +) +*48 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 48,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 35,0 +vaOverrides [ +] +) +] +) +uid 20,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *49 (LEmptyRow +) +uid 50,0 +optionalChildren [ +*50 (RefLabelRowHdr +) +*51 (TitleRowHdr +) +*52 (FilterRowHdr +) +*53 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*54 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*55 (GroupColHdr +tm "GroupColHdrMgr" +) +*56 (NameColHdr +tm "GenericNameColHdrMgr" +) +*57 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*58 (InitColHdr +tm "GenericValueColHdrMgr" +) +*59 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*60 (EolColHdr +tm "GenericEolColHdrMgr" +) +*61 (LogGeneric +generic (GiElement +name "baudRateDivide" +type "positive" +value "2" +) +uid 149,0 +) +*62 (LogGeneric +generic (GiElement +name "buttonNb" +type "positive" +value "4" +) +uid 2714,0 +) +*63 (LogGeneric +generic (GiElement +name "ledNb" +type "positive" +value "8" +) +uid 2716,0 +) +*64 (LogGeneric +generic (GiElement +name "rs232BaudRate" +type "positive" +value "9600" +) +uid 2803,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 62,0 +optionalChildren [ +*65 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *66 (MRCItem +litem &49 +pos 4 +dimension 20 +) +uid 64,0 +optionalChildren [ +*67 (MRCItem +litem &50 +pos 0 +dimension 20 +uid 65,0 +) +*68 (MRCItem +litem &51 +pos 1 +dimension 23 +uid 66,0 +) +*69 (MRCItem +litem &52 +pos 2 +hidden 1 +dimension 20 +uid 67,0 +) +*70 (MRCItem +litem &61 +pos 0 +dimension 20 +uid 150,0 +) +*71 (MRCItem +litem &62 +pos 1 +dimension 20 +uid 2715,0 +) +*72 (MRCItem +litem &63 +pos 2 +dimension 20 +uid 2717,0 +) +*73 (MRCItem +litem &64 +pos 3 +dimension 20 +uid 2802,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 68,0 +optionalChildren [ +*74 (MRCItem +litem &53 +pos 0 +dimension 20 +uid 69,0 +) +*75 (MRCItem +litem &55 +pos 1 +dimension 50 +uid 70,0 +) +*76 (MRCItem +litem &56 +pos 2 +dimension 100 +uid 71,0 +) +*77 (MRCItem +litem &57 +pos 3 +dimension 100 +uid 72,0 +) +*78 (MRCItem +litem &58 +pos 4 +dimension 50 +uid 73,0 +) +*79 (MRCItem +litem &59 +pos 5 +dimension 50 +uid 74,0 +) +*80 (MRCItem +litem &60 +pos 6 +dimension 80 +uid 75,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 63,0 +vaOverrides [ +] +) +] +) +uid 49,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@demo\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@demo\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@demo" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcdDemo" +) +(vvPair +variable "date" +value "14.10.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdDemo" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "14.10.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "10:35:46" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "LCD" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../libs/SPI/concat" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../libs/SPI/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/LCD/work" +) +(vvPair +variable "mm" +value "10" +) +(vvPair +variable "module_name" +value "lcdDemo" +) +(vvPair +variable "month" +value "Oct" +) +(vvPair +variable "month_long" +value "October" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@demo\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcdDemo\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "10:35:46" +) +(vvPair +variable "unit" +value "lcdDemo" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 19,0 +optionalChildren [ +*81 (SymbolBody +uid 8,0 +optionalChildren [ +*82 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,23625,8000,24375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +) +xt "9000,23400,12400,24600" +st "clock" +blo "9000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,12600,-11500,13400" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 1,0 +) +) +) +*83 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,25625,8000,26375" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +) +xt "9000,25400,12300,26600" +st "reset" +blo "9000,26400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,7800,-11500,8600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 2,0 +) +) +) +*84 (CptPort +uid 86,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 87,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,15625,24750,16375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 89,0 +va (VaSet +) +xt "21100,15400,23000,16600" +st "SI" +ju 2 +blo "23000,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,8600,-11500,9400" +st "SI : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 2 +suid 3,0 +) +) +) +*85 (CptPort +uid 91,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 92,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,17625,24750,18375" +) +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 94,0 +va (VaSet +) +xt "20200,17400,23000,18600" +st "SCL" +ju 2 +blo "23000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 95,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,9400,-11500,10200" +st "SCL : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*86 (CptPort +uid 96,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 97,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,19625,24750,20375" +) +tg (CPTG +uid 98,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 99,0 +va (VaSet +) +xt "20800,19400,23000,20600" +st "A0" +ju 2 +blo "23000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 100,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,10200,-11500,11000" +st "A0 : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +*87 (CptPort +uid 799,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 800,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,11625,8000,12375" +) +tg (CPTG +uid 801,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 802,0 +va (VaSet +) +xt "9000,11400,13500,12600" +st "buttons" +blo "9000,12400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 803,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,13400,0,14200" +st "buttons : IN std_ulogic_vector (1 to buttonNb) ;" +) +thePort (LogicalPort +decl (Decl +n "buttons" +t "std_ulogic_vector" +b "(1 to buttonNb)" +o 8 +suid 11,0 +) +) +) +*88 (CptPort +uid 2136,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2137,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,23625,24750,24375" +) +tg (CPTG +uid 2138,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2139,0 +va (VaSet +) +xt "18900,23400,23000,24600" +st "CS1_n" +ju 2 +blo "23000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2140,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,11000,-11500,11800" +st "CS1_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 5 +suid 27,0 +) +) +) +*89 (CptPort +uid 2141,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2142,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,21625,24750,22375" +) +tg (CPTG +uid 2143,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2144,0 +va (VaSet +) +xt "19000,21400,23000,22600" +st "RST_n" +ju 2 +blo "23000,22400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2145,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,11800,-11500,12600" +st "RST_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 6 +suid 28,0 +) +) +) +*90 (CptPort +uid 2718,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2748,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,11625,24750,12375" +) +tg (CPTG +uid 2720,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2721,0 +va (VaSet +) +xt "20200,11400,23000,12600" +st "leds" +ju 2 +blo "23000,12400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2722,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,14200,-1500,15000" +st "leds : OUT std_ulogic_vector (1 to ledNb) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "leds" +t "std_ulogic_vector" +b "(1 to ledNb)" +o 9 +suid 30,0 +) +) +) +*91 (CptPort +uid 2751,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2752,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,15625,8000,16375" +) +tg (CPTG +uid 2753,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2754,0 +va (VaSet +) +xt "9000,15400,11800,16600" +st "RxD" +blo "9000,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2755,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,15000,-11500,15800" +st "RxD : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 10 +suid 31,0 +) +) +) +*92 (CptPort +uid 2829,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2830,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,25625,24750,26375" +) +tg (CPTG +uid 2831,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2832,0 +va (VaSet +) +xt "19300,25400,23000,26600" +st "debug" +ju 2 +blo "23000,26400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2833,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,15800,-2000,16600" +st "debug : OUT std_ulogic_vector (15 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "debug" +t "std_ulogic_vector" +b "(15 DOWNTO 0)" +o 11 +suid 32,0 +) +) +) +] +shape (Rectangle +uid 148,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "8000,8000,24000,28000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "8600,27800,11100,29000" +st "LCD" +blo "8600,28800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "8600,29000,13400,30200" +st "lcdDemo" +blo "8600,30000" +) +) +gi *93 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "8000,31800,24500,36600" +st "Generic Declarations + +baudRateDivide positive 2 +buttonNb positive 4 +ledNb positive 8 +rs232BaudRate positive 9600 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "baudRateDivide" +type "positive" +value "2" +) +(GiElement +name "buttonNb" +type "positive" +value "4" +) +(GiElement +name "ledNb" +type "positive" +value "8" +) +(GiElement +name "rs232BaudRate" +type "positive" +value "9600" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +*94 (Grouping +uid 2491,0 +optionalChildren [ +*95 (CommentText +uid 2493,0 +shape (Rectangle +uid 2494,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "24000,41000,43000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 2495,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "24200,41400,39600,42600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*96 (CommentText +uid 2496,0 +shape (Rectangle +uid 2497,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-8000,41000,18000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 2498,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "-750,41250,10750,42750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*97 (CommentText +uid 2499,0 +shape (Rectangle +uid 2500,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-3000,47000,18000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 2501,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-2800,47400,13000,48600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*98 (CommentText +uid 2502,0 +shape (Rectangle +uid 2503,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,41000,24000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 2504,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "18200,41400,22900,42600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*99 (CommentText +uid 2505,0 +shape (Rectangle +uid 2506,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-3000,43000,18000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 2507,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-2800,43400,12400,44600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*100 (CommentText +uid 2508,0 +shape (Rectangle +uid 2509,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-8000,43000,-3000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 2510,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-7800,43400,-4400,44600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*101 (CommentText +uid 2511,0 +shape (Rectangle +uid 2512,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-8000,45000,-3000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 2513,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-7800,45400,-4400,46600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*102 (CommentText +uid 2514,0 +shape (Rectangle +uid 2515,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,43000,43000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 2516,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "18200,43200,32300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*103 (CommentText +uid 2517,0 +shape (Rectangle +uid 2518,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-3000,45000,18000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 2519,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-2800,45400,7100,46600" +st " + +%library/%unit/%view + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*104 (CommentText +uid 2520,0 +shape (Rectangle +uid 2521,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-8000,47000,-3000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 2522,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-7800,47400,-3500,48600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 2492,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "-8000,41000,43000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *105 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*106 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "-30000,0,-22400,1200" +st "Package List" +blo "-30000,1000" +) +*107 (MLText +uid 18,0 +va (VaSet +) +xt "-30000,1200,-12500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "153,35,1442,894" +viewArea "-31100,-1000,45292,49688" +cachedDiagramExtent "-30000,0,43000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-30000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22200,15000,27600,16200" +st "" +blo "22200,16000" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22200,16200,26100,17400" +st "" +blo "22200,17200" +) +) +gi *108 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *109 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "-30000,5400,-22600,6600" +st "Declarations" +blo "-30000,6400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "-30000,6600,-26300,7800" +st "Ports:" +blo "-30000,7600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "-30000,16600,-26800,17800" +st "User:" +blo "-30000,17600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-30000,5400,-21800,6600" +st "Internal User:" +blo "-30000,6400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,17800,-28000,17800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-30000,5400,-30000,5400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 2948,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/Lcd/hds/lcd@initializer/symbol.sb b/Libs/Lcd/hds/lcd@initializer/symbol.sb new file mode 100644 index 0000000..dd05038 --- /dev/null +++ b/Libs/Lcd/hds/lcd@initializer/symbol.sb @@ -0,0 +1,1886 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +libraryRefs [ +"ieee" +] +) +version "24.1" +appVersion "2009.2 (Build 10)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 16,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 21,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "columnSend" +t "std_ulogic" +o 3 +suid 2,0 +) +) +uid 108,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "columnData" +t "std_ulogic_vector" +b "(dataBitNb-1 downto 0)" +o 4 +suid 3,0 +) +) +uid 110,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "lcdData" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 4,0 +) +) +uid 112,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 6,0 +) +) +uid 116,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "lcdSend" +t "std_ulogic" +o 5 +suid 11,0 +) +) +uid 370,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "lcdBusy" +t "std_ulogic" +o 6 +suid 12,0 +) +) +uid 372,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 13,0 +) +) +uid 384,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "columnBusy" +t "std_ulogic" +o 8 +suid 14,0 +) +) +uid 386,0 +) +*22 (LogPort +port (LogicalPort +decl (Decl +n "clearDisplay" +t "std_ulogic" +o 9 +suid 15,0 +) +) +uid 487,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 34,0 +optionalChildren [ +*23 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *24 (MRCItem +litem &1 +pos 9 +dimension 20 +) +uid 36,0 +optionalChildren [ +*25 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 37,0 +) +*26 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 38,0 +) +*27 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 39,0 +) +*28 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 109,0 +) +*29 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 111,0 +) +*30 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 113,0 +) +*31 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 117,0 +) +*32 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 371,0 +) +*33 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 373,0 +) +*34 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 385,0 +) +*35 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 387,0 +) +*36 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 488,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 40,0 +optionalChildren [ +*37 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 41,0 +) +*38 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 42,0 +) +*39 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 43,0 +) +*40 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 44,0 +) +*41 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 45,0 +) +*42 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 46,0 +) +*43 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 47,0 +) +*44 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 48,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 35,0 +vaOverrides [ +] +) +] +) +uid 20,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *45 (LEmptyRow +) +uid 50,0 +optionalChildren [ +*46 (RefLabelRowHdr +) +*47 (TitleRowHdr +) +*48 (FilterRowHdr +) +*49 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*50 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*51 (GroupColHdr +tm "GroupColHdrMgr" +) +*52 (NameColHdr +tm "GenericNameColHdrMgr" +) +*53 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*54 (InitColHdr +tm "GenericValueColHdrMgr" +) +*55 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*56 (EolColHdr +tm "GenericEolColHdrMgr" +) +*57 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8+1" +) +uid 142,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 62,0 +optionalChildren [ +*58 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *59 (MRCItem +litem &45 +pos 1 +dimension 20 +) +uid 64,0 +optionalChildren [ +*60 (MRCItem +litem &46 +pos 0 +dimension 20 +uid 65,0 +) +*61 (MRCItem +litem &47 +pos 1 +dimension 23 +uid 66,0 +) +*62 (MRCItem +litem &48 +pos 2 +hidden 1 +dimension 20 +uid 67,0 +) +*63 (MRCItem +litem &57 +pos 0 +dimension 20 +uid 143,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 68,0 +optionalChildren [ +*64 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 69,0 +) +*65 (MRCItem +litem &51 +pos 1 +dimension 50 +uid 70,0 +) +*66 (MRCItem +litem &52 +pos 2 +dimension 100 +uid 71,0 +) +*67 (MRCItem +litem &53 +pos 3 +dimension 100 +uid 72,0 +) +*68 (MRCItem +litem &54 +pos 4 +dimension 50 +uid 73,0 +) +*69 (MRCItem +litem &55 +pos 5 +dimension 50 +uid 74,0 +) +*70 (MRCItem +litem &56 +pos 6 +dimension 80 +uid 75,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 63,0 +vaOverrides [ +] +) +] +) +uid 49,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "d:\\Workspaces\\Projects\\LCD\\LCD_tester\\..\\Libs\\Lcd\\hdl" +) +(vvPair +variable "HDSDir" +value "d:\\Workspaces\\Projects\\LCD\\LCD_tester\\..\\Libs\\Lcd\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "d:\\Workspaces\\Projects\\LCD\\LCD_tester\\..\\Libs\\Lcd\\hds\\lcd@initializer\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "d:\\Workspaces\\Projects\\LCD\\LCD_tester\\..\\Libs\\Lcd\\hds\\lcd@initializer\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "d:\\Workspaces\\Projects\\LCD\\LCD_tester\\..\\Libs\\Lcd\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "d:\\Workspaces\\Projects\\LCD\\LCD_tester\\..\\Libs\\Lcd\\hds\\lcd@initializer" +) +(vvPair +variable "d_logical" +value "d:\\Workspaces\\Projects\\LCD\\LCD_tester\\..\\Libs\\Lcd\\hds\\lcdInitializer" +) +(vvPair +variable "date" +value "14.08.2013" +) +(vvPair +variable "day" +value "Mi" +) +(vvPair +variable "day_long" +value "Mittwoch" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdInitializer" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE3877" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lcd" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../libs/SPI/concat" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../libs/SPI/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/$DESIGN_NAME/Lcd/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "lcdInitializer" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "d:\\Workspaces\\Projects\\LCD\\LCD_tester\\..\\Libs\\Lcd\\hds\\lcd@initializer\\symbol.sb" +) +(vvPair +variable "p_logical" +value "d:\\Workspaces\\Projects\\LCD\\LCD_tester\\..\\Libs\\Lcd\\hds\\lcdInitializer\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "08:05:31" +) +(vvPair +variable "unit" +value "lcdInitializer" +) +(vvPair +variable "user" +value "uadmin" +) +(vvPair +variable "version" +value "2009.2 (Build 10)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2013" +) +(vvPair +variable "yy" +value "13" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 19,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43250,6625,44000,7375" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +font "Verdana,9,0" +) +xt "45000,6400,52500,7600" +st "columnSend" +blo "45000,7400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,1000,21000,1800" +st "columnSend : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "columnSend" +t "std_ulogic" +o 3 +suid 2,0 +) +) +) +*73 (CptPort +uid 86,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 87,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43250,4625,44000,5375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 89,0 +va (VaSet +font "Verdana,9,0" +) +xt "45000,4400,52400,5600" +st "columnData" +blo "45000,5400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,1800,36000,2600" +st "columnData : IN std_ulogic_vector (dataBitNb-1 downto 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "columnData" +t "std_ulogic_vector" +b "(dataBitNb-1 downto 0)" +o 4 +suid 3,0 +) +) +) +*74 (CptPort +uid 91,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 92,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60000,4625,60750,5375" +) +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 94,0 +va (VaSet +font "Verdana,9,0" +) +xt "54400,4400,59000,5600" +st "lcdData" +ju 2 +blo "59000,5400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 95,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-600,36000,200" +st "lcdData : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "lcdData" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 4,0 +) +) +) +*75 (CptPort +uid 101,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 102,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43250,12625,44000,13375" +) +tg (CPTG +uid 103,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 104,0 +va (VaSet +font "Verdana,9,0" +) +xt "45000,12400,48400,13600" +st "clock" +blo "45000,13400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 105,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,200,21000,1000" +st "clock : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 6,0 +) +) +) +*76 (CptPort +uid 360,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 411,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60000,6625,60750,7375" +) +tg (CPTG +uid 362,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 363,0 +va (VaSet +font "Verdana,9,0" +) +xt "54300,6400,59000,7600" +st "lcdSend" +ju 2 +blo "59000,7400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 364,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,2600,21000,3400" +st "lcdSend : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "lcdSend" +t "std_ulogic" +o 5 +suid 11,0 +) +) +) +*77 (CptPort +uid 365,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 366,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60000,8625,60750,9375" +) +tg (CPTG +uid 367,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 368,0 +va (VaSet +font "Verdana,9,0" +) +xt "54300,8400,59000,9600" +st "lcdBusy" +ju 2 +blo "59000,9400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 369,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,3400,21000,4200" +st "lcdBusy : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "lcdBusy" +t "std_ulogic" +o 6 +suid 12,0 +) +) +) +*78 (CptPort +uid 374,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 375,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43250,14625,44000,15375" +) +tg (CPTG +uid 376,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 377,0 +va (VaSet +font "Verdana,9,0" +) +xt "45000,14400,48300,15600" +st "reset" +blo "45000,15400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 378,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,4200,21000,5000" +st "reset : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 13,0 +) +) +) +*79 (CptPort +uid 379,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 481,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43250,8625,44000,9375" +) +tg (CPTG +uid 381,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 382,0 +va (VaSet +font "Verdana,9,0" +) +xt "45000,8400,52500,9600" +st "columnBusy" +blo "45000,9400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 383,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,5000,21000,5800" +st "columnBusy : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "columnBusy" +t "std_ulogic" +o 8 +suid 14,0 +) +) +) +*80 (CptPort +uid 482,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 483,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43250,2625,44000,3375" +) +tg (CPTG +uid 484,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 485,0 +va (VaSet +font "Verdana,9,0" +) +xt "45000,2400,52700,3600" +st "clearDisplay" +blo "45000,3400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 486,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,5800,20000,6600" +st "clearDisplay : IN std_ulogic +" +) +thePort (LogicalPort +decl (Decl +n "clearDisplay" +t "std_ulogic" +o 9 +suid 15,0 +) +) +) +] +shape (Rectangle +uid 141,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "44000,1000,60000,17000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "44000,17500,46300,18700" +st "Lcd" +blo "44000,18500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "44000,18700,51500,19900" +st "lcdInitializer" +blo "44000,19700" +) +) +gi *81 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,21600,57500,24000" +st "Generic Declarations + +dataBitNb positive 8+1 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8+1" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +*82 (Grouping +uid 220,0 +optionalChildren [ +*83 (CommentText +uid 222,0 +shape (Rectangle +uid 223,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,40000,53000,41000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 224,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,40000,46200,41000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 225,0 +shape (Rectangle +uid 226,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,36000,57000,37000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 227,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,36000,56200,37000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 228,0 +shape (Rectangle +uid 229,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,38000,53000,39000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 230,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,38000,46200,39000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 231,0 +shape (Rectangle +uid 232,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,38000,36000,39000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 233,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,38000,34300,39000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 234,0 +shape (Rectangle +uid 235,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,37000,73000,41000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 236,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,37200,62400,38200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 237,0 +shape (Rectangle +uid 238,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,36000,73000,37000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 239,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,36000,58800,37000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 240,0 +shape (Rectangle +uid 241,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,36000,53000,38000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 242,0 +va (VaSet +fg "32768,0,0" +) +xt "39150,36500,45850,37500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 243,0 +shape (Rectangle +uid 244,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,39000,36000,40000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 245,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,39000,34300,40000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 246,0 +shape (Rectangle +uid 247,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,40000,36000,41000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 248,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,40000,34900,41000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*92 (CommentText +uid 249,0 +shape (Rectangle +uid 250,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,39000,53000,40000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 251,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,39000,45400,40000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 221,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,36000,73000,41000" +) +oxt "14000,66000,55000,71000" +) +*93 (CommentGraphic +uid 257,0 +shape (PolyLine2D +pts [ +"44000,18000" +"60000,18000" +] +uid 258,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "44000,18000,60000,18000" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *94 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*95 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-8000,7600,-6800" +st "Package List" +blo "0,-7000" +) +*96 (MLText +uid 18,0 +va (VaSet +font "Verdana,9,0" +) +xt "0,-6800,17500,-3200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "149,33,1428,891" +viewArea "-1000,-9000,74672,41616" +cachedDiagramExtent "0,-8000,73000,41000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,-8000" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,9,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22200,15000,27600,16200" +st "" +blo "22200,16000" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22200,16200,26100,17400" +st "" +blo "22200,17200" +) +) +gi *97 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,2500,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,4300,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *98 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-3000,7400,-1800" +st "Declarations" +blo "0,-2000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-1800,3700,-600" +st "Ports:" +blo "0,-800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,6600,3200,7800" +st "User:" +blo "0,7600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "0,-3000,8200,-1800" +st "Internal User:" +blo "0,-2000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,7800,2000,7800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,-3000,0,-3000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 488,0 +activeModelName "Symbol" +) diff --git a/Libs/Lcd/hds/lcd@serializer/symbol.sb b/Libs/Lcd/hds/lcd@serializer/symbol.sb new file mode 100644 index 0000000..c7d6537 --- /dev/null +++ b/Libs/Lcd/hds/lcd@serializer/symbol.sb @@ -0,0 +1,1948 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +libraryRefs [ +"ieee" +] +) +version "24.1" +appVersion "2009.2 (Build 10)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 24,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 21,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 5 +suid 1,0 +) +) +uid 126,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 3,0 +) +) +uid 130,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "CS_n" +t "std_ulogic" +o 6 +suid 4,0 +) +) +uid 132,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 7,0 +) +) +uid 138,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 7 +suid 8,0 +) +) +uid 140,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 4 +suid 9,0 +) +) +uid 142,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 3 +suid 10,0 +) +) +uid 144,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "data" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 11,0 +) +) +uid 398,0 +) +*22 (LogPort +port (LogicalPort +decl (Decl +n "send" +t "std_ulogic" +o 9 +suid 17,0 +) +) +uid 478,0 +) +*23 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "busy" +t "std_ulogic" +o 10 +suid 19,0 +) +) +uid 508,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 34,0 +optionalChildren [ +*24 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *25 (MRCItem +litem &1 +pos 10 +dimension 20 +) +uid 36,0 +optionalChildren [ +*26 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 37,0 +) +*27 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 38,0 +) +*28 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 39,0 +) +*29 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 127,0 +) +*30 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 131,0 +) +*31 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 133,0 +) +*32 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 139,0 +) +*33 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 141,0 +) +*34 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 143,0 +) +*35 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 145,0 +) +*36 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 399,0 +) +*37 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 479,0 +) +*38 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 509,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 40,0 +optionalChildren [ +*39 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 41,0 +) +*40 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 42,0 +) +*41 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 43,0 +) +*42 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 44,0 +) +*43 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 45,0 +) +*44 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 46,0 +) +*45 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 47,0 +) +*46 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 48,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 35,0 +vaOverrides [ +] +) +] +) +uid 20,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *47 (LEmptyRow +) +uid 50,0 +optionalChildren [ +*48 (RefLabelRowHdr +) +*49 (TitleRowHdr +) +*50 (FilterRowHdr +) +*51 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*52 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*53 (GroupColHdr +tm "GroupColHdrMgr" +) +*54 (NameColHdr +tm "GenericNameColHdrMgr" +) +*55 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*56 (InitColHdr +tm "GenericValueColHdrMgr" +) +*57 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*58 (EolColHdr +tm "GenericEolColHdrMgr" +) +*59 (LogGeneric +generic (GiElement +name "baudRateDivide" +type "integer" +value "2" +) +uid 170,0 +) +*60 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "9" +) +uid 197,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 62,0 +optionalChildren [ +*61 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *62 (MRCItem +litem &47 +pos 2 +dimension 20 +) +uid 64,0 +optionalChildren [ +*63 (MRCItem +litem &48 +pos 0 +dimension 20 +uid 65,0 +) +*64 (MRCItem +litem &49 +pos 1 +dimension 23 +uid 66,0 +) +*65 (MRCItem +litem &50 +pos 2 +hidden 1 +dimension 20 +uid 67,0 +) +*66 (MRCItem +litem &59 +pos 1 +dimension 20 +uid 171,0 +) +*67 (MRCItem +litem &60 +pos 0 +dimension 20 +uid 198,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 68,0 +optionalChildren [ +*68 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 69,0 +) +*69 (MRCItem +litem &53 +pos 1 +dimension 50 +uid 70,0 +) +*70 (MRCItem +litem &54 +pos 2 +dimension 100 +uid 71,0 +) +*71 (MRCItem +litem &55 +pos 3 +dimension 100 +uid 72,0 +) +*72 (MRCItem +litem &56 +pos 4 +dimension 50 +uid 73,0 +) +*73 (MRCItem +litem &57 +pos 5 +dimension 50 +uid 74,0 +) +*74 (MRCItem +litem &58 +pos 6 +dimension 80 +uid 75,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 63,0 +vaOverrides [ +] +) +] +) +uid 49,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "D:\\Labs\\LCD\\..\\Libs\\Lcd\\hdl" +) +(vvPair +variable "HDSDir" +value "D:\\Labs\\LCD\\..\\Libs\\Lcd\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "D:\\Labs\\LCD\\..\\Libs\\Lcd\\hds\\lcd@serializer\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "D:\\Labs\\LCD\\..\\Libs\\Lcd\\hds\\lcd@serializer\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "D:\\Labs\\LCD\\..\\Libs\\Lcd\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "ADC" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "D:\\Labs\\LCD\\..\\Libs\\Lcd\\hds\\lcd@serializer" +) +(vvPair +variable "d_logical" +value "D:\\Labs\\LCD\\..\\Libs\\Lcd\\hds\\lcdSerializer" +) +(vvPair +variable "date" +value "12.07.2013" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "12" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdSerializer" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE3673" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lcd" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../libs/SPI/concat" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../libs/SPI/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/$DESIGN_NAME/Lcd/work" +) +(vvPair +variable "mm" +value "07" +) +(vvPair +variable "module_name" +value "lcdSerializer" +) +(vvPair +variable "month" +value "juil." +) +(vvPair +variable "month_long" +value "juillet" +) +(vvPair +variable "p" +value "D:\\Labs\\LCD\\..\\Libs\\Lcd\\hds\\lcd@serializer\\symbol.sb" +) +(vvPair +variable "p_logical" +value "D:\\Labs\\LCD\\..\\Libs\\Lcd\\hds\\lcdSerializer\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "15:35:23" +) +(vvPair +variable "unit" +value "lcdSerializer" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2009.2 (Build 10)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2013" +) +(vvPair +variable "yy" +value "13" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 19,0 +optionalChildren [ +*75 (SymbolBody +uid 8,0 +optionalChildren [ +*76 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,5625,54750,6375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +font "Verdana,9,0" +) +xt "50800,5400,53000,6600" +st "A0" +ju 2 +blo "53000,6400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-1800,17500,-1000" +st "A0 : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 5 +suid 1,0 +) +) +) +*77 (CptPort +uid 86,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 87,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,9625,38000,10375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 89,0 +va (VaSet +font "Verdana,9,0" +) +xt "39000,9400,42400,10600" +st "clock" +blo "39000,10400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-5000,17500,-4200" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*78 (CptPort +uid 91,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 92,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,9625,54750,10375" +) +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 94,0 +va (VaSet +font "Verdana,9,0" +) +xt "49600,9400,53000,10600" +st "CS_n" +ju 2 +blo "53000,10400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 95,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-1000,17500,-200" +st "CS_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "CS_n" +t "std_ulogic" +o 6 +suid 4,0 +) +) +) +*79 (CptPort +uid 106,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 107,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,11625,38000,12375" +) +tg (CPTG +uid 108,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 109,0 +va (VaSet +font "Verdana,9,0" +) +xt "39000,11400,42300,12600" +st "reset" +blo "39000,12400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 110,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-4200,17500,-3400" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 7,0 +) +) +) +*80 (CptPort +uid 111,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 112,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,7625,54750,8375" +) +tg (CPTG +uid 113,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 114,0 +va (VaSet +font "Verdana,9,0" +) +xt "49000,7400,53000,8600" +st "RST_n" +ju 2 +blo "53000,8400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 115,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-200,17500,600" +st "RST_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 7 +suid 8,0 +) +) +) +*81 (CptPort +uid 116,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 117,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,3625,54750,4375" +) +tg (CPTG +uid 118,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 119,0 +va (VaSet +font "Verdana,9,0" +) +xt "50200,3400,53000,4600" +st "SCL" +ju 2 +blo "53000,4400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 120,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-2600,17500,-1800" +st "SCL : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 4 +suid 9,0 +) +) +) +*82 (CptPort +uid 121,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 122,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,1625,54750,2375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 124,0 +va (VaSet +font "Verdana,9,0" +) +xt "51100,1400,53000,2600" +st "SI" +ju 2 +blo "53000,2400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 125,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-3400,17500,-2600" +st "SI : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 3 +suid 10,0 +) +) +) +*83 (CptPort +uid 383,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 384,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,1625,38000,2375" +) +tg (CPTG +uid 385,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 386,0 +va (VaSet +font "Verdana,9,0" +) +xt "39000,1400,41900,2600" +st "data" +blo "39000,2400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 387,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,600,32500,1400" +st "data : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "data" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 11,0 +) +) +) +*84 (CptPort +uid 473,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 474,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,3625,38000,4375" +) +tg (CPTG +uid 475,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 476,0 +va (VaSet +font "Verdana,9,0" +) +xt "39000,3400,42100,4600" +st "send" +blo "39000,4400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 477,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,1400,17500,2200" +st "send : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "send" +t "std_ulogic" +o 9 +suid 17,0 +) +) +) +*85 (CptPort +uid 503,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 504,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,5625,38000,6375" +) +tg (CPTG +uid 505,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 506,0 +va (VaSet +font "Verdana,9,0" +) +xt "39000,5400,42100,6600" +st "busy" +blo "39000,6400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 507,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,2200,16500,3000" +st "busy : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "busy" +t "std_ulogic" +o 10 +suid 19,0 +) +) +) +] +shape (Rectangle +uid 169,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,-2000,54000,14000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "37950,14000,40250,15200" +st "Lcd" +blo "37950,15000" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "37950,15200,45150,16400" +st "lcdSerializer" +blo "37950,16200" +) +) +gi *86 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "38000,18800,53000,22000" +st "Generic Declarations + +dataBitNb positive 9 +baudRateDivide integer 2 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "9" +) +(GiElement +name "baudRateDivide" +type "integer" +value "2" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +*87 (Grouping +uid 708,0 +optionalChildren [ +*88 (CommentText +uid 710,0 +shape (Rectangle +uid 711,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,33000,53000,34000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 712,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,33000,46200,34000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 713,0 +shape (Rectangle +uid 714,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,29000,57000,30000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 715,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,29000,56200,30000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 716,0 +shape (Rectangle +uid 717,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,31000,53000,32000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 718,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,31000,46200,32000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 719,0 +shape (Rectangle +uid 720,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,31000,36000,32000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 721,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,31000,34300,32000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*92 (CommentText +uid 722,0 +shape (Rectangle +uid 723,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,30000,73000,34000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 724,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,30200,62400,31200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*93 (CommentText +uid 725,0 +shape (Rectangle +uid 726,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,29000,73000,30000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 727,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,29000,58800,30000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*94 (CommentText +uid 728,0 +shape (Rectangle +uid 729,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,29000,53000,31000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 730,0 +va (VaSet +fg "32768,0,0" +) +xt "39150,29500,45850,30500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*95 (CommentText +uid 731,0 +shape (Rectangle +uid 732,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,32000,36000,33000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 733,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,32000,34300,33000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*96 (CommentText +uid 734,0 +shape (Rectangle +uid 735,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,33000,36000,34000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 736,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,33000,34900,34000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*97 (CommentText +uid 737,0 +shape (Rectangle +uid 738,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,32000,53000,33000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 739,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,32000,45600,33000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 709,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,29000,73000,34000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *98 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-14800,7600,-13600" +st "Package List" +blo "0,-13800" +) +*100 (MLText +uid 18,0 +va (VaSet +font "Verdana,9,0" +) +xt "0,-13600,17500,-10000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "134,37,1420,895" +viewArea "-1100,-15900,75724,35652" +cachedDiagramExtent "0,-14800,73000,34000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,-15000" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,9,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22200,15000,27600,16200" +st "" +blo "22200,16000" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22200,16200,26100,17400" +st "" +blo "22200,17200" +) +) +gi *101 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,2500,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,4300,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *102 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-7400,7400,-6200" +st "Declarations" +blo "0,-6400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-6200,3700,-5000" +st "Ports:" +blo "0,-5200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,3000,3200,4200" +st "User:" +blo "0,4000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "0,-7400,8200,-6200" +st "Internal User:" +blo "0,-6400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,4200,2000,4200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,-7400,0,-7400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 969,0 +activeModelName "Symbol" +) diff --git a/Libs/Lcd_test/LCD_explanation.txt b/Libs/Lcd_test/LCD_explanation.txt new file mode 100644 index 0000000..7214b89 --- /dev/null +++ b/Libs/Lcd_test/LCD_explanation.txt @@ -0,0 +1,188 @@ +-- filename: LCD_usage.vhd +-- kind: txt file +-------------------------------------------------------------------------------- +-- History: +-- v1.0 : zas 22.08.2013 -- Initial Version +-------------------------------------------------------------------------------- +-- Description: +-- Explanation about the usage and the functionalities of the LCD Driver. +-------------------------------------------------------------------------------- + +-------------------------------------------------------------------------------- +-- Overview +-- +The Lcd and Lcd_test library is intended to use with the HEB_LCD_V2_0, see +http://wiki.hevs.ch/uit/index.php5/Hardware/Parallelport/heb_lcd. + +The HEB_LCD_V2_0 features a EADOGM132-5 LCD. Its a 4 Line and 132 column black- +light display. The font created for it uses 6 columns per character which allows +to have 4 lines with 22 characters each. + +The connection is I2C based and some initial configuration needs to be done. The +bloc lcdController has everything needed to use the LCD. See the vague toplevel +overview below. + + +--------------------------------------------------------------------------+ + | +---------------------+ +----------------+ +---------------+ | + | | lcdCharacterEncoder |---->| lcdInitialiser |------>| lcdSerialiser | | + | +---------------------+ +----------------+ +---------------+ | + | ^ | + | | +-------------+ | + | +--------| bramMemory | | + | lcdController +-------------+ | + +--------------------------------------------------------------------------+ + +-------------------------------------------------------------------------------- +-- Bloc description +-- +lcdController -- Main bloc containing all needed subblocs + lcdCharacterEncoder -- This bloc receives the ASCII data to display and + and converts it to display data or display commands + bramMemory -- Contains the command for command chars (<0x20) and + the display (pixel) data for a the symbol chars + (>=0x20). + All the data of this ram are stored inthe font.txt file + lcdInitialiser -- This bloc lets send predefined commands for 2 + features. One is for initialising the display in the + beginning, and the other is for clear all data from + the display + lcdSerialiser -- This bloc takes the parallised data and sends them + over the I2C bus. + +-------------------------------------------------------------------------------- +-- Supported Characters +-- +In VHDL the characters can be written with the following command: + character'pos("VHDL") +where VHDL is inidicated in the table below. + + Hex VHDL Value Description Function +----------------------------------------------------------- +0x00 "nul" Null Not supported +0x01 "soh" Start of Header Not supported +0x02 "stx" Start of Text (0,0) Goto pos line 0 character 0 +0x03 "etx" End of Text (3,21) Goto pos line 3 character 21 +0x04 "eot" End of Transmission Not supported +0x05 "enq" Enquiry Not supported +0x06 "ack" Acknowledgment Not supported +0x07 "bel" Bell Not supported +0x08 "bs" Backspace (y,x-1) Jump one char back +0x09 "ht" Horizontal Tab Not supported +0x0A "lf" Line Feed (y+1,x)Goto next line +0x0B "vt" Vertical Tab (y-1,x) Goto previous line +0x0C "ff" Form Feed Not supported +0x0D "cr" Carriage Return (y,0) Goto beginning of line +0x0E "so" Shift Out Not supported +0x0F "si" Shift In Not supported +0x10 "dle" Data Link Escape Not supported +0x11 "dc1" XON Device Control 1 Not supported +0x12 "dc2" Device Control 2 Not supported +0x13 "dc3" XOFFDevice Control 3 Not supported +0x14 "dc4" Device Control 4 Not supported +0x15 "nak" Negativ Acknowledgemnt Not supported +0x16 "syn" Synchronous Idle Not supported +0x17 "etb" End of Trans. Block Not supported +0x18 "can" Cancel Clear entire display +0x19 "em" End of Medium Not supported +0x1A "sub" Substitute Not supported +0x1B "esc" Escape Not supported +0x1C "fsp" File Separator Not supported +0x1D "gsp" Group Separator Not supported +0x1E "rsp" Reqst to SendRec. Sep. Not supported +0x1F "usp" Unit Separator Not supported +0x20 " " Space Print char +0x21 "!" exclamation mark Print char +0x22 """ double quote Print char +0x23 "#" number sign Print char +0x24 "$" dollar sign Print char +0x25 "%" percent Print char +0x26 "&" ampersand Print char +0x27 "'" single quote Print char +0x28 "(" left/open parenthesis Print char +0x29 ")" right/closing parenth. Print char +0x2A "*" asterisk Print char +0x2B "+" plus Print char +0x2C "," comma Print char +0x2D "-" minus or dash Print char +0x2E "." dot Print char +0x2F "/" forward slash Print char +0x30 "0" Print char +0x31 "1" Print char +0x32 "2" Print char +0x33 "3" Print char +0x34 "4" Print char +0x35 "5" Print char +0x36 "6" Print char +0x37 "7" Print char +0x38 "8" Print char +0x39 "9" Print char +0x3A ":" colon Print char +0x3B ";" semi-colon Print char +0x3C "<" less than Print char +0x3D "=" equal sign Print char +0x3E ">" greater than Print char +0x3F "?" question mark Print char +0x40 "@" AT symbol Print char +0x41 "A" Print char +0x42 "B" Print char +0x43 "C" Print char +0x44 "D" Print char +0x45 "E" Print char +0x46 "F" Print char +0x47 "G" Print char +0x48 "H" Print char +0x49 "I" Print char +0x4A "J" Print char +0x4B "K" Print char +0x4C "L" Print char +0x4D "M" Print char +0x4E "N" Print char +0x4F "O" Print char +0x50 "P" Print char +0x51 "Q" Print char +0x52 "R" Print char +0x53 "S" Print char +0x54 "T" Print char +0x55 "U" Print char +0x56 "V" Print char +0x57 "W" Print char +0x58 "X" Print char +0x59 "Y" Print char +0x5A "Z" Print char +0x5B "[" left/opening bracket Print char +0x5C "\" back slash Print char +0x5D "]" right/closing bracket Print char +0x5E "^" caret/circumflex Print char +0x5F "_" underscore Print char +0x60 "`" Print char +0x61 "a" Print char +0x62 "b" Print char +0x63 "c" Print char +0x64 "d" Print char +0x65 "e" Print char +0x66 "f" Print char +0x67 "g" Print char +0x68 "h" Print char +0x69 "i" Print char +0x6A "j" Print char +0x6B "k" Print char +0x6C "l" Print char +0x6D "m" Print char +0x6E "n" Print char +0x6F "o" Print char +0x70 "p" Print char +0x71 "q" Print char +0x72 "r" Print char +0x73 "s" Print char +0x74 "t" Print char +0x75 "u" Print char +0x76 "v" Print char +0x77 "w" Print char +0x78 "x" Print char +0x79 "y" Print char +0x7A "z" Print char +0x7B "{" left/opening brace Print char +0x7C "|" vertical bar Print char +0x7D "}" right/closing brace Print char +0x7E "~" tilde Print char +0x7F "del" arrow to the left Print char \ No newline at end of file diff --git a/Libs/Lcd_test/dogm132-5e.pdf b/Libs/Lcd_test/dogm132-5e.pdf new file mode 100644 index 0000000..37c6a3a Binary files /dev/null and b/Libs/Lcd_test/dogm132-5e.pdf differ diff --git a/Libs/Lcd_test/hdl/lcdController_tester_test.vhd b/Libs/Lcd_test/hdl/lcdController_tester_test.vhd new file mode 100644 index 0000000..ac8462d --- /dev/null +++ b/Libs/Lcd_test/hdl/lcdController_tester_test.vhd @@ -0,0 +1,47 @@ +ARCHITECTURE test OF lcdController_tester IS + + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal clock_int: std_ulogic := '1'; + + constant testInterval: time := 5 us; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= '1', '0' after 2*clockPeriod; + + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9/10; + + ------------------------------------------------------------------------------ + -- send sequence + process + begin + ascii <= (others => '0'); + send <= '0'; + wait until falling_edge(busy); + wait for testInterval; + -- send single character + wait until rising_edge(clock_int); + ascii <= std_ulogic_vector(to_unsigned(character'pos('a'), ascii'length)); + send <= '1', '0' after clockPeriod; + wait until rising_edge(busy); + wait until falling_edge(busy); + wait for testInterval; + -- send character stream + for index in character'pos('b') to character'pos('d') loop + ascii <= std_ulogic_vector(to_unsigned(index, ascii'length)); + send <= '1', '0' after clockPeriod; + wait until rising_edge(busy); + wait until falling_edge(busy); + wait for 1 ns; + end loop; + wait for testInterval; + -- end of simulation + assert false + report "End of simulation" + severity failure; + wait; + end process; + +END ARCHITECTURE test; diff --git a/Libs/Lcd_test/hdl/lcdDemo_tester_test.vhd b/Libs/Lcd_test/hdl/lcdDemo_tester_test.vhd new file mode 100644 index 0000000..a43aa24 --- /dev/null +++ b/Libs/Lcd_test/hdl/lcdDemo_tester_test.vhd @@ -0,0 +1,111 @@ +ARCHITECTURE test OF lcdDemo_tester IS + + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal clock_int: std_ulogic := '1'; + + constant testInterval:time := 0.1 ms; + constant initSequenceLength:time := 20 us; + constant helloSequenceLength:time := 1 ms; + + constant rs232Frequency: real := real(baudRate); + constant rs232Period: time := (1.0/rs232Frequency) * 1 sec; + constant rs232WriteInterval: time := 10*rs232Period; + + signal rs232OutString : string(1 to 32); + signal rs232SendOutString: std_uLogic; + signal rs232SendOutDone: std_uLogic; + signal rs232OutByte: character; + signal rs232SendOutByte: std_uLogic; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= '1', '0' after 2*clockPeriod; + + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9/10; + + ------------------------------------------------------------------------------ + -- test sequence + process + begin + rs232SendOutString <= '0'; + buttons <= (others => '0'); + wait for initSequenceLength + helloSequenceLength; + -- send bytes from serial port + rs232OutString <= "a "; + rs232SendOutString <= '1', '0' after 1 ns; + wait until rs232SendOutDone = '1'; + wait for rs232WriteInterval; + + rs232OutString <= "hello world "; + rs232SendOutString <= '1', '0' after 1 ns; + wait until rs232SendOutDone = '1'; + wait for rs232WriteInterval; + wait for testInterval; + -- send hello message + wait until rising_edge(clock_int); + for index in buttons'range loop + buttons(index) <= '1'; + wait until rising_edge(clock_int); + buttons(index) <= '0'; + wait until rising_edge(clock_int); + end loop; + wait for helloSequenceLength; + wait for testInterval; + -- end of simulation + assert false + report "End of simulation" + severity failure; + wait; + end process; + +--============================================================================ + -- RS232 send + rsSendSerialString: process + constant rs232BytePeriod : time := 15*rs232Period; + variable commandRight: natural; + begin + rs232SendOutByte <= '0'; + rs232SendOutDone <= '0'; + + wait until rising_edge(rs232SendOutString); + + commandRight := rs232OutString'right; + while rs232OutString(commandRight) = ' ' loop + commandRight := commandRight-1; + end loop; + + for index in rs232OutString'left to commandRight loop + rs232OutByte <= rs232OutString(index); + rs232SendOutByte <= '1', '0' after 1 ns; + wait for rs232BytePeriod; + end loop; + + rs232OutByte <= cr; + rs232SendOutByte <= '1', '0' after 1 ns; + wait for rs232BytePeriod; + + rs232SendOutDone <= '1'; + wait for 1 ns; + end process rsSendSerialString; + -- send byte + rsSendSerialByte: process + variable txData: unsigned(7 downto 0); + begin + RxD <= '1'; + + wait until rising_edge(rs232SendOutByte); + txData := to_unsigned(character'pos(rs232OutByte), txData'length); + + RxD <= '0'; + wait for rs232Period; + + for index in txData'reverse_range loop + RxD <= txData(index); + wait for rs232Period; + end loop; + + end process rsSendSerialByte; + +END ARCHITECTURE test; diff --git a/Libs/Lcd_test/hdl/lcdSerializer_tester_test.vhd b/Libs/Lcd_test/hdl/lcdSerializer_tester_test.vhd new file mode 100644 index 0000000..dd8798b --- /dev/null +++ b/Libs/Lcd_test/hdl/lcdSerializer_tester_test.vhd @@ -0,0 +1,69 @@ +ARCHITECTURE test OF lcdSerializer_tester IS + + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal clock_int: std_ulogic := '1'; + + constant initializationSequenceLength: positive := 14; + type initializtionDataType is array (1 to initializationSequenceLength) + of std_ulogic_vector(data'range); + constant initializtionData: initializtionDataType :=( + '0' & X"40", -- Display start line 0 + '0' & X"A1", -- + '0' & X"C0", -- + '0' & X"A6", -- + '0' & X"A2", -- + '0' & X"2F", -- + '0' & X"F8", -- + '0' & X"00", -- + '0' & X"23", -- + '0' & X"81", -- + '0' & X"1F", -- + '0' & X"AC", -- + '0' & X"00", -- + '0' & X"AF" -- + ); + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= '1', '0' after 2*clockPeriod; + + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9/10; + + ------------------------------------------------------------------------------ + -- send sequence + process + begin + data <= (others => '0'); + send <= '0'; + wait until falling_edge(busy); + -- send initialization codes + wait until rising_edge(clock_int); + for index in initializtionData'range loop + data <= initializtionData(index); + send <= '1', '0' after clockPeriod; + wait until rising_edge(busy); + wait until falling_edge(busy); + wait for 1 ns; + end loop; + wait for 100*clockPeriod; + -- send pixel codes + wait until rising_edge(clock_int); + for index in 1 to 8 loop + data <= std_ulogic_vector(to_unsigned(index, data'length)); + data(data'high) <= '1'; + send <= '1', '0' after clockPeriod; + wait until rising_edge(busy); + wait until falling_edge(busy); + wait for 1 ns; + end loop; + wait for 100*clockPeriod; + -- end of simulation + assert false + report "End of simulation" + severity failure; + wait; + end process; + +END ARCHITECTURE test; diff --git a/Libs/Lcd_test/hds/.hdlsidedata/_lcdController_tester_test.vhd._fpf b/Libs/Lcd_test/hds/.hdlsidedata/_lcdController_tester_test.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Lcd_test/hds/.hdlsidedata/_lcdController_tester_test.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Lcd_test/hds/.hdlsidedata/_lcdDemo_tester_test.vhd._fpf b/Libs/Lcd_test/hds/.hdlsidedata/_lcdDemo_tester_test.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Lcd_test/hds/.hdlsidedata/_lcdDemo_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Lcd_test/hds/.hdlsidedata/_lcdSerializer_tester_test.vhd._fpf b/Libs/Lcd_test/hds/.hdlsidedata/_lcdSerializer_tester_test.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Lcd_test/hds/.hdlsidedata/_lcdSerializer_tester_test.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Lcd_test/hds/.hdlsidedata/lcdController_tester_test.vhd.info/msimSimulationReplay.sh b/Libs/Lcd_test/hds/.hdlsidedata/lcdController_tester_test.vhd.info/msimSimulationReplay.sh new file mode 100644 index 0000000..6ecd536 --- /dev/null +++ b/Libs/Lcd_test/hds/.hdlsidedata/lcdController_tester_test.vhd.info/msimSimulationReplay.sh @@ -0,0 +1,3 @@ +# Script to replay the last simulation run +cd $SCRATCH_DIR/$DESIGN_NAME/Lcd_test/work +"C:\eda\Modelsim/win32/vsim" -f hds_args.tmp diff --git a/Libs/Lcd_test/hds/_lcdcontroller_tb._epf b/Libs/Lcd_test/hds/_lcdcontroller_tb._epf new file mode 100644 index 0000000..41daecf --- /dev/null +++ b/Libs/Lcd_test/hds/_lcdcontroller_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom lcd@controller_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Lcd_test/hds/_lcdcontroller_tester._epf b/Libs/Lcd_test/hds/_lcdcontroller_tester._epf new file mode 100644 index 0000000..a8e5eac --- /dev/null +++ b/Libs/Lcd_test/hds/_lcdcontroller_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom lcdController_tester_test.vhd diff --git a/Libs/Lcd_test/hds/_lcddemo_tb._epf b/Libs/Lcd_test/hds/_lcddemo_tb._epf new file mode 100644 index 0000000..41ff47d --- /dev/null +++ b/Libs/Lcd_test/hds/_lcddemo_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom lcd@demo_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Lcd_test/hds/_lcddemo_tester._epf b/Libs/Lcd_test/hds/_lcddemo_tester._epf new file mode 100644 index 0000000..fa20b5d --- /dev/null +++ b/Libs/Lcd_test/hds/_lcddemo_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom lcdDemo_tester_test.vhd +DEFAULT_ARCHITECTURE atom test diff --git a/Libs/Lcd_test/hds/_lcdserializer_tb._epf b/Libs/Lcd_test/hds/_lcdserializer_tb._epf new file mode 100644 index 0000000..71b93dd --- /dev/null +++ b/Libs/Lcd_test/hds/_lcdserializer_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom lcd@serializer_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 0 diff --git a/Libs/Lcd_test/hds/_lcdserializer_tester._epf b/Libs/Lcd_test/hds/_lcdserializer_tester._epf new file mode 100644 index 0000000..f90badb --- /dev/null +++ b/Libs/Lcd_test/hds/_lcdserializer_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom lcdSerializer_tester_test.vhd diff --git a/Libs/Lcd_test/hds/lcd@controller_tb/struct.bd b/Libs/Lcd_test/hds/lcd@controller_tb/struct.bd new file mode 100644 index 0000000..0cfb350 --- /dev/null +++ b/Libs/Lcd_test/hds/lcd@controller_tb/struct.bd @@ -0,0 +1,3437 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_tester" +duLibraryName "Lcd_test" +duName "lcdController_tester" +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "asciiBitNb" +type "positive" +value "asciiBitNb" +) +] +mwi 0 +uid 175,0 +) +(Instance +name "I_dut" +duLibraryName "Lcd" +duName "lcdController" +elements [ +(GiElement +name "baudRateDivide" +type "integer" +value "baudRateDivide" +) +(GiElement +name "asciiBitNb" +type "positive" +value "asciiBitNb" +) +] +mwi 0 +uid 3514,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@controller_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@controller_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@controller_tb" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdController_tb" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdController_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "07:37:47" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lcd_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../libs/SPI_test/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Lcd_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "lcdController_tb" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@controller_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdController_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_ActelPath" +value "D:\\Projects\\Trypano\\ControlBoard\\IglooTester\\Board\\actel\\boardTester" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "07:37:47" +) +(vvPair +variable "unit" +value "lcdController_tb" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 20,0 +optionalChildren [ +*1 (Grouping +uid 77,0 +optionalChildren [ +*2 (CommentText +uid 79,0 +shape (Rectangle +uid 80,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,58000,97000,60000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 81,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "78200,58400,93600,59600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 82,0 +shape (Rectangle +uid 83,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,58000,72000,60000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 84,0 +va (VaSet +fg "32768,0,0" +font "Verdana,12,1" +) +xt "52150,58300,65850,59700" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 85,0 +shape (Rectangle +uid 86,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,64000,72000,66000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 87,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,64400,69600,65600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 88,0 +shape (Rectangle +uid 89,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,58000,78000,60000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 90,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,58400,76900,59600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 91,0 +shape (Rectangle +uid 92,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,60000,72000,62000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 93,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,60400,66400,61600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 94,0 +shape (Rectangle +uid 95,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,60000,51000,62000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 96,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,60400,49600,61600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 97,0 +shape (Rectangle +uid 98,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,62000,51000,64000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 99,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,62400,49600,63600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 100,0 +shape (Rectangle +uid 101,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,60000,97000,66000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 102,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,60200,86300,61400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*10 (CommentText +uid 103,0 +shape (Rectangle +uid 104,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,62000,72000,64000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 105,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,62400,61100,63600" +st " + +%library/%unit/%view + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 106,0 +shape (Rectangle +uid 107,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,64000,51000,66000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 108,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,64400,50500,65600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 78,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "46000,58000,97000,66000" +) +oxt "13000,22000,64000,30000" +) +*12 (Blk +uid 175,0 +shape (Rectangle +uid 176,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "25000,40000,81000,48000" +) +oxt "24000,40000,84000,48000" +ttg (MlTextGroup +uid 177,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 178,0 +va (VaSet +font "Verdana,9,1" +) +xt "25300,48500,29300,49400" +st "Lcd_test" +blo "25300,49200" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 179,0 +va (VaSet +font "Verdana,9,1" +) +xt "25300,49700,35800,50600" +st "lcdController_tester" +blo "25300,50400" +tm "BlkNameMgr" +) +*15 (Text +uid 180,0 +va (VaSet +font "Verdana,9,1" +) +xt "25300,50900,29300,51800" +st "I_tester" +blo "25300,51600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 181,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 182,0 +text (MLText +uid 183,0 +va (VaSet +) +xt "25000,52600,51200,55000" +st "clockFrequency = clockFrequency ( real ) +asciiBitNb = asciiBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "asciiBitNb" +type "positive" +value "asciiBitNb" +) +] +) +viewicon (ZoomableIcon +uid 184,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "25250,46250,26750,47750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*16 (Net +uid 2316,0 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 37,0 +) +declText (MLText +uid 2317,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,22600,13700,23600" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 2324,0 +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 38,0 +) +declText (MLText +uid 2325,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,21600,13700,22600" +st "SIGNAL clock : std_ulogic" +) +) +*18 (Net +uid 3041,0 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 2 +suid 47,0 +) +declText (MLText +uid 3042,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15600,14300,16600" +st "SIGNAL CS1_n : std_ulogic" +) +) +*19 (Net +uid 3049,0 +decl (Decl +n "RST_n" +t "std_ulogic" +o 3 +suid 48,0 +) +declText (MLText +uid 3050,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16600,14300,17600" +st "SIGNAL RST_n : std_ulogic" +) +) +*20 (Net +uid 3057,0 +decl (Decl +n "A0" +t "std_ulogic" +o 1 +suid 49,0 +) +declText (MLText +uid 3058,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14600,13600,15600" +st "SIGNAL A0 : std_ulogic" +) +) +*21 (Net +uid 3065,0 +decl (Decl +n "SCL" +t "std_ulogic" +o 4 +suid 50,0 +) +declText (MLText +uid 3066,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,17600,13800,18600" +st "SIGNAL SCL : std_ulogic" +) +) +*22 (Net +uid 3073,0 +decl (Decl +n "SI" +t "std_ulogic" +o 5 +suid 51,0 +) +declText (MLText +uid 3074,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,18600,13400,19600" +st "SIGNAL SI : std_ulogic" +) +) +*23 (Net +uid 3199,0 +decl (Decl +n "send" +t "std_ulogic" +o 10 +suid 53,0 +) +declText (MLText +uid 3200,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,23600,13900,24600" +st "SIGNAL send : std_ulogic" +) +) +*24 (Net +uid 3207,0 +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 6 +suid 54,0 +) +declText (MLText +uid 3208,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,19600,26500,20600" +st "SIGNAL ascii : std_ulogic_vector(asciiBitNb-1 downto 0)" +) +) +*25 (Net +uid 3414,0 +decl (Decl +n "busy" +t "std_ulogic" +o 7 +suid 55,0 +) +declText (MLText +uid 3415,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,20600,13900,21600" +st "SIGNAL busy : std_ulogic" +) +) +*26 (SaComponent +uid 3514,0 +optionalChildren [ +*27 (CptPort +uid 3474,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3475,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,27625,45000,28375" +) +tg (CPTG +uid 3476,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3477,0 +va (VaSet +) +xt "46000,27400,48500,28300" +st "clock" +blo "46000,28100" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 1,0 +) +) +) +*28 (CptPort +uid 3478,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3479,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,29625,45000,30375" +) +tg (CPTG +uid 3480,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3481,0 +va (VaSet +) +xt "46000,29400,48500,30300" +st "reset" +blo "46000,30100" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 2,0 +) +) +) +*29 (CptPort +uid 3482,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3483,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,19625,61750,20375" +) +tg (CPTG +uid 3484,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3485,0 +va (VaSet +) +xt "59000,19400,60000,20300" +st "SI" +ju 2 +blo "60000,20100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 2 +suid 3,0 +) +) +) +*30 (CptPort +uid 3486,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3487,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,21625,61750,22375" +) +tg (CPTG +uid 3488,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3489,0 +va (VaSet +) +xt "58500,21400,60000,22300" +st "SCL" +ju 2 +blo "60000,22100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*31 (CptPort +uid 3490,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3491,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,23625,61750,24375" +) +tg (CPTG +uid 3492,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3493,0 +va (VaSet +) +xt "59000,23400,60000,24300" +st "A0" +ju 2 +blo "60000,24100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +*32 (CptPort +uid 3494,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3495,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,19625,45000,20375" +) +tg (CPTG +uid 3496,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3497,0 +va (VaSet +) +xt "46000,19400,48500,20300" +st "ascii" +blo "46000,20100" +) +) +thePort (LogicalPort +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 8 +suid 11,0 +) +) +) +*33 (CptPort +uid 3498,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3499,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,21625,45000,22375" +) +tg (CPTG +uid 3500,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3501,0 +va (VaSet +) +xt "46000,21400,48000,22300" +st "send" +blo "46000,22100" +) +) +thePort (LogicalPort +decl (Decl +n "send" +t "std_ulogic" +o 9 +suid 21,0 +) +) +) +*34 (CptPort +uid 3502,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3503,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,27625,61750,28375" +) +tg (CPTG +uid 3504,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3505,0 +va (VaSet +) +xt "57500,27400,60000,28300" +st "CS1_n" +ju 2 +blo "60000,28100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 5 +suid 27,0 +) +) +) +*35 (CptPort +uid 3506,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3507,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,25625,61750,26375" +) +tg (CPTG +uid 3508,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3509,0 +va (VaSet +) +xt "57500,25400,60000,26300" +st "RST_n" +ju 2 +blo "60000,26100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 6 +suid 28,0 +) +) +) +*36 (CptPort +uid 3510,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3511,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,23625,45000,24375" +) +tg (CPTG +uid 3512,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3513,0 +va (VaSet +) +xt "46000,23400,48000,24300" +st "busy" +blo "46000,24100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "busy" +t "std_ulogic" +o 10 +suid 29,0 +) +) +) +] +shape (Rectangle +uid 3515,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "45000,16000,61000,32000" +) +oxt "8000,12000,24000,28000" +ttg (MlTextGroup +uid 3516,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*37 (Text +uid 3517,0 +va (VaSet +font "Verdana,9,1" +) +xt "45600,31800,47100,32700" +st "Lcd" +blo "45600,32500" +tm "BdLibraryNameMgr" +) +*38 (Text +uid 3518,0 +va (VaSet +font "Verdana,9,1" +) +xt "45600,33000,52600,33900" +st "lcdController" +blo "45600,33700" +tm "CptNameMgr" +) +*39 (Text +uid 3519,0 +va (VaSet +font "Verdana,9,1" +) +xt "45600,34200,48100,35100" +st "I_dut" +blo "45600,34900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3520,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3521,0 +text (MLText +uid 3522,0 +va (VaSet +font "Verdana,8,0" +) +xt "45000,35800,67400,37800" +st "baudRateDivide = baudRateDivide ( integer ) +asciiBitNb = asciiBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "baudRateDivide" +type "integer" +value "baudRateDivide" +) +(GiElement +name "asciiBitNb" +type "positive" +value "asciiBitNb" +) +] +) +viewicon (ZoomableIcon +uid 3523,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "45250,30250,46750,31750" +iconName "BlockDiagram.png" +iconMaskName "BlockDiagram.msk" +ftype 1 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*40 (Wire +uid 2318,0 +shape (OrthoPolyLine +uid 2319,0 +va (VaSet +vasetType 3 +) +xt "43000,30000,44250,40000" +pts [ +"44250,30000" +"43000,30000" +"43000,40000" +] +) +start &28 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2322,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2323,0 +va (VaSet +font "Verdana,12,0" +) +xt "41250,28600,45350,30000" +st "reset" +blo "41250,29800" +tm "WireNameMgr" +) +) +on &16 +) +*41 (Wire +uid 2326,0 +shape (OrthoPolyLine +uid 2327,0 +va (VaSet +vasetType 3 +) +xt "41000,28000,44250,40000" +pts [ +"44250,28000" +"41000,28000" +"41000,40000" +] +) +start &27 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2330,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2331,0 +va (VaSet +font "Verdana,12,0" +) +xt "41250,26600,45050,28000" +st "clock" +blo "41250,27800" +tm "WireNameMgr" +) +) +on &17 +) +*42 (Wire +uid 3043,0 +shape (OrthoPolyLine +uid 3044,0 +va (VaSet +vasetType 3 +) +xt "61750,28000,65000,40000" +pts [ +"61750,28000" +"65000,28000" +"65000,40000" +] +) +start &34 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3047,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3048,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,26600,68650,28000" +st "CS1_n" +blo "63750,27800" +tm "WireNameMgr" +) +) +on &18 +) +*43 (Wire +uid 3051,0 +shape (OrthoPolyLine +uid 3052,0 +va (VaSet +vasetType 3 +) +xt "61750,26000,67000,40000" +pts [ +"61750,26000" +"67000,26000" +"67000,40000" +] +) +start &35 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3055,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3056,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,24600,68450,26000" +st "RST_n" +blo "63750,25800" +tm "WireNameMgr" +) +) +on &19 +) +*44 (Wire +uid 3059,0 +shape (OrthoPolyLine +uid 3060,0 +va (VaSet +vasetType 3 +) +xt "61750,24000,69000,40000" +pts [ +"61750,24000" +"69000,24000" +"69000,40000" +] +) +start &31 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3063,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3064,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,22600,66150,24000" +st "A0" +blo "63750,23800" +tm "WireNameMgr" +) +) +on &20 +) +*45 (Wire +uid 3067,0 +shape (OrthoPolyLine +uid 3068,0 +va (VaSet +vasetType 3 +) +xt "61750,22000,71000,40000" +pts [ +"61750,22000" +"71000,22000" +"71000,40000" +] +) +start &30 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3071,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3072,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,20600,66950,22000" +st "SCL" +blo "63750,21800" +tm "WireNameMgr" +) +) +on &21 +) +*46 (Wire +uid 3075,0 +shape (OrthoPolyLine +uid 3076,0 +va (VaSet +vasetType 3 +) +xt "61750,20000,73000,40000" +pts [ +"61750,20000" +"73000,20000" +"73000,40000" +] +) +start &29 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3079,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3080,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,18600,65850,20000" +st "SI" +blo "63750,19800" +tm "WireNameMgr" +) +) +on &22 +) +*47 (Wire +uid 3201,0 +shape (OrthoPolyLine +uid 3202,0 +va (VaSet +vasetType 3 +) +xt "35000,22000,44250,40000" +pts [ +"44250,22000" +"35000,22000" +"35000,40000" +] +) +start &33 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3205,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3206,0 +va (VaSet +font "Verdana,12,0" +) +xt "39250,20600,43150,22000" +st "send" +blo "39250,21800" +tm "WireNameMgr" +) +) +on &23 +) +*48 (Wire +uid 3209,0 +shape (OrthoPolyLine +uid 3210,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "33000,20000,44250,40000" +pts [ +"44250,20000" +"33000,20000" +"33000,40000" +] +) +start &32 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3213,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3214,0 +va (VaSet +font "Verdana,12,0" +) +xt "39250,18600,42750,20000" +st "ascii" +blo "39250,19800" +tm "WireNameMgr" +) +) +on &24 +) +*49 (Wire +uid 3416,0 +shape (OrthoPolyLine +uid 3417,0 +va (VaSet +vasetType 3 +) +xt "37000,24000,44250,40000" +pts [ +"44250,24000" +"37000,24000" +"37000,40000" +] +) +start &36 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3420,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3421,0 +va (VaSet +font "Verdana,12,0" +) +xt "39250,22600,43050,24000" +st "busy" +blo "39250,23800" +tm "WireNameMgr" +) +) +on &25 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *50 (PackageList +uid 9,0 +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +uid 10,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*52 (MLText +uid 11,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 12,0 +stg "VerticalLayoutStrategy" +textVec [ +*53 (Text +uid 13,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30000,900" +st "Compiler Directives" +blo "20000,700" +) +*54 (Text +uid 14,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,31500,1900" +st "Pre-module directives:" +blo "20000,1700" +) +*55 (MLText +uid 15,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*56 (Text +uid 16,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32000,4900" +st "Post-module directives:" +blo "20000,4700" +) +*57 (MLText +uid 17,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*58 (Text +uid 18,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,31500,5900" +st "End-module directives:" +blo "20000,5700" +) +*59 (MLText +uid 19,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "223,58,1277,917" +viewArea "-1379,-1379,99073,68805" +cachedDiagramExtent "0,0,97000,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 3738,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "400,900,4400,2100" +st "Panel0" +blo "400,1900" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2200,3500,7600,4700" +st "" +blo "2200,4500" +tm "BdLibraryNameMgr" +) +*61 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2200,4700,7000,5900" +st "" +blo "2200,5700" +tm "BlkNameMgr" +) +*62 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2200,5900,4500,7100" +st "I_0" +blo "2200,6900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +) +xt "2200,13500,2200,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +va (VaSet +font "Verdana,12,1" +) +xt "550,3500,6250,4900" +st "Library" +blo "550,4700" +) +*64 (Text +va (VaSet +font "Verdana,12,1" +) +xt "550,4900,12250,6300" +st "MWComponent" +blo "550,6100" +) +*65 (Text +va (VaSet +font "Verdana,12,1" +) +xt "550,6300,3850,7700" +st "I_0" +blo "550,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "-6450,1500,-6450,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*66 (Text +va (VaSet +font "Verdana,12,1" +) +xt "900,3500,6600,4900" +st "Library" +blo "900,4700" +tm "BdLibraryNameMgr" +) +*67 (Text +va (VaSet +font "Verdana,12,1" +) +xt "900,4900,11800,6300" +st "SaComponent" +blo "900,6100" +tm "CptNameMgr" +) +*68 (Text +va (VaSet +font "Verdana,12,1" +) +xt "900,6300,4200,7700" +st "I_0" +blo "900,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +) +xt "-6100,1500,-6100,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*69 (Text +va (VaSet +font "Verdana,12,1" +) +xt "500,3500,6200,4900" +st "Library" +blo "500,4700" +) +*70 (Text +va (VaSet +font "Verdana,12,1" +) +xt "500,4900,12600,6300" +st "VhdlComponent" +blo "500,6100" +) +*71 (Text +va (VaSet +font "Verdana,12,1" +) +xt "500,6300,3800,7700" +st "I_0" +blo "500,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +) +xt "-6500,1500,-6500,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-450,0,8450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*72 (Text +va (VaSet +font "Verdana,12,1" +) +xt "50,3500,5750,4900" +st "Library" +blo "50,4700" +) +*73 (Text +va (VaSet +font "Verdana,12,1" +) +xt "50,4900,13950,6300" +st "VerilogComponent" +blo "50,6100" +) +*74 (Text +va (VaSet +font "Verdana,12,1" +) +xt "50,6300,3350,7700" +st "I_0" +blo "50,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +) +xt "-6950,1500,-6950,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +va (VaSet +font "Verdana,9,1" +) +xt "3150,4000,5550,5200" +st "eb1" +blo "3150,5000" +tm "HdlTextNameMgr" +) +*76 (Text +va (VaSet +font "Verdana,9,1" +) +xt "3150,5200,4350,6400" +st "1" +blo "3150,6200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "Courier,9,0" +) +xt "200,200,4200,1500" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,-400,3400,1000" +st "sig0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,-400,4700,1000" +st "dbus0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,-200,4700,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "-2950,-1200,15550,0" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "-50,150,1350,1350" +st "1" +blo "-50,1150" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +va (VaSet +font "Verdana,9,1" +) +xt "14100,20000,24900,21200" +st "Frame Declarations" +blo "14100,21000" +) +*78 (MLText +va (VaSet +) +xt "14100,21200,14100,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "-1800,-1200,9200,0" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "-50,150,1350,1350" +st "1" +blo "-50,1150" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +va (VaSet +font "Verdana,9,1" +) +xt "14100,20000,24900,21200" +st "Frame Declarations" +blo "14100,21000" +) +*80 (MLText +va (VaSet +) +xt "14100,21200,14100,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,6000,6500,6900" +st "Declarations" +blo "0,6700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,6900,3000,7800" +st "Ports:" +blo "0,7600" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,4500,8700" +st "Pre User:" +blo "0,8500" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,8700,40600,13700" +st "constant clockFrequency: real := 66.0E6; +constant spiFrequency: real := 20.0E6; +constant baudRateDivide: positive := integer((clockFrequency/2.0)/spiFrequency + 1.0); + +constant asciiBitNb: positive := 7;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,13700,8500,14600" +st "Diagram Signals:" +blo "0,14400" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,6000,5500,6900" +st "Post User:" +blo "0,6700" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,6000,0,6000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 55,0 +usingSuid 1 +emptyRow *81 (LEmptyRow +) +uid 22,0 +optionalChildren [ +*82 (RefLabelRowHdr +) +*83 (TitleRowHdr +) +*84 (FilterRowHdr +) +*85 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*86 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*87 (GroupColHdr +tm "GroupColHdrMgr" +) +*88 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*89 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*90 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*91 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*92 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*93 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*94 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 37,0 +) +) +uid 2392,0 +) +*95 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 38,0 +) +) +uid 2394,0 +) +*96 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 2 +suid 47,0 +) +) +uid 3081,0 +) +*97 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "RST_n" +t "std_ulogic" +o 3 +suid 48,0 +) +) +uid 3083,0 +) +*98 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "A0" +t "std_ulogic" +o 1 +suid 49,0 +) +) +uid 3085,0 +) +*99 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "SCL" +t "std_ulogic" +o 4 +suid 50,0 +) +) +uid 3087,0 +) +*100 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "SI" +t "std_ulogic" +o 5 +suid 51,0 +) +) +uid 3089,0 +) +*101 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "send" +t "std_ulogic" +o 10 +suid 53,0 +) +) +uid 3217,0 +) +*102 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 6 +suid 54,0 +) +) +uid 3219,0 +) +*103 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "busy" +t "std_ulogic" +o 7 +suid 55,0 +) +) +uid 3422,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 35,0 +optionalChildren [ +*104 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *105 (MRCItem +litem &81 +pos 10 +dimension 20 +) +uid 37,0 +optionalChildren [ +*106 (MRCItem +litem &82 +pos 0 +dimension 20 +uid 38,0 +) +*107 (MRCItem +litem &83 +pos 1 +dimension 23 +uid 39,0 +) +*108 (MRCItem +litem &84 +pos 2 +hidden 1 +dimension 20 +uid 40,0 +) +*109 (MRCItem +litem &94 +pos 0 +dimension 20 +uid 2393,0 +) +*110 (MRCItem +litem &95 +pos 1 +dimension 20 +uid 2395,0 +) +*111 (MRCItem +litem &96 +pos 2 +dimension 20 +uid 3082,0 +) +*112 (MRCItem +litem &97 +pos 3 +dimension 20 +uid 3084,0 +) +*113 (MRCItem +litem &98 +pos 4 +dimension 20 +uid 3086,0 +) +*114 (MRCItem +litem &99 +pos 5 +dimension 20 +uid 3088,0 +) +*115 (MRCItem +litem &100 +pos 6 +dimension 20 +uid 3090,0 +) +*116 (MRCItem +litem &101 +pos 7 +dimension 20 +uid 3218,0 +) +*117 (MRCItem +litem &102 +pos 8 +dimension 20 +uid 3220,0 +) +*118 (MRCItem +litem &103 +pos 9 +dimension 20 +uid 3423,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 41,0 +optionalChildren [ +*119 (MRCItem +litem &85 +pos 0 +dimension 20 +uid 42,0 +) +*120 (MRCItem +litem &87 +pos 1 +dimension 50 +uid 43,0 +) +*121 (MRCItem +litem &88 +pos 2 +dimension 100 +uid 44,0 +) +*122 (MRCItem +litem &89 +pos 3 +dimension 50 +uid 45,0 +) +*123 (MRCItem +litem &90 +pos 4 +dimension 100 +uid 46,0 +) +*124 (MRCItem +litem &91 +pos 5 +dimension 100 +uid 47,0 +) +*125 (MRCItem +litem &92 +pos 6 +dimension 50 +uid 48,0 +) +*126 (MRCItem +litem &93 +pos 7 +dimension 80 +uid 49,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 36,0 +vaOverrides [ +] +) +] +) +uid 21,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *127 (LEmptyRow +) +uid 51,0 +optionalChildren [ +*128 (RefLabelRowHdr +) +*129 (TitleRowHdr +) +*130 (FilterRowHdr +) +*131 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*132 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*133 (GroupColHdr +tm "GroupColHdrMgr" +) +*134 (NameColHdr +tm "GenericNameColHdrMgr" +) +*135 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*136 (InitColHdr +tm "GenericValueColHdrMgr" +) +*137 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*138 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 63,0 +optionalChildren [ +*139 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *140 (MRCItem +litem &127 +pos 0 +dimension 20 +) +uid 65,0 +optionalChildren [ +*141 (MRCItem +litem &128 +pos 0 +dimension 20 +uid 66,0 +) +*142 (MRCItem +litem &129 +pos 1 +dimension 23 +uid 67,0 +) +*143 (MRCItem +litem &130 +pos 2 +hidden 1 +dimension 20 +uid 68,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 69,0 +optionalChildren [ +*144 (MRCItem +litem &131 +pos 0 +dimension 20 +uid 70,0 +) +*145 (MRCItem +litem &133 +pos 1 +dimension 50 +uid 71,0 +) +*146 (MRCItem +litem &134 +pos 2 +dimension 100 +uid 72,0 +) +*147 (MRCItem +litem &135 +pos 3 +dimension 100 +uid 73,0 +) +*148 (MRCItem +litem &136 +pos 4 +dimension 50 +uid 74,0 +) +*149 (MRCItem +litem &137 +pos 5 +dimension 50 +uid 75,0 +) +*150 (MRCItem +litem &138 +pos 6 +dimension 80 +uid 76,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 64,0 +vaOverrides [ +] +) +] +) +uid 50,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Lcd_test/hds/lcd@controller_tb/struct.bd.info/msimSimulationReplay.sh b/Libs/Lcd_test/hds/lcd@controller_tb/struct.bd.info/msimSimulationReplay.sh new file mode 100644 index 0000000..6ecd536 --- /dev/null +++ b/Libs/Lcd_test/hds/lcd@controller_tb/struct.bd.info/msimSimulationReplay.sh @@ -0,0 +1,3 @@ +# Script to replay the last simulation run +cd $SCRATCH_DIR/$DESIGN_NAME/Lcd_test/work +"C:\eda\Modelsim/win32/vsim" -f hds_args.tmp diff --git a/Libs/Lcd_test/hds/lcd@controller_tb/symbol.sb b/Libs/Lcd_test/hds/lcd@controller_tb/symbol.sb new file mode 100644 index 0000000..ae37d38 --- /dev/null +++ b/Libs/Lcd_test/hds/lcd@controller_tb/symbol.sb @@ -0,0 +1,1297 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 5,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 21,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 34,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 0 +dimension 20 +) +uid 36,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 37,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 38,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 39,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 40,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 41,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 42,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 43,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 44,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 45,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 46,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 47,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 48,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 35,0 +vaOverrides [ +] +) +] +) +uid 20,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 50,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 62,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 0 +dimension 20 +) +uid 64,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 65,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 66,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 67,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 68,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 69,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 70,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 71,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 72,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 73,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 74,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 75,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 63,0 +vaOverrides [ +] +) +] +) +uid 49,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@controller_tb\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@controller_tb\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@controller_tb" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdController_tb" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdController_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "07:37:47" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lcd_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../libs/SPI_test/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Lcd_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "lcdController_tb" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@controller_tb\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdController_tb\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_ActelPath" +value "D:\\Projects\\Trypano\\ControlBoard\\IglooTester\\Board\\actel\\boardTester" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "07:37:47" +) +(vvPair +variable "unit" +value "lcdController_tb" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 19,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "30000,10000,48000,30000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Arial,8,1" +) +xt "30200,30000,33800,31000" +st "Lcd_test" +blo "30200,30800" +) +second (Text +uid 12,0 +va (VaSet +font "Arial,8,1" +) +xt "30200,31000,37100,32000" +st "lcdController_tb" +blo "30200,31800" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "30000,34200,41500,35000" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*53 (Grouping +uid 79,0 +optionalChildren [ +*54 (CommentText +uid 81,0 +shape (Rectangle +uid 82,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 83,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41400,69600,42600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 84,0 +shape (Rectangle +uid 85,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 86,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "29250,41250,40750,42750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 87,0 +shape (Rectangle +uid 88,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 89,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47400,43200,48600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 90,0 +shape (Rectangle +uid 91,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 92,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41400,52900,42600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 93,0 +shape (Rectangle +uid 94,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 95,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43400,42400,44600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 96,0 +shape (Rectangle +uid 97,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 98,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43400,25600,44600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 99,0 +shape (Rectangle +uid 100,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 101,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45400,25600,46600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 102,0 +shape (Rectangle +uid 103,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 104,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,62300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 105,0 +shape (Rectangle +uid 106,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 107,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45400,37100,46600" +st " + +%library/%unit/%view + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 108,0 +shape (Rectangle +uid 109,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 110,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47400,26500,48600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 80,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 17,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 18,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "11,44,1383,930" +viewArea "-1062,-1062,78636,50365" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "0,6000,5400,7000" +st "Declarations" +blo "0,6800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "0,7000,2700,8000" +st "Ports:" +blo "0,7800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "0,8000,2400,9000" +st "User:" +blo "0,8800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "0,6000,5800,7000" +st "Internal User:" +blo "0,6800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,9000,2000,9000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,6000,0,6000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 789,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/Lcd_test/hds/lcd@controller_tester/interface b/Libs/Lcd_test/hds/lcd@controller_tester/interface new file mode 100644 index 0000000..3590947 --- /dev/null +++ b/Libs/Lcd_test/hds/lcd@controller_tester/interface @@ -0,0 +1,1661 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 76,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 42,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "A0" +t "std_ulogic" +o 1 +suid 67,0 +) +) +uid 822,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 6 +suid 68,0 +) +) +uid 824,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "busy" +t "std_ulogic" +o 7 +suid 69,0 +) +) +uid 826,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 70,0 +) +) +uid 828,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "CS1_n" +t "std_ulogic" +o 2 +suid 71,0 +) +) +uid 830,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 72,0 +) +) +uid 832,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "RST_n" +t "std_ulogic" +o 3 +suid 73,0 +) +) +uid 834,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "SCL" +t "std_ulogic" +o 4 +suid 74,0 +) +) +uid 836,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "send" +t "std_ulogic" +o 10 +suid 75,0 +) +) +uid 838,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "SI" +t "std_ulogic" +o 5 +suid 76,0 +) +) +uid 840,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 55,0 +optionalChildren [ +*24 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *25 (MRCItem +litem &1 +pos 7 +dimension 20 +) +uid 57,0 +optionalChildren [ +*26 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 58,0 +) +*27 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 59,0 +) +*28 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 60,0 +) +*29 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 823,0 +) +*30 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 825,0 +) +*31 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 827,0 +) +*32 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 829,0 +) +*33 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 831,0 +) +*34 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 833,0 +) +*35 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 835,0 +) +*36 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 837,0 +) +*37 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 839,0 +) +*38 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 841,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 61,0 +optionalChildren [ +*39 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 62,0 +) +*40 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 63,0 +) +*41 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 64,0 +) +*42 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 65,0 +) +*43 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 66,0 +) +*44 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 67,0 +) +*45 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 68,0 +) +*46 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 69,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 56,0 +vaOverrides [ +] +) +] +) +uid 41,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *47 (LEmptyRow +) +uid 71,0 +optionalChildren [ +*48 (RefLabelRowHdr +) +*49 (TitleRowHdr +) +*50 (FilterRowHdr +) +*51 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*52 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*53 (GroupColHdr +tm "GroupColHdrMgr" +) +*54 (NameColHdr +tm "GenericNameColHdrMgr" +) +*55 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*56 (InitColHdr +tm "GenericValueColHdrMgr" +) +*57 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*58 (EolColHdr +tm "GenericEolColHdrMgr" +) +*59 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "100.0e6" +) +uid 120,0 +) +*60 (LogGeneric +generic (GiElement +name "asciiBitNb" +type "positive" +value "7" +) +uid 375,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 83,0 +optionalChildren [ +*61 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *62 (MRCItem +litem &47 +pos 2 +dimension 20 +) +uid 85,0 +optionalChildren [ +*63 (MRCItem +litem &48 +pos 0 +dimension 20 +uid 86,0 +) +*64 (MRCItem +litem &49 +pos 1 +dimension 23 +uid 87,0 +) +*65 (MRCItem +litem &50 +pos 2 +hidden 1 +dimension 20 +uid 88,0 +) +*66 (MRCItem +litem &59 +pos 0 +dimension 20 +uid 121,0 +) +*67 (MRCItem +litem &60 +pos 1 +dimension 20 +uid 376,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 89,0 +optionalChildren [ +*68 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 90,0 +) +*69 (MRCItem +litem &53 +pos 1 +dimension 50 +uid 91,0 +) +*70 (MRCItem +litem &54 +pos 2 +dimension 100 +uid 92,0 +) +*71 (MRCItem +litem &55 +pos 3 +dimension 100 +uid 93,0 +) +*72 (MRCItem +litem &56 +pos 4 +dimension 50 +uid 94,0 +) +*73 (MRCItem +litem &57 +pos 5 +dimension 50 +uid 95,0 +) +*74 (MRCItem +litem &58 +pos 6 +dimension 80 +uid 96,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 84,0 +vaOverrides [ +] +) +] +) +uid 70,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@controller_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@controller_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@controller_tester" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdController_tester" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdController_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "07:37:47" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lcd_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Lcd_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "lcdController_tester" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@controller_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdController_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "07:37:47" +) +(vvPair +variable "unit" +value "lcdController_tester" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 40,0 +optionalChildren [ +*75 (SymbolBody +uid 8,0 +optionalChildren [ +*76 (CptPort +uid 772,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 773,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58625,5250,59375,6000" +) +tg (CPTG +uid 774,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 775,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "58300,7000,59700,9400" +st "A0" +ju 2 +blo "59500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 776,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,1800,59500,2600" +st "A0 : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "A0" +t "std_ulogic" +o 1 +suid 67,0 +) +) +) +*77 (CptPort +uid 777,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 778,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 779,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 780,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "22300,7000,23700,10500" +st "ascii" +ju 2 +blo "23500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 781,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6600,75000,7400" +st "ascii : OUT std_ulogic_vector (asciiBitNb-1 downto 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 6 +suid 68,0 +) +) +) +*78 (CptPort +uid 782,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 783,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 784,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 785,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "26300,7000,27700,10800" +st "busy" +ju 2 +blo "27500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 786,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5800,59500,6600" +st "busy : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "busy" +t "std_ulogic" +o 7 +suid 69,0 +) +) +) +*79 (CptPort +uid 787,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 788,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 789,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 790,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "30300,7000,31700,10800" +st "clock" +ju 2 +blo "31500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 791,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7400,59500,8200" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 70,0 +) +) +) +*80 (CptPort +uid 792,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 793,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54625,5250,55375,6000" +) +tg (CPTG +uid 794,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 795,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "54300,7000,55700,11900" +st "CS1_n" +ju 2 +blo "55500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 796,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2600,59500,3400" +st "CS1_n : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "CS1_n" +t "std_ulogic" +o 2 +suid 71,0 +) +) +) +*81 (CptPort +uid 797,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 798,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32625,5250,33375,6000" +) +tg (CPTG +uid 799,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 800,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "32300,7000,33700,11100" +st "reset" +ju 2 +blo "33500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 801,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8200,59500,9000" +st "reset : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 72,0 +) +) +) +*82 (CptPort +uid 802,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 803,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56625,5250,57375,6000" +) +tg (CPTG +uid 804,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 805,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "56300,7000,57700,11700" +st "RST_n" +ju 2 +blo "57500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 806,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3400,59500,4200" +st "RST_n : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "RST_n" +t "std_ulogic" +o 3 +suid 73,0 +) +) +) +*83 (CptPort +uid 807,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 808,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60625,5250,61375,6000" +) +tg (CPTG +uid 809,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 810,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "60300,7000,61700,10200" +st "SCL" +ju 2 +blo "61500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 811,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4200,59500,5000" +st "SCL : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "SCL" +t "std_ulogic" +o 4 +suid 74,0 +) +) +) +*84 (CptPort +uid 812,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 813,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 814,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 815,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "24300,7000,25700,10900" +st "send" +ju 2 +blo "25500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 816,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9000,58500,9800" +st "send : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "send" +t "std_ulogic" +o 10 +suid 75,0 +) +) +) +*85 (CptPort +uid 817,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 818,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62625,5250,63375,6000" +) +tg (CPTG +uid 819,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 820,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "62300,7000,63700,9100" +st "SI" +ju 2 +blo "63500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 821,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5000,59500,5800" +st "SI : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "SI" +t "std_ulogic" +o 5 +suid 76,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,71000,14000" +) +oxt "15000,6000,75000,14000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "37100,8800,42100,10000" +st "Lcd_test" +blo "37100,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "37100,10000,48900,11200" +st "lcdController_tester" +blo "37100,11000" +) +) +gi *86 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "20000,6000,34900,10000" +st "Generic Declarations + +clockFrequency real 100.0e6 +asciiBitNb positive 7 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "100.0e6" +) +(GiElement +name "asciiBitNb" +type "positive" +value "7" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *87 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*88 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*89 (MLText +uid 18,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "141,44,1158,734" +viewArea "-500,-500,71320,48820" +cachedDiagramExtent "0,0,67000,14000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Lcd_test" +entityName "lcdController_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,31000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "20300,14800,25700,16000" +st "" +blo "20300,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "20300,16000,24200,17200" +st "" +blo "20300,17000" +) +) +gi *90 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "0,12000,9700,13000" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2500,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_ulogic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,4300,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_ulogic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *91 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,48500,900" +st "Declarations" +blo "42000,700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,900,45000,1800" +st "Ports:" +blo "42000,1600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,9800,44500,10700" +st "User:" +blo "42000,10500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,49500,900" +st "Internal User:" +blo "42000,700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "44000,10700,44000,10700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 841,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Lcd_test/hds/lcd@demo_tb/struct.bd b/Libs/Lcd_test/hds/lcd@demo_tb/struct.bd new file mode 100644 index 0000000..92e3c4d --- /dev/null +++ b/Libs/Lcd_test/hds/lcd@demo_tb/struct.bd @@ -0,0 +1,3526 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_tester" +duLibraryName "Lcd_test" +duName "lcdDemo_tester" +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "buttonNb" +type "positive" +value "buttonNb" +) +(GiElement +name "ledNb" +type "positive" +value "ledNb" +) +(GiElement +name "baudrate" +type "positive" +value "rs232Baudrate" +) +] +mwi 0 +uid 175,0 +) +(Instance +name "I_dut" +duLibraryName "lcd" +duName "lcdDemo" +elements [ +(GiElement +name "baudRateDivide" +type "positive" +value "baudRateDivide" +) +(GiElement +name "buttonNb" +type "positive" +value "buttonNb" +) +(GiElement +name "ledNb" +type "positive" +value "ledNb" +) +(GiElement +name "rs232BaudRate" +type "positive" +value "rs232Baudrate" +) +] +mwi 0 +uid 4057,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@demo_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@demo_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@demo_tb" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdDemo_tb" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdDemo_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "07:37:47" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lcd_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../libs/SPI_test/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Lcd_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "lcdDemo_tb" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@demo_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdDemo_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_ActelPath" +value "D:\\Projects\\Trypano\\ControlBoard\\IglooTester\\Board\\actel\\boardTester" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "07:37:47" +) +(vvPair +variable "unit" +value "lcdDemo_tb" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 20,0 +optionalChildren [ +*1 (Grouping +uid 77,0 +optionalChildren [ +*2 (CommentText +uid 79,0 +shape (Rectangle +uid 80,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,58000,97000,60000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 81,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "78200,58400,93600,59600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 82,0 +shape (Rectangle +uid 83,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,58000,72000,60000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 84,0 +va (VaSet +fg "32768,0,0" +font "Verdana,12,1" +) +xt "52150,58300,65850,59700" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 85,0 +shape (Rectangle +uid 86,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,64000,72000,66000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 87,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,64400,69600,65600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 88,0 +shape (Rectangle +uid 89,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,58000,78000,60000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 90,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,58400,76900,59600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 91,0 +shape (Rectangle +uid 92,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,60000,72000,62000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 93,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,60400,66400,61600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 94,0 +shape (Rectangle +uid 95,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,60000,51000,62000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 96,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,60400,49600,61600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 97,0 +shape (Rectangle +uid 98,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,62000,51000,64000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 99,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,62400,49600,63600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 100,0 +shape (Rectangle +uid 101,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,60000,97000,66000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 102,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,60200,86300,61400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*10 (CommentText +uid 103,0 +shape (Rectangle +uid 104,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,62000,72000,64000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 105,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,62400,61100,63600" +st " + +%library/%unit/%view + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 106,0 +shape (Rectangle +uid 107,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,64000,51000,66000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 108,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,64400,50500,65600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 78,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "46000,58000,97000,66000" +) +oxt "13000,22000,64000,30000" +) +*12 (Blk +uid 175,0 +shape (Rectangle +uid 176,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "29000,40000,85000,48000" +) +oxt "24000,40000,84000,48000" +ttg (MlTextGroup +uid 177,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 178,0 +va (VaSet +font "Verdana,9,1" +) +xt "29300,48500,33300,49400" +st "Lcd_test" +blo "29300,49200" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 179,0 +va (VaSet +font "Verdana,9,1" +) +xt "29300,49700,36800,50600" +st "lcdDemo_tester" +blo "29300,50400" +tm "BlkNameMgr" +) +*15 (Text +uid 180,0 +va (VaSet +font "Verdana,9,1" +) +xt "29300,50900,33300,51800" +st "I_tester" +blo "29300,51600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 181,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 182,0 +text (MLText +uid 183,0 +va (VaSet +) +xt "29000,52600,55200,57400" +st "clockFrequency = clockFrequency ( real ) +buttonNb = buttonNb ( positive ) +ledNb = ledNb ( positive ) +baudrate = rs232Baudrate ( positive ) " +) +header "" +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "buttonNb" +type "positive" +value "buttonNb" +) +(GiElement +name "ledNb" +type "positive" +value "ledNb" +) +(GiElement +name "baudrate" +type "positive" +value "rs232Baudrate" +) +] +) +viewicon (ZoomableIcon +uid 184,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "29250,46250,30750,47750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*16 (Net +uid 2316,0 +decl (Decl +n "reset" +t "std_ulogic" +o 10 +suid 37,0 +) +declText (MLText +uid 2317,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,24800,14300,25800" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 2324,0 +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 38,0 +) +declText (MLText +uid 2325,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,22800,14300,23800" +st "SIGNAL clock : std_ulogic" +) +) +*18 (Net +uid 3041,0 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 2 +suid 47,0 +) +declText (MLText +uid 3042,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16800,14900,17800" +st "SIGNAL CS1_n : std_ulogic" +) +) +*19 (Net +uid 3049,0 +decl (Decl +n "RST_n" +t "std_ulogic" +o 3 +suid 48,0 +) +declText (MLText +uid 3050,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,17800,14900,18800" +st "SIGNAL RST_n : std_ulogic" +) +) +*20 (Net +uid 3057,0 +decl (Decl +n "A0" +t "std_ulogic" +o 1 +suid 49,0 +) +declText (MLText +uid 3058,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15800,14200,16800" +st "SIGNAL A0 : std_ulogic" +) +) +*21 (Net +uid 3065,0 +decl (Decl +n "SCL" +t "std_ulogic" +o 5 +suid 50,0 +) +declText (MLText +uid 3066,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,19800,14400,20800" +st "SIGNAL SCL : std_ulogic" +) +) +*22 (Net +uid 3073,0 +decl (Decl +n "SI" +t "std_ulogic" +o 6 +suid 51,0 +) +declText (MLText +uid 3074,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,20800,14000,21800" +st "SIGNAL SI : std_ulogic" +) +) +*23 (Net +uid 3706,0 +decl (Decl +n "buttons" +t "std_ulogic_vector" +b "(1 to buttonNb)" +o 7 +suid 56,0 +) +declText (MLText +uid 3707,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,21800,24600,22800" +st "SIGNAL buttons : std_ulogic_vector(1 to buttonNb)" +) +) +*24 (Net +uid 3714,0 +decl (Decl +n "leds" +t "std_ulogic_vector" +b "(1 to ledNb)" +o 9 +suid 57,0 +) +declText (MLText +uid 3715,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,23800,22700,24800" +st "SIGNAL leds : std_ulogic_vector(1 to ledNb)" +) +) +*25 (Net +uid 3817,0 +decl (Decl +n "RxD" +t "std_ulogic" +o 4 +suid 58,0 +) +declText (MLText +uid 3818,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,18800,14600,19800" +st "SIGNAL RxD : std_ulogic" +) +) +*26 (SaComponent +uid 4057,0 +optionalChildren [ +*27 (CptPort +uid 4013,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4014,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,27625,45000,28375" +) +tg (CPTG +uid 4015,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4016,0 +va (VaSet +) +xt "46000,27400,48500,28300" +st "clock" +blo "46000,28100" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 1,0 +) +) +) +*28 (CptPort +uid 4017,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4018,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,29625,45000,30375" +) +tg (CPTG +uid 4019,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4020,0 +va (VaSet +) +xt "46000,29400,48500,30300" +st "reset" +blo "46000,30100" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 2,0 +) +) +) +*29 (CptPort +uid 4021,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4022,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,19625,61750,20375" +) +tg (CPTG +uid 4023,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4024,0 +va (VaSet +) +xt "59000,19400,60000,20300" +st "SI" +ju 2 +blo "60000,20100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 2 +suid 3,0 +) +) +) +*30 (CptPort +uid 4025,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4026,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,21625,61750,22375" +) +tg (CPTG +uid 4027,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4028,0 +va (VaSet +) +xt "58500,21400,60000,22300" +st "SCL" +ju 2 +blo "60000,22100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*31 (CptPort +uid 4029,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4030,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,23625,61750,24375" +) +tg (CPTG +uid 4031,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4032,0 +va (VaSet +) +xt "59000,23400,60000,24300" +st "A0" +ju 2 +blo "60000,24100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +*32 (CptPort +uid 4033,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4034,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,15625,45000,16375" +) +tg (CPTG +uid 4035,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4036,0 +va (VaSet +) +xt "46000,15400,49500,16300" +st "buttons" +blo "46000,16100" +) +) +thePort (LogicalPort +decl (Decl +n "buttons" +t "std_ulogic_vector" +b "(1 to buttonNb)" +o 8 +suid 11,0 +) +) +) +*33 (CptPort +uid 4037,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4038,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,27625,61750,28375" +) +tg (CPTG +uid 4039,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4040,0 +va (VaSet +) +xt "57500,27400,60000,28300" +st "CS1_n" +ju 2 +blo "60000,28100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 5 +suid 27,0 +) +) +) +*34 (CptPort +uid 4041,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4042,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,25625,61750,26375" +) +tg (CPTG +uid 4043,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4044,0 +va (VaSet +) +xt "57500,25400,60000,26300" +st "RST_n" +ju 2 +blo "60000,26100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 6 +suid 28,0 +) +) +) +*35 (CptPort +uid 4045,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4046,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,15625,61750,16375" +) +tg (CPTG +uid 4047,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4048,0 +va (VaSet +) +xt "58000,15400,60000,16300" +st "leds" +ju 2 +blo "60000,16100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "leds" +t "std_ulogic_vector" +b "(1 to ledNb)" +o 9 +suid 30,0 +) +) +) +*36 (CptPort +uid 4049,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4050,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,19625,45000,20375" +) +tg (CPTG +uid 4051,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4052,0 +va (VaSet +) +xt "46000,19400,47500,20300" +st "RxD" +blo "46000,20100" +) +) +thePort (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 10 +suid 31,0 +) +) +) +*37 (CptPort +uid 4053,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4054,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,29625,61750,30375" +) +tg (CPTG +uid 4055,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4056,0 +va (VaSet +) +xt "57500,29400,60000,30300" +st "debug" +ju 2 +blo "60000,30100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "debug" +t "std_ulogic_vector" +b "(15 DOWNTO 0)" +o 11 +suid 32,0 +) +) +) +] +shape (Rectangle +uid 4058,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "45000,12000,61000,32000" +) +oxt "8000,8000,24000,28000" +ttg (MlTextGroup +uid 4059,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*38 (Text +uid 4060,0 +va (VaSet +font "Verdana,9,1" +) +xt "45600,31800,47100,32700" +st "lcd" +blo "45600,32500" +tm "BdLibraryNameMgr" +) +*39 (Text +uid 4061,0 +va (VaSet +font "Verdana,9,1" +) +xt "45600,33000,49100,33900" +st "lcdDemo" +blo "45600,33700" +tm "CptNameMgr" +) +*40 (Text +uid 4062,0 +va (VaSet +font "Verdana,9,1" +) +xt "45600,34200,48100,35100" +st "I_dut" +blo "45600,34900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 4063,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 4064,0 +text (MLText +uid 4065,0 +va (VaSet +font "Verdana,8,0" +) +xt "45000,35800,67400,39800" +st "baudRateDivide = baudRateDivide ( positive ) +buttonNb = buttonNb ( positive ) +ledNb = ledNb ( positive ) +rs232BaudRate = rs232Baudrate ( positive ) " +) +header "" +) +elements [ +(GiElement +name "baudRateDivide" +type "positive" +value "baudRateDivide" +) +(GiElement +name "buttonNb" +type "positive" +value "buttonNb" +) +(GiElement +name "ledNb" +type "positive" +value "ledNb" +) +(GiElement +name "rs232BaudRate" +type "positive" +value "rs232Baudrate" +) +] +) +viewicon (ZoomableIcon +uid 4066,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "45250,30250,46750,31750" +iconName "BlockDiagram.png" +iconMaskName "BlockDiagram.msk" +ftype 1 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*41 (Wire +uid 2318,0 +shape (OrthoPolyLine +uid 2319,0 +va (VaSet +vasetType 3 +) +xt "43000,30000,44250,40000" +pts [ +"44250,30000" +"43000,30000" +"43000,40000" +] +) +start &28 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2322,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2323,0 +va (VaSet +font "Verdana,12,0" +) +xt "41250,28600,45350,30000" +st "reset" +blo "41250,29800" +tm "WireNameMgr" +) +) +on &16 +) +*42 (Wire +uid 2326,0 +shape (OrthoPolyLine +uid 2327,0 +va (VaSet +vasetType 3 +) +xt "41000,28000,44250,40000" +pts [ +"44250,28000" +"41000,28000" +"41000,40000" +] +) +start &27 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2330,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2331,0 +va (VaSet +font "Verdana,12,0" +) +xt "41250,26600,45050,28000" +st "clock" +blo "41250,27800" +tm "WireNameMgr" +) +) +on &17 +) +*43 (Wire +uid 3043,0 +shape (OrthoPolyLine +uid 3044,0 +va (VaSet +vasetType 3 +) +xt "61750,28000,65000,40000" +pts [ +"61750,28000" +"65000,28000" +"65000,40000" +] +) +start &33 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3047,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3048,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,26600,68650,28000" +st "CS1_n" +blo "63750,27800" +tm "WireNameMgr" +) +) +on &18 +) +*44 (Wire +uid 3051,0 +shape (OrthoPolyLine +uid 3052,0 +va (VaSet +vasetType 3 +) +xt "61750,26000,67000,40000" +pts [ +"61750,26000" +"67000,26000" +"67000,40000" +] +) +start &34 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3055,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3056,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,24600,68450,26000" +st "RST_n" +blo "63750,25800" +tm "WireNameMgr" +) +) +on &19 +) +*45 (Wire +uid 3059,0 +shape (OrthoPolyLine +uid 3060,0 +va (VaSet +vasetType 3 +) +xt "61750,24000,69000,40000" +pts [ +"61750,24000" +"69000,24000" +"69000,40000" +] +) +start &31 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3063,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3064,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,22600,66150,24000" +st "A0" +blo "63750,23800" +tm "WireNameMgr" +) +) +on &20 +) +*46 (Wire +uid 3067,0 +shape (OrthoPolyLine +uid 3068,0 +va (VaSet +vasetType 3 +) +xt "61750,22000,71000,40000" +pts [ +"61750,22000" +"71000,22000" +"71000,40000" +] +) +start &30 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3071,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3072,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,20600,66950,22000" +st "SCL" +blo "63750,21800" +tm "WireNameMgr" +) +) +on &21 +) +*47 (Wire +uid 3075,0 +shape (OrthoPolyLine +uid 3076,0 +va (VaSet +vasetType 3 +) +xt "61750,20000,73000,40000" +pts [ +"61750,20000" +"73000,20000" +"73000,40000" +] +) +start &29 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3079,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3080,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,18600,65850,20000" +st "SI" +blo "63750,19800" +tm "WireNameMgr" +) +) +on &22 +) +*48 (Wire +uid 3708,0 +shape (OrthoPolyLine +uid 3709,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "37000,16000,44250,40000" +pts [ +"44250,16000" +"37000,16000" +"37000,40000" +] +) +start &32 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3712,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3713,0 +va (VaSet +font "Verdana,12,0" +) +xt "37250,14600,42950,16000" +st "buttons" +blo "37250,15800" +tm "WireNameMgr" +) +) +on &23 +) +*49 (Wire +uid 3716,0 +shape (OrthoPolyLine +uid 3717,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "61750,16000,77000,40000" +pts [ +"61750,16000" +"77000,16000" +"77000,40000" +] +) +start &35 +end &12 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3720,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3721,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,14600,66550,15900" +st "leds" +blo "63750,15600" +tm "WireNameMgr" +) +) +on &24 +) +*50 (Wire +uid 3819,0 +shape (OrthoPolyLine +uid 3820,0 +va (VaSet +vasetType 3 +) +xt "39000,20000,44250,40000" +pts [ +"39000,40000" +"39000,20000" +"44250,20000" +] +) +start &12 +end &36 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3823,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3824,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "37600,36000,39000,39200" +st "RxD" +blo "38800,39200" +tm "WireNameMgr" +) +) +on &25 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *51 (PackageList +uid 9,0 +stg "VerticalLayoutStrategy" +textVec [ +*52 (Text +uid 10,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*53 (MLText +uid 11,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 12,0 +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +uid 13,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30000,900" +st "Compiler Directives" +blo "20000,700" +) +*55 (Text +uid 14,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,31500,1900" +st "Pre-module directives:" +blo "20000,1700" +) +*56 (MLText +uid 15,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*57 (Text +uid 16,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32000,4900" +st "Post-module directives:" +blo "20000,4700" +) +*58 (MLText +uid 17,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*59 (Text +uid 18,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,31500,5900" +st "End-module directives:" +blo "20000,5700" +) +*60 (MLText +uid 19,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "231,54,1293,911" +viewArea "-1423,-1423,104120,68551" +cachedDiagramExtent "0,0,97000,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 4195,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "400,900,4400,2100" +st "Panel0" +blo "400,1900" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2200,3500,7600,4700" +st "" +blo "2200,4500" +tm "BdLibraryNameMgr" +) +*62 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2200,4700,7000,5900" +st "" +blo "2200,5700" +tm "BlkNameMgr" +) +*63 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2200,5900,4500,7100" +st "I_0" +blo "2200,6900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +) +xt "2200,13500,2200,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*64 (Text +va (VaSet +font "Verdana,12,1" +) +xt "550,3500,6250,4900" +st "Library" +blo "550,4700" +) +*65 (Text +va (VaSet +font "Verdana,12,1" +) +xt "550,4900,12250,6300" +st "MWComponent" +blo "550,6100" +) +*66 (Text +va (VaSet +font "Verdana,12,1" +) +xt "550,6300,3850,7700" +st "I_0" +blo "550,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "-6450,1500,-6450,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*67 (Text +va (VaSet +font "Verdana,12,1" +) +xt "900,3500,6600,4900" +st "Library" +blo "900,4700" +tm "BdLibraryNameMgr" +) +*68 (Text +va (VaSet +font "Verdana,12,1" +) +xt "900,4900,11800,6300" +st "SaComponent" +blo "900,6100" +tm "CptNameMgr" +) +*69 (Text +va (VaSet +font "Verdana,12,1" +) +xt "900,6300,4200,7700" +st "I_0" +blo "900,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +) +xt "-6100,1500,-6100,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*70 (Text +va (VaSet +font "Verdana,12,1" +) +xt "500,3500,6200,4900" +st "Library" +blo "500,4700" +) +*71 (Text +va (VaSet +font "Verdana,12,1" +) +xt "500,4900,12600,6300" +st "VhdlComponent" +blo "500,6100" +) +*72 (Text +va (VaSet +font "Verdana,12,1" +) +xt "500,6300,3800,7700" +st "I_0" +blo "500,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +) +xt "-6500,1500,-6500,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-450,0,8450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +va (VaSet +font "Verdana,12,1" +) +xt "50,3500,5750,4900" +st "Library" +blo "50,4700" +) +*74 (Text +va (VaSet +font "Verdana,12,1" +) +xt "50,4900,13950,6300" +st "VerilogComponent" +blo "50,6100" +) +*75 (Text +va (VaSet +font "Verdana,12,1" +) +xt "50,6300,3350,7700" +st "I_0" +blo "50,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +) +xt "-6950,1500,-6950,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*76 (Text +va (VaSet +font "Verdana,9,1" +) +xt "3150,4000,5550,5200" +st "eb1" +blo "3150,5000" +tm "HdlTextNameMgr" +) +*77 (Text +va (VaSet +font "Verdana,9,1" +) +xt "3150,5200,4350,6400" +st "1" +blo "3150,6200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "Courier,9,0" +) +xt "200,200,4200,1500" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,-400,3400,1000" +st "sig0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,-400,4700,1000" +st "dbus0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,-200,4700,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "-2950,-1200,15550,0" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "-50,150,1350,1350" +st "1" +blo "-50,1150" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +va (VaSet +font "Verdana,9,1" +) +xt "14100,20000,24900,21200" +st "Frame Declarations" +blo "14100,21000" +) +*79 (MLText +va (VaSet +) +xt "14100,21200,14100,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "-1800,-1200,9200,0" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "-50,150,1350,1350" +st "1" +blo "-50,1150" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +va (VaSet +font "Verdana,9,1" +) +xt "14100,20000,24900,21200" +st "Frame Declarations" +blo "14100,21000" +) +*81 (MLText +va (VaSet +) +xt "14100,21200,14100,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,6200,6500,7100" +st "Declarations" +blo "0,6900" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7100,3000,8000" +st "Ports:" +blo "0,7800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8000,4500,8900" +st "Pre User:" +blo "0,8700" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,8900,40600,14900" +st "constant clockFrequency: real := 66.0E6; +constant spiFrequency: real := 20.0E6; +constant baudRateDivide: positive := integer((clockFrequency/2.0)/spiFrequency + 1.0); +constant rs232BaudRate: positive := 128*9600; +constant buttonNb: positive := 4; +constant ledNb: positive := 8;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,14900,8500,15800" +st "Diagram Signals:" +blo "0,15600" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,6200,5500,7100" +st "Post User:" +blo "0,6900" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,6200,0,6200" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 58,0 +usingSuid 1 +emptyRow *82 (LEmptyRow +) +uid 22,0 +optionalChildren [ +*83 (RefLabelRowHdr +) +*84 (TitleRowHdr +) +*85 (FilterRowHdr +) +*86 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*87 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*88 (GroupColHdr +tm "GroupColHdrMgr" +) +*89 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*90 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*91 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*92 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*93 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*94 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*95 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 10 +suid 37,0 +) +) +uid 2392,0 +) +*96 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 38,0 +) +) +uid 2394,0 +) +*97 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 2 +suid 47,0 +) +) +uid 3081,0 +) +*98 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "RST_n" +t "std_ulogic" +o 3 +suid 48,0 +) +) +uid 3083,0 +) +*99 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "A0" +t "std_ulogic" +o 1 +suid 49,0 +) +) +uid 3085,0 +) +*100 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "SCL" +t "std_ulogic" +o 5 +suid 50,0 +) +) +uid 3087,0 +) +*101 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "SI" +t "std_ulogic" +o 6 +suid 51,0 +) +) +uid 3089,0 +) +*102 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "buttons" +t "std_ulogic_vector" +b "(1 to buttonNb)" +o 7 +suid 56,0 +) +) +uid 3722,0 +) +*103 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "leds" +t "std_ulogic_vector" +b "(1 to ledNb)" +o 9 +suid 57,0 +) +) +uid 3724,0 +) +*104 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "RxD" +t "std_ulogic" +o 4 +suid 58,0 +) +) +uid 3825,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 35,0 +optionalChildren [ +*105 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *106 (MRCItem +litem &82 +pos 10 +dimension 20 +) +uid 37,0 +optionalChildren [ +*107 (MRCItem +litem &83 +pos 0 +dimension 20 +uid 38,0 +) +*108 (MRCItem +litem &84 +pos 1 +dimension 23 +uid 39,0 +) +*109 (MRCItem +litem &85 +pos 2 +hidden 1 +dimension 20 +uid 40,0 +) +*110 (MRCItem +litem &95 +pos 0 +dimension 20 +uid 2393,0 +) +*111 (MRCItem +litem &96 +pos 1 +dimension 20 +uid 2395,0 +) +*112 (MRCItem +litem &97 +pos 2 +dimension 20 +uid 3082,0 +) +*113 (MRCItem +litem &98 +pos 3 +dimension 20 +uid 3084,0 +) +*114 (MRCItem +litem &99 +pos 4 +dimension 20 +uid 3086,0 +) +*115 (MRCItem +litem &100 +pos 5 +dimension 20 +uid 3088,0 +) +*116 (MRCItem +litem &101 +pos 6 +dimension 20 +uid 3090,0 +) +*117 (MRCItem +litem &102 +pos 7 +dimension 20 +uid 3723,0 +) +*118 (MRCItem +litem &103 +pos 8 +dimension 20 +uid 3725,0 +) +*119 (MRCItem +litem &104 +pos 9 +dimension 20 +uid 3826,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 41,0 +optionalChildren [ +*120 (MRCItem +litem &86 +pos 0 +dimension 20 +uid 42,0 +) +*121 (MRCItem +litem &88 +pos 1 +dimension 50 +uid 43,0 +) +*122 (MRCItem +litem &89 +pos 2 +dimension 100 +uid 44,0 +) +*123 (MRCItem +litem &90 +pos 3 +dimension 50 +uid 45,0 +) +*124 (MRCItem +litem &91 +pos 4 +dimension 100 +uid 46,0 +) +*125 (MRCItem +litem &92 +pos 5 +dimension 100 +uid 47,0 +) +*126 (MRCItem +litem &93 +pos 6 +dimension 50 +uid 48,0 +) +*127 (MRCItem +litem &94 +pos 7 +dimension 80 +uid 49,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 36,0 +vaOverrides [ +] +) +] +) +uid 21,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *128 (LEmptyRow +) +uid 51,0 +optionalChildren [ +*129 (RefLabelRowHdr +) +*130 (TitleRowHdr +) +*131 (FilterRowHdr +) +*132 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*133 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*134 (GroupColHdr +tm "GroupColHdrMgr" +) +*135 (NameColHdr +tm "GenericNameColHdrMgr" +) +*136 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*137 (InitColHdr +tm "GenericValueColHdrMgr" +) +*138 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*139 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 63,0 +optionalChildren [ +*140 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *141 (MRCItem +litem &128 +pos 0 +dimension 20 +) +uid 65,0 +optionalChildren [ +*142 (MRCItem +litem &129 +pos 0 +dimension 20 +uid 66,0 +) +*143 (MRCItem +litem &130 +pos 1 +dimension 23 +uid 67,0 +) +*144 (MRCItem +litem &131 +pos 2 +hidden 1 +dimension 20 +uid 68,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 69,0 +optionalChildren [ +*145 (MRCItem +litem &132 +pos 0 +dimension 20 +uid 70,0 +) +*146 (MRCItem +litem &134 +pos 1 +dimension 50 +uid 71,0 +) +*147 (MRCItem +litem &135 +pos 2 +dimension 100 +uid 72,0 +) +*148 (MRCItem +litem &136 +pos 3 +dimension 100 +uid 73,0 +) +*149 (MRCItem +litem &137 +pos 4 +dimension 50 +uid 74,0 +) +*150 (MRCItem +litem &138 +pos 5 +dimension 50 +uid 75,0 +) +*151 (MRCItem +litem &139 +pos 6 +dimension 80 +uid 76,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 64,0 +vaOverrides [ +] +) +] +) +uid 50,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Lcd_test/hds/lcd@demo_tb/struct.bd.info/msimSimulationReplay.sh b/Libs/Lcd_test/hds/lcd@demo_tb/struct.bd.info/msimSimulationReplay.sh new file mode 100644 index 0000000..efec789 --- /dev/null +++ b/Libs/Lcd_test/hds/lcd@demo_tb/struct.bd.info/msimSimulationReplay.sh @@ -0,0 +1,3 @@ +# Script to replay the last simulation run +cd $SCRATCH_DIR/$DESIGN_NAME/Lcd_test/work +"C:\eda\modeltech_6.6e/win32/vsim" -f hds_args.tmp diff --git a/Libs/Lcd_test/hds/lcd@demo_tb/symbol.sb b/Libs/Lcd_test/hds/lcd@demo_tb/symbol.sb new file mode 100644 index 0000000..2f16795 --- /dev/null +++ b/Libs/Lcd_test/hds/lcd@demo_tb/symbol.sb @@ -0,0 +1,1297 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 5,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 21,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 34,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 0 +dimension 20 +) +uid 36,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 37,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 38,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 39,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 40,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 41,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 42,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 43,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 44,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 45,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 46,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 47,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 48,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 35,0 +vaOverrides [ +] +) +] +) +uid 20,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 50,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 62,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 0 +dimension 20 +) +uid 64,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 65,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 66,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 67,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 68,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 69,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 70,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 71,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 72,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 73,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 74,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 75,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 63,0 +vaOverrides [ +] +) +] +) +uid 49,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@demo_tb\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@demo_tb\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@demo_tb" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdDemo_tb" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdDemo_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "07:37:46" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lcd_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../libs/SPI_test/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Lcd_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "lcdDemo_tb" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@demo_tb\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdDemo_tb\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_ActelPath" +value "D:\\Projects\\Trypano\\ControlBoard\\IglooTester\\Board\\actel\\boardTester" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "07:37:46" +) +(vvPair +variable "unit" +value "lcdDemo_tb" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 19,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "30000,10000,48000,30000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Arial,8,1" +) +xt "30200,30000,33800,31000" +st "Lcd_test" +blo "30200,30800" +) +second (Text +uid 12,0 +va (VaSet +font "Arial,8,1" +) +xt "30200,31000,35500,32000" +st "lcdDemo_tb" +blo "30200,31800" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "30000,34200,41500,35000" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*53 (Grouping +uid 79,0 +optionalChildren [ +*54 (CommentText +uid 81,0 +shape (Rectangle +uid 82,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 83,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41400,69600,42600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 84,0 +shape (Rectangle +uid 85,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 86,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "29250,41250,40750,42750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 87,0 +shape (Rectangle +uid 88,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 89,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47400,43200,48600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 90,0 +shape (Rectangle +uid 91,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 92,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41400,52900,42600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 93,0 +shape (Rectangle +uid 94,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 95,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43400,42400,44600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 96,0 +shape (Rectangle +uid 97,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 98,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43400,25600,44600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 99,0 +shape (Rectangle +uid 100,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 101,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45400,25600,46600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 102,0 +shape (Rectangle +uid 103,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 104,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,62300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 105,0 +shape (Rectangle +uid 106,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 107,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45400,37100,46600" +st " + +%library/%unit/%view + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 108,0 +shape (Rectangle +uid 109,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 110,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47400,26500,48600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 80,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 17,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 18,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "11,44,1383,930" +viewArea "-1062,-1062,78636,50365" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "0,6000,5400,7000" +st "Declarations" +blo "0,6800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "0,7000,2700,8000" +st "Ports:" +blo "0,7800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "0,8000,2400,9000" +st "User:" +blo "0,8800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "0,6000,5800,7000" +st "Internal User:" +blo "0,6800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,9000,2000,9000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,6000,0,6000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 789,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/Lcd_test/hds/lcd@demo_tester/interface b/Libs/Lcd_test/hds/lcd@demo_tester/interface new file mode 100644 index 0000000..5df4365 --- /dev/null +++ b/Libs/Lcd_test/hds/lcd@demo_tester/interface @@ -0,0 +1,1702 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 88,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 84,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "A0" +t "std_ulogic" +o 1 +suid 79,0 +) +) +uid 1002,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "buttons" +t "std_ulogic_vector" +b "(1 to buttonNb)" +o 7 +suid 80,0 +) +) +uid 1004,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 81,0 +) +) +uid 1006,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "CS1_n" +t "std_ulogic" +o 2 +suid 82,0 +) +) +uid 1008,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "leds" +t "std_ulogic_vector" +b "(1 to ledNb)" +o 9 +suid 83,0 +) +) +uid 1010,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 10 +suid 84,0 +) +) +uid 1012,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "RST_n" +t "std_ulogic" +o 3 +suid 85,0 +) +) +uid 1014,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "RxD" +t "std_ulogic" +o 4 +suid 86,0 +) +) +uid 1016,0 +) +*22 (LogPort +port (LogicalPort +decl (Decl +n "SCL" +t "std_ulogic" +o 5 +suid 87,0 +) +) +uid 1018,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "SI" +t "std_ulogic" +o 6 +suid 88,0 +) +) +uid 1020,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 97,0 +optionalChildren [ +*24 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *25 (MRCItem +litem &1 +pos 10 +dimension 20 +) +uid 99,0 +optionalChildren [ +*26 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 100,0 +) +*27 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 101,0 +) +*28 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 102,0 +) +*29 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 1003,0 +) +*30 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 1005,0 +) +*31 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 1007,0 +) +*32 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 1009,0 +) +*33 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 1011,0 +) +*34 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 1013,0 +) +*35 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 1015,0 +) +*36 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 1017,0 +) +*37 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 1019,0 +) +*38 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 1021,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 103,0 +optionalChildren [ +*39 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 104,0 +) +*40 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 105,0 +) +*41 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 106,0 +) +*42 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 107,0 +) +*43 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 108,0 +) +*44 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 109,0 +) +*45 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 110,0 +) +*46 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 111,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 98,0 +vaOverrides [ +] +) +] +) +uid 83,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *47 (LEmptyRow +) +uid 113,0 +optionalChildren [ +*48 (RefLabelRowHdr +) +*49 (TitleRowHdr +) +*50 (FilterRowHdr +) +*51 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*52 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*53 (GroupColHdr +tm "GroupColHdrMgr" +) +*54 (NameColHdr +tm "GenericNameColHdrMgr" +) +*55 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*56 (InitColHdr +tm "GenericValueColHdrMgr" +) +*57 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*58 (EolColHdr +tm "GenericEolColHdrMgr" +) +*59 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "66.0E3" +) +uid 162,0 +) +*60 (LogGeneric +generic (GiElement +name "buttonNb" +type "positive" +value "4" +) +uid 164,0 +) +*61 (LogGeneric +generic (GiElement +name "ledNb" +type "positive" +value "8" +) +uid 166,0 +) +*62 (LogGeneric +generic (GiElement +name "baudrate" +type "positive" +value "9600*128" +) +uid 370,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 125,0 +optionalChildren [ +*63 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *64 (MRCItem +litem &47 +pos 4 +dimension 20 +) +uid 127,0 +optionalChildren [ +*65 (MRCItem +litem &48 +pos 0 +dimension 20 +uid 128,0 +) +*66 (MRCItem +litem &49 +pos 1 +dimension 23 +uid 129,0 +) +*67 (MRCItem +litem &50 +pos 2 +hidden 1 +dimension 20 +uid 130,0 +) +*68 (MRCItem +litem &59 +pos 0 +dimension 20 +uid 163,0 +) +*69 (MRCItem +litem &60 +pos 1 +dimension 20 +uid 165,0 +) +*70 (MRCItem +litem &61 +pos 2 +dimension 20 +uid 167,0 +) +*71 (MRCItem +litem &62 +pos 3 +dimension 20 +uid 371,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 131,0 +optionalChildren [ +*72 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 132,0 +) +*73 (MRCItem +litem &53 +pos 1 +dimension 50 +uid 133,0 +) +*74 (MRCItem +litem &54 +pos 2 +dimension 100 +uid 134,0 +) +*75 (MRCItem +litem &55 +pos 3 +dimension 100 +uid 135,0 +) +*76 (MRCItem +litem &56 +pos 4 +dimension 50 +uid 136,0 +) +*77 (MRCItem +litem &57 +pos 5 +dimension 50 +uid 137,0 +) +*78 (MRCItem +litem &58 +pos 6 +dimension 80 +uid 138,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 126,0 +vaOverrides [ +] +) +] +) +uid 112,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@demo_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@demo_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@demo_tester" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdDemo_tester" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdDemo_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "07:37:47" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lcd_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Lcd_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "lcdDemo_tester" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@demo_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdDemo_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "07:37:47" +) +(vvPair +variable "unit" +value "lcdDemo_tester" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 82,0 +optionalChildren [ +*79 (SymbolBody +uid 8,0 +optionalChildren [ +*80 (CptPort +uid 952,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 953,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54625,5250,55375,6000" +) +tg (CPTG +uid 954,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 955,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "54300,7000,55700,9400" +st "A0" +ju 2 +blo "55500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 956,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,1800,60500,2600" +st "A0 : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "A0" +t "std_ulogic" +o 1 +suid 79,0 +) +) +) +*81 (CptPort +uid 957,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 958,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 959,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 960,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "22300,7000,23700,12700" +st "buttons" +ju 2 +blo "23500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 961,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7400,72000,8200" +st "buttons : OUT std_ulogic_vector (1 to buttonNb) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "buttons" +t "std_ulogic_vector" +b "(1 to buttonNb)" +o 7 +suid 80,0 +) +) +) +*82 (CptPort +uid 962,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 963,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 964,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 965,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "26300,7000,27700,10800" +st "clock" +ju 2 +blo "27500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 966,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8200,60500,9000" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 81,0 +) +) +) +*83 (CptPort +uid 967,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 968,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50625,5250,51375,6000" +) +tg (CPTG +uid 969,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 970,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "50300,7000,51700,11900" +st "CS1_n" +ju 2 +blo "51500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 971,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2600,60500,3400" +st "CS1_n : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "CS1_n" +t "std_ulogic" +o 2 +suid 82,0 +) +) +) +*84 (CptPort +uid 972,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 973,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62625,5250,63375,6000" +) +tg (CPTG +uid 974,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 975,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "62300,7000,63700,10400" +st "leds" +ju 2 +blo "63500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 976,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5800,70500,6600" +st "leds : IN std_ulogic_vector (1 to ledNb) ; +" +) +thePort (LogicalPort +decl (Decl +n "leds" +t "std_ulogic_vector" +b "(1 to ledNb)" +o 9 +suid 83,0 +) +) +) +*85 (CptPort +uid 977,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 978,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 979,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 980,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "28300,7000,29700,11100" +st "reset" +ju 2 +blo "29500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 981,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9000,59500,9800" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 10 +suid 84,0 +) +) +) +*86 (CptPort +uid 982,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 983,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52625,5250,53375,6000" +) +tg (CPTG +uid 984,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 985,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "52300,7000,53700,11700" +st "RST_n" +ju 2 +blo "53500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 986,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3400,60500,4200" +st "RST_n : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "RST_n" +t "std_ulogic" +o 3 +suid 85,0 +) +) +) +*87 (CptPort +uid 987,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 988,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 989,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 990,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "24300,7000,25700,10200" +st "RxD" +ju 2 +blo "25500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 991,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6600,60500,7400" +st "RxD : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "RxD" +t "std_ulogic" +o 4 +suid 86,0 +) +) +) +*88 (CptPort +uid 992,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 993,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56625,5250,57375,6000" +) +tg (CPTG +uid 994,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 995,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "56300,7000,57700,10200" +st "SCL" +ju 2 +blo "57500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 996,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4200,60500,5000" +st "SCL : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "SCL" +t "std_ulogic" +o 5 +suid 87,0 +) +) +) +*89 (CptPort +uid 997,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 998,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58625,5250,59375,6000" +) +tg (CPTG +uid 999,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1000,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "58300,7000,59700,9100" +st "SI" +ju 2 +blo "59500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1001,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5000,60500,5800" +st "SI : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "SI" +t "std_ulogic" +o 6 +suid 88,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,71000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "38450,8800,43450,10000" +st "Lcd_test" +blo "38450,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "38450,10000,47550,11200" +st "lcdDemo_tester" +blo "38450,11000" +) +) +gi *90 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "20000,6000,35200,12000" +st "Generic Declarations + +clockFrequency real 66.0E3 +buttonNb positive 4 +ledNb positive 8 +baudrate positive 9600*128 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "66.0E3" +) +(GiElement +name "buttonNb" +type "positive" +value "4" +) +(GiElement +name "ledNb" +type "positive" +value "8" +) +(GiElement +name "baudrate" +type "positive" +value "9600*128" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *91 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*92 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*93 (MLText +uid 18,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "84,52,1105,742" +viewArea "-500,-500,71663,48185" +cachedDiagramExtent "0,0,72000,14000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Lcd_test" +entityName "lcdDemo_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,31000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "20300,14800,25700,16000" +st "" +blo "20300,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "20300,16000,24200,17200" +st "" +blo "20300,17000" +) +) +gi *94 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "0,12000,9700,13000" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2500,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_ulogic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,4300,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_ulogic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *95 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,48500,900" +st "Declarations" +blo "42000,700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,900,45000,1800" +st "Ports:" +blo "42000,1600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,9800,44500,10700" +st "User:" +blo "42000,10500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,49500,900" +st "Internal User:" +blo "42000,700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "44000,10700,44000,10700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 1021,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Lcd_test/hds/lcd@serializer_tb/struct.bd b/Libs/Lcd_test/hds/lcd@serializer_tb/struct.bd new file mode 100644 index 0000000..6a19273 --- /dev/null +++ b/Libs/Lcd_test/hds/lcd@serializer_tb/struct.bd @@ -0,0 +1,3436 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_tester" +duLibraryName "Lcd_test" +duName "lcdSerializer_tester" +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 175,0 +) +(Instance +name "I_dut" +duLibraryName "Lcd" +duName "lcdSerializer" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "baudRateDivide" +type "integer" +value "baudRateDivide" +) +] +mwi 0 +uid 3512,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@serializer_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@serializer_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@serializer_tb" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdSerializer_tb" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdSerializer_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "07:37:47" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lcd_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../libs/SPI_test/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Lcd_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "lcdSerializer_tb" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@serializer_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdSerializer_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_ActelPath" +value "D:\\Projects\\Trypano\\ControlBoard\\IglooTester\\Board\\actel\\boardTester" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "07:37:47" +) +(vvPair +variable "unit" +value "lcdSerializer_tb" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 20,0 +optionalChildren [ +*1 (Grouping +uid 77,0 +optionalChildren [ +*2 (CommentText +uid 79,0 +shape (Rectangle +uid 80,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,58000,97000,60000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 81,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "78200,58400,93600,59600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 82,0 +shape (Rectangle +uid 83,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,58000,72000,60000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 84,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "53250,58250,64750,59750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 85,0 +shape (Rectangle +uid 86,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,64000,72000,66000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 87,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,64400,69600,65600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 88,0 +shape (Rectangle +uid 89,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,58000,78000,60000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 90,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,58400,76900,59600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 91,0 +shape (Rectangle +uid 92,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,60000,72000,62000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 93,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,60400,66400,61600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 94,0 +shape (Rectangle +uid 95,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,60000,51000,62000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 96,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,60400,49600,61600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 97,0 +shape (Rectangle +uid 98,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,62000,51000,64000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 99,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,62400,49600,63600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 100,0 +shape (Rectangle +uid 101,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,60000,97000,66000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 102,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,60200,86300,61400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*10 (CommentText +uid 103,0 +shape (Rectangle +uid 104,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,62000,72000,64000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 105,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,62400,61100,63600" +st " + +%library/%unit/%view + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 106,0 +shape (Rectangle +uid 107,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,64000,51000,66000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 108,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,64400,50500,65600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 78,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "46000,58000,97000,66000" +) +oxt "13000,22000,64000,30000" +) +*12 (Blk +uid 175,0 +shape (Rectangle +uid 176,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "25000,40000,81000,48000" +) +oxt "24000,40000,84000,48000" +ttg (MlTextGroup +uid 177,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 178,0 +va (VaSet +font "Verdana,9,1" +) +xt "25300,48500,30300,49700" +st "Lcd_test" +blo "25300,49500" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 179,0 +va (VaSet +font "Verdana,9,1" +) +xt "25300,49700,36800,50900" +st "lcdSerializer_tester" +blo "25300,50700" +tm "BlkNameMgr" +) +*15 (Text +uid 180,0 +va (VaSet +font "Verdana,9,1" +) +xt "25300,50900,30100,52100" +st "I_tester" +blo "25300,51900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 181,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 182,0 +text (MLText +uid 183,0 +va (VaSet +font "Courier New,9,0" +) +xt "25000,52800,51500,55200" +st "clockFrequency = clockFrequency ( real ) +dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +viewicon (ZoomableIcon +uid 184,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "25250,46250,26750,47750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*16 (Net +uid 2316,0 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 37,0 +) +declText (MLText +uid 2317,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,20400,16000,21200" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 2324,0 +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 38,0 +) +declText (MLText +uid 2325,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,18800,16000,19600" +st "SIGNAL clock : std_ulogic" +) +) +*18 (Net +uid 3049,0 +decl (Decl +n "RST_n" +t "std_ulogic" +o 3 +suid 46,0 +) +declText (MLText +uid 3050,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,15600,16000,16400" +st "SIGNAL RST_n : std_ulogic" +) +) +*19 (Net +uid 3057,0 +decl (Decl +n "CS_n" +t "std_ulogic" +o 2 +suid 47,0 +) +declText (MLText +uid 3058,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,14800,16000,15600" +st "SIGNAL CS_n : std_ulogic" +) +) +*20 (Net +uid 3065,0 +decl (Decl +n "A0" +t "std_ulogic" +o 1 +suid 48,0 +) +declText (MLText +uid 3066,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,14000,16000,14800" +st "SIGNAL A0 : std_ulogic" +) +) +*21 (Net +uid 3073,0 +decl (Decl +n "SI" +t "std_ulogic" +o 5 +suid 49,0 +) +declText (MLText +uid 3074,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,17200,16000,18000" +st "SIGNAL SI : std_ulogic" +) +) +*22 (Net +uid 3081,0 +decl (Decl +n "SCL" +t "std_ulogic" +o 4 +suid 50,0 +) +declText (MLText +uid 3082,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,16400,16000,17200" +st "SIGNAL SCL : std_ulogic" +) +) +*23 (Net +uid 3149,0 +decl (Decl +n "busy" +t "std_ulogic" +o 6 +suid 51,0 +) +declText (MLText +uid 3150,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,18000,16000,18800" +st "SIGNAL busy : std_ulogic" +) +) +*24 (Net +uid 3157,0 +decl (Decl +n "send" +t "std_ulogic" +o 10 +suid 52,0 +) +declText (MLText +uid 3158,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,21200,16000,22000" +st "SIGNAL send : std_ulogic" +) +) +*25 (Net +uid 3165,0 +decl (Decl +n "data" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 53,0 +) +declText (MLText +uid 3166,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,19600,31000,20400" +st "SIGNAL data : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*26 (SaComponent +uid 3512,0 +optionalChildren [ +*27 (CptPort +uid 3472,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3473,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,23625,61750,24375" +) +tg (CPTG +uid 3474,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3475,0 +va (VaSet +) +xt "57800,23400,60000,24600" +st "A0" +ju 2 +blo "60000,24400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 5 +suid 1,0 +) +) +) +*28 (CptPort +uid 3476,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3477,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,27625,45000,28375" +) +tg (CPTG +uid 3478,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3479,0 +va (VaSet +) +xt "46000,27400,49400,28600" +st "clock" +blo "46000,28400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*29 (CptPort +uid 3480,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3481,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,27625,61750,28375" +) +tg (CPTG +uid 3482,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3483,0 +va (VaSet +) +xt "56600,27400,60000,28600" +st "CS_n" +ju 2 +blo "60000,28400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "CS_n" +t "std_ulogic" +o 6 +suid 4,0 +) +) +) +*30 (CptPort +uid 3484,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3485,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,29625,45000,30375" +) +tg (CPTG +uid 3486,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3487,0 +va (VaSet +) +xt "46000,29400,49300,30600" +st "reset" +blo "46000,30400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 7,0 +) +) +) +*31 (CptPort +uid 3488,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3489,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,25625,61750,26375" +) +tg (CPTG +uid 3490,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3491,0 +va (VaSet +) +xt "56000,25400,60000,26600" +st "RST_n" +ju 2 +blo "60000,26400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 7 +suid 8,0 +) +) +) +*32 (CptPort +uid 3492,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3493,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,21625,61750,22375" +) +tg (CPTG +uid 3494,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3495,0 +va (VaSet +) +xt "57200,21400,60000,22600" +st "SCL" +ju 2 +blo "60000,22400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 4 +suid 9,0 +) +) +) +*33 (CptPort +uid 3496,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3497,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,19625,61750,20375" +) +tg (CPTG +uid 3498,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3499,0 +va (VaSet +) +xt "58100,19400,60000,20600" +st "SI" +ju 2 +blo "60000,20400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 3 +suid 10,0 +) +) +) +*34 (CptPort +uid 3500,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3501,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,19625,45000,20375" +) +tg (CPTG +uid 3502,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3503,0 +va (VaSet +) +xt "46000,19400,48900,20600" +st "data" +blo "46000,20400" +) +) +thePort (LogicalPort +decl (Decl +n "data" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 11,0 +) +) +) +*35 (CptPort +uid 3504,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3505,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,21625,45000,22375" +) +tg (CPTG +uid 3506,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3507,0 +va (VaSet +) +xt "46000,21400,49100,22600" +st "send" +blo "46000,22400" +) +) +thePort (LogicalPort +decl (Decl +n "send" +t "std_ulogic" +o 9 +suid 17,0 +) +) +) +*36 (CptPort +uid 3508,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3509,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,23625,45000,24375" +) +tg (CPTG +uid 3510,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3511,0 +va (VaSet +) +xt "46000,23400,49100,24600" +st "busy" +blo "46000,24400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "busy" +t "std_ulogic" +o 10 +suid 19,0 +) +) +) +] +shape (Rectangle +uid 3513,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "45000,16000,61000,32000" +) +oxt "38000,-2000,54000,14000" +ttg (MlTextGroup +uid 3514,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*37 (Text +uid 3515,0 +va (VaSet +font "Verdana,9,1" +) +xt "44950,32000,47250,33200" +st "Lcd" +blo "44950,33000" +tm "BdLibraryNameMgr" +) +*38 (Text +uid 3516,0 +va (VaSet +font "Verdana,9,1" +) +xt "44950,33200,52150,34400" +st "lcdSerializer" +blo "44950,34200" +tm "CptNameMgr" +) +*39 (Text +uid 3517,0 +va (VaSet +font "Verdana,9,1" +) +xt "44950,34400,48350,35600" +st "I_dut" +blo "44950,35400" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3518,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3519,0 +text (MLText +uid 3520,0 +va (VaSet +font "Courier New,8,0" +) +xt "45000,36800,71500,38400" +st "dataBitNb = dataBitNb ( positive ) +baudRateDivide = baudRateDivide ( integer ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "baudRateDivide" +type "integer" +value "baudRateDivide" +) +] +) +viewicon (ZoomableIcon +uid 3521,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "45250,30250,46750,31750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*40 (Wire +uid 2318,0 +shape (OrthoPolyLine +uid 2319,0 +va (VaSet +vasetType 3 +) +xt "43000,30000,44250,40000" +pts [ +"44250,30000" +"43000,30000" +"43000,40000" +] +) +start &30 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2322,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2323,0 +va (VaSet +font "Verdana,12,0" +) +xt "41250,28600,45350,30000" +st "reset" +blo "41250,29800" +tm "WireNameMgr" +) +) +on &16 +) +*41 (Wire +uid 2326,0 +shape (OrthoPolyLine +uid 2327,0 +va (VaSet +vasetType 3 +) +xt "41000,28000,44250,40000" +pts [ +"44250,28000" +"41000,28000" +"41000,40000" +] +) +start &28 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2330,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2331,0 +va (VaSet +font "Verdana,12,0" +) +xt "41250,26600,45050,28000" +st "clock" +blo "41250,27800" +tm "WireNameMgr" +) +) +on &17 +) +*42 (Wire +uid 3051,0 +shape (OrthoPolyLine +uid 3052,0 +va (VaSet +vasetType 3 +) +xt "61750,26000,67000,40000" +pts [ +"61750,26000" +"67000,26000" +"67000,40000" +] +) +start &31 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3055,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3056,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,24600,68450,26000" +st "RST_n" +blo "63750,25800" +tm "WireNameMgr" +) +) +on &18 +) +*43 (Wire +uid 3059,0 +shape (OrthoPolyLine +uid 3060,0 +va (VaSet +vasetType 3 +) +xt "61750,28000,65000,40000" +pts [ +"61750,28000" +"65000,28000" +"65000,40000" +] +) +start &29 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3063,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3064,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,26600,67850,28000" +st "CS_n" +blo "63750,27800" +tm "WireNameMgr" +) +) +on &19 +) +*44 (Wire +uid 3067,0 +shape (OrthoPolyLine +uid 3068,0 +va (VaSet +vasetType 3 +) +xt "61750,24000,69000,40000" +pts [ +"61750,24000" +"69000,24000" +"69000,40000" +] +) +start &27 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3071,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3072,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,22600,66150,24000" +st "A0" +blo "63750,23800" +tm "WireNameMgr" +) +) +on &20 +) +*45 (Wire +uid 3075,0 +shape (OrthoPolyLine +uid 3076,0 +va (VaSet +vasetType 3 +) +xt "61750,20000,73000,40000" +pts [ +"61750,20000" +"73000,20000" +"73000,40000" +] +) +start &33 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3079,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3080,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,18600,65850,20000" +st "SI" +blo "63750,19800" +tm "WireNameMgr" +) +) +on &21 +) +*46 (Wire +uid 3083,0 +shape (OrthoPolyLine +uid 3084,0 +va (VaSet +vasetType 3 +) +xt "61750,22000,71000,40000" +pts [ +"61750,22000" +"71000,22000" +"71000,40000" +] +) +start &32 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3087,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3088,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,20600,66950,22000" +st "SCL" +blo "63750,21800" +tm "WireNameMgr" +) +) +on &22 +) +*47 (Wire +uid 3151,0 +shape (OrthoPolyLine +uid 3152,0 +va (VaSet +vasetType 3 +) +xt "37000,24000,44250,40000" +pts [ +"44250,24000" +"37000,24000" +"37000,40000" +] +) +start &36 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3155,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3156,0 +va (VaSet +font "Verdana,12,0" +) +xt "39250,22600,43050,24000" +st "busy" +blo "39250,23800" +tm "WireNameMgr" +) +) +on &23 +) +*48 (Wire +uid 3159,0 +shape (OrthoPolyLine +uid 3160,0 +va (VaSet +vasetType 3 +) +xt "35000,22000,44250,40000" +pts [ +"44250,22000" +"35000,22000" +"35000,40000" +] +) +start &35 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3163,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3164,0 +va (VaSet +font "Verdana,12,0" +) +xt "39250,20600,43150,22000" +st "send" +blo "39250,21800" +tm "WireNameMgr" +) +) +on &24 +) +*49 (Wire +uid 3167,0 +shape (OrthoPolyLine +uid 3168,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "33000,20000,44250,40000" +pts [ +"44250,20000" +"33000,20000" +"33000,40000" +] +) +start &34 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3171,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3172,0 +va (VaSet +font "Verdana,12,0" +) +xt "39250,18600,42950,20000" +st "data" +blo "39250,19800" +tm "WireNameMgr" +) +) +on &25 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *50 (PackageList +uid 9,0 +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +uid 10,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*52 (MLText +uid 11,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 12,0 +stg "VerticalLayoutStrategy" +textVec [ +*53 (Text +uid 13,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*54 (Text +uid 14,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*55 (MLText +uid 15,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*56 (Text +uid 16,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*57 (MLText +uid 17,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*58 (Text +uid 18,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*59 (MLText +uid 19,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "241,50,1441,900" +viewArea "-1400,-1400,101863,70389" +cachedDiagramExtent "0,0,97000,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 3607,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "400,900,4400,2100" +st "Panel0" +blo "400,1900" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2200,3500,7600,4700" +st "" +blo "2200,4500" +tm "BdLibraryNameMgr" +) +*61 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2200,4700,7000,5900" +st "" +blo "2200,5700" +tm "BlkNameMgr" +) +*62 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2200,5900,4500,7100" +st "I_0" +blo "2200,6900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,9,0" +) +xt "2200,13500,2200,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +va (VaSet +font "Verdana,12,1" +) +xt "550,3500,6250,4900" +st "Library" +blo "550,4700" +) +*64 (Text +va (VaSet +font "Verdana,12,1" +) +xt "550,4900,12250,6300" +st "MWComponent" +blo "550,6100" +) +*65 (Text +va (VaSet +font "Verdana,12,1" +) +xt "550,6300,3850,7700" +st "I_0" +blo "550,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-6450,1500,-6450,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*66 (Text +va (VaSet +font "Verdana,12,1" +) +xt "900,3500,6600,4900" +st "Library" +blo "900,4700" +tm "BdLibraryNameMgr" +) +*67 (Text +va (VaSet +font "Verdana,12,1" +) +xt "900,4900,11800,6300" +st "SaComponent" +blo "900,6100" +tm "CptNameMgr" +) +*68 (Text +va (VaSet +font "Verdana,12,1" +) +xt "900,6300,4200,7700" +st "I_0" +blo "900,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,9,0" +) +xt "-6100,1500,-6100,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*69 (Text +va (VaSet +font "Verdana,12,1" +) +xt "500,3500,6200,4900" +st "Library" +blo "500,4700" +) +*70 (Text +va (VaSet +font "Verdana,12,1" +) +xt "500,4900,12600,6300" +st "VhdlComponent" +blo "500,6100" +) +*71 (Text +va (VaSet +font "Verdana,12,1" +) +xt "500,6300,3800,7700" +st "I_0" +blo "500,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,9,0" +) +xt "-6500,1500,-6500,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-450,0,8450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*72 (Text +va (VaSet +font "Verdana,12,1" +) +xt "50,3500,5750,4900" +st "Library" +blo "50,4700" +) +*73 (Text +va (VaSet +font "Verdana,12,1" +) +xt "50,4900,13950,6300" +st "VerilogComponent" +blo "50,6100" +) +*74 (Text +va (VaSet +font "Verdana,12,1" +) +xt "50,6300,3350,7700" +st "I_0" +blo "50,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,9,0" +) +xt "-6950,1500,-6950,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +va (VaSet +font "Verdana,9,1" +) +xt "3150,4000,5550,5200" +st "eb1" +blo "3150,5000" +tm "HdlTextNameMgr" +) +*76 (Text +va (VaSet +font "Verdana,9,1" +) +xt "3150,5200,4350,6400" +st "1" +blo "3150,6200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "Courier,9,0" +) +xt "200,200,4200,1500" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,-400,3400,1000" +st "sig0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,-400,4700,1000" +st "dbus0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,-200,4700,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "-2950,-1200,15550,0" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "-50,150,1350,1350" +st "1" +blo "-50,1150" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +va (VaSet +font "Verdana,9,1" +) +xt "14100,20000,24900,21200" +st "Frame Declarations" +blo "14100,21000" +) +*78 (MLText +va (VaSet +) +xt "14100,21200,14100,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "-1800,-1200,9200,0" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "-50,150,1350,1350" +st "1" +blo "-50,1150" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +va (VaSet +font "Verdana,9,1" +) +xt "14100,20000,24900,21200" +st "Frame Declarations" +blo "14100,21000" +) +*80 (MLText +va (VaSet +) +xt "14100,21200,14100,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Courier New,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "0,6000,5400,7000" +st "Declarations" +blo "0,6800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "0,7000,2700,8000" +st "Ports:" +blo "0,7800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "0,8000,3800,9000" +st "Pre User:" +blo "0,8800" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,9000,47000,13000" +st "constant clockFrequency: real := 66.0E6; +constant spiFrequency: real := 20.0E6; +constant baudRateDivide: positive := integer((clockFrequency/2.0)/spiFrequency + 1.0); + +constant dataBitNb: positive := 8+1;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Arial,8,1" +) +xt "0,13000,7100,14000" +st "Diagram Signals:" +blo "0,13800" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "0,6000,4700,7000" +st "Post User:" +blo "0,6800" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,6000,0,6000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 53,0 +usingSuid 1 +emptyRow *81 (LEmptyRow +) +uid 22,0 +optionalChildren [ +*82 (RefLabelRowHdr +) +*83 (TitleRowHdr +) +*84 (FilterRowHdr +) +*85 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*86 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*87 (GroupColHdr +tm "GroupColHdrMgr" +) +*88 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*89 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*90 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*91 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*92 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*93 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*94 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 37,0 +) +) +uid 2392,0 +) +*95 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 38,0 +) +) +uid 2394,0 +) +*96 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "RST_n" +t "std_ulogic" +o 3 +suid 46,0 +) +) +uid 3139,0 +) +*97 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "CS_n" +t "std_ulogic" +o 2 +suid 47,0 +) +) +uid 3141,0 +) +*98 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "A0" +t "std_ulogic" +o 1 +suid 48,0 +) +) +uid 3143,0 +) +*99 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "SI" +t "std_ulogic" +o 5 +suid 49,0 +) +) +uid 3145,0 +) +*100 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "SCL" +t "std_ulogic" +o 4 +suid 50,0 +) +) +uid 3147,0 +) +*101 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "busy" +t "std_ulogic" +o 6 +suid 51,0 +) +) +uid 3223,0 +) +*102 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "send" +t "std_ulogic" +o 10 +suid 52,0 +) +) +uid 3225,0 +) +*103 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "data" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 53,0 +) +) +uid 3227,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 35,0 +optionalChildren [ +*104 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *105 (MRCItem +litem &81 +pos 10 +dimension 20 +) +uid 37,0 +optionalChildren [ +*106 (MRCItem +litem &82 +pos 0 +dimension 20 +uid 38,0 +) +*107 (MRCItem +litem &83 +pos 1 +dimension 23 +uid 39,0 +) +*108 (MRCItem +litem &84 +pos 2 +hidden 1 +dimension 20 +uid 40,0 +) +*109 (MRCItem +litem &94 +pos 0 +dimension 20 +uid 2393,0 +) +*110 (MRCItem +litem &95 +pos 1 +dimension 20 +uid 2395,0 +) +*111 (MRCItem +litem &96 +pos 2 +dimension 20 +uid 3140,0 +) +*112 (MRCItem +litem &97 +pos 3 +dimension 20 +uid 3142,0 +) +*113 (MRCItem +litem &98 +pos 4 +dimension 20 +uid 3144,0 +) +*114 (MRCItem +litem &99 +pos 5 +dimension 20 +uid 3146,0 +) +*115 (MRCItem +litem &100 +pos 6 +dimension 20 +uid 3148,0 +) +*116 (MRCItem +litem &101 +pos 7 +dimension 20 +uid 3224,0 +) +*117 (MRCItem +litem &102 +pos 8 +dimension 20 +uid 3226,0 +) +*118 (MRCItem +litem &103 +pos 9 +dimension 20 +uid 3228,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 41,0 +optionalChildren [ +*119 (MRCItem +litem &85 +pos 0 +dimension 20 +uid 42,0 +) +*120 (MRCItem +litem &87 +pos 1 +dimension 50 +uid 43,0 +) +*121 (MRCItem +litem &88 +pos 2 +dimension 100 +uid 44,0 +) +*122 (MRCItem +litem &89 +pos 3 +dimension 50 +uid 45,0 +) +*123 (MRCItem +litem &90 +pos 4 +dimension 100 +uid 46,0 +) +*124 (MRCItem +litem &91 +pos 5 +dimension 100 +uid 47,0 +) +*125 (MRCItem +litem &92 +pos 6 +dimension 50 +uid 48,0 +) +*126 (MRCItem +litem &93 +pos 7 +dimension 80 +uid 49,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 36,0 +vaOverrides [ +] +) +] +) +uid 21,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *127 (LEmptyRow +) +uid 51,0 +optionalChildren [ +*128 (RefLabelRowHdr +) +*129 (TitleRowHdr +) +*130 (FilterRowHdr +) +*131 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*132 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*133 (GroupColHdr +tm "GroupColHdrMgr" +) +*134 (NameColHdr +tm "GenericNameColHdrMgr" +) +*135 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*136 (InitColHdr +tm "GenericValueColHdrMgr" +) +*137 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*138 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 63,0 +optionalChildren [ +*139 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *140 (MRCItem +litem &127 +pos 0 +dimension 20 +) +uid 65,0 +optionalChildren [ +*141 (MRCItem +litem &128 +pos 0 +dimension 20 +uid 66,0 +) +*142 (MRCItem +litem &129 +pos 1 +dimension 23 +uid 67,0 +) +*143 (MRCItem +litem &130 +pos 2 +hidden 1 +dimension 20 +uid 68,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 69,0 +optionalChildren [ +*144 (MRCItem +litem &131 +pos 0 +dimension 20 +uid 70,0 +) +*145 (MRCItem +litem &133 +pos 1 +dimension 50 +uid 71,0 +) +*146 (MRCItem +litem &134 +pos 2 +dimension 100 +uid 72,0 +) +*147 (MRCItem +litem &135 +pos 3 +dimension 100 +uid 73,0 +) +*148 (MRCItem +litem &136 +pos 4 +dimension 50 +uid 74,0 +) +*149 (MRCItem +litem &137 +pos 5 +dimension 50 +uid 75,0 +) +*150 (MRCItem +litem &138 +pos 6 +dimension 80 +uid 76,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 64,0 +vaOverrides [ +] +) +] +) +uid 50,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Lcd_test/hds/lcd@serializer_tb/struct.bd.info/msimSimulationReplay.sh b/Libs/Lcd_test/hds/lcd@serializer_tb/struct.bd.info/msimSimulationReplay.sh new file mode 100644 index 0000000..6ecd536 --- /dev/null +++ b/Libs/Lcd_test/hds/lcd@serializer_tb/struct.bd.info/msimSimulationReplay.sh @@ -0,0 +1,3 @@ +# Script to replay the last simulation run +cd $SCRATCH_DIR/$DESIGN_NAME/Lcd_test/work +"C:\eda\Modelsim/win32/vsim" -f hds_args.tmp diff --git a/Libs/Lcd_test/hds/lcd@serializer_tb/symbol.sb b/Libs/Lcd_test/hds/lcd@serializer_tb/symbol.sb new file mode 100644 index 0000000..d5c5509 --- /dev/null +++ b/Libs/Lcd_test/hds/lcd@serializer_tb/symbol.sb @@ -0,0 +1,1297 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 5,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 21,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 34,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 0 +dimension 20 +) +uid 36,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 37,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 38,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 39,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 40,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 41,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 42,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 43,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 44,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 45,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 46,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 47,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 48,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 35,0 +vaOverrides [ +] +) +] +) +uid 20,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 50,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 62,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 0 +dimension 20 +) +uid 64,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 65,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 66,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 67,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 68,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 69,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 70,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 71,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 72,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 73,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 74,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 75,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 63,0 +vaOverrides [ +] +) +] +) +uid 49,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@serializer_tb\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@serializer_tb\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@serializer_tb" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdSerializer_tb" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdSerializer_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "07:37:46" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lcd_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../libs/SPI_test/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Lcd_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "lcdSerializer_tb" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@serializer_tb\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdSerializer_tb\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_ActelPath" +value "D:\\Projects\\Trypano\\ControlBoard\\IglooTester\\Board\\actel\\boardTester" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "07:37:46" +) +(vvPair +variable "unit" +value "lcdSerializer_tb" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 19,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "30000,10000,48000,30000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Arial,8,1" +) +xt "30200,30000,33800,31000" +st "Lcd_test" +blo "30200,30800" +) +second (Text +uid 12,0 +va (VaSet +font "Arial,8,1" +) +xt "30200,31000,36600,32000" +st "lcdSerializer_tb" +blo "30200,31800" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "30000,34200,41500,35000" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*53 (Grouping +uid 79,0 +optionalChildren [ +*54 (CommentText +uid 81,0 +shape (Rectangle +uid 82,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 83,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41400,69600,42600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 84,0 +shape (Rectangle +uid 85,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 86,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "29250,41250,40750,42750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 87,0 +shape (Rectangle +uid 88,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 89,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47400,43200,48600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 90,0 +shape (Rectangle +uid 91,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 92,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41400,52900,42600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 93,0 +shape (Rectangle +uid 94,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 95,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43400,42400,44600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 96,0 +shape (Rectangle +uid 97,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 98,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43400,25600,44600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 99,0 +shape (Rectangle +uid 100,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 101,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45400,25600,46600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 102,0 +shape (Rectangle +uid 103,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 104,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,62300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 105,0 +shape (Rectangle +uid 106,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 107,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45400,37100,46600" +st " + +%library/%unit/%view + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 108,0 +shape (Rectangle +uid 109,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 110,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47400,26500,48600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 80,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 17,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 18,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "11,44,1383,930" +viewArea "-1062,-1062,78636,50365" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "0,6000,5400,7000" +st "Declarations" +blo "0,6800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "0,7000,2700,8000" +st "Ports:" +blo "0,7800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "0,8000,2400,9000" +st "User:" +blo "0,8800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "0,6000,5800,7000" +st "Internal User:" +blo "0,6800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,9000,2000,9000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,6000,0,6000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 789,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/Lcd_test/hds/lcd@serializer_tester/interface b/Libs/Lcd_test/hds/lcd@serializer_tester/interface new file mode 100644 index 0000000..4343e36 --- /dev/null +++ b/Libs/Lcd_test/hds/lcd@serializer_tester/interface @@ -0,0 +1,1657 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 55,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 77,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "A0" +t "std_ulogic" +o 1 +suid 46,0 +) +) +uid 606,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "busy" +t "std_ulogic" +o 6 +suid 47,0 +) +) +uid 608,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 48,0 +) +) +uid 610,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "CS_n" +t "std_ulogic" +o 2 +suid 49,0 +) +) +uid 612,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "data" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 50,0 +) +) +uid 614,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 51,0 +) +) +uid 616,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "RST_n" +t "std_ulogic" +o 3 +suid 52,0 +) +) +uid 618,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "SCL" +t "std_ulogic" +o 4 +suid 53,0 +) +) +uid 620,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "send" +t "std_ulogic" +o 10 +suid 54,0 +) +) +uid 622,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "SI" +t "std_ulogic" +o 5 +suid 55,0 +) +) +uid 624,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 90,0 +optionalChildren [ +*24 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *25 (MRCItem +litem &1 +pos 10 +dimension 20 +) +uid 92,0 +optionalChildren [ +*26 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 93,0 +) +*27 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 94,0 +) +*28 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 95,0 +) +*29 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 607,0 +) +*30 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 609,0 +) +*31 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 611,0 +) +*32 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 613,0 +) +*33 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 615,0 +) +*34 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 617,0 +) +*35 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 619,0 +) +*36 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 621,0 +) +*37 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 623,0 +) +*38 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 625,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 96,0 +optionalChildren [ +*39 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 97,0 +) +*40 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 98,0 +) +*41 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 99,0 +) +*42 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 100,0 +) +*43 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 101,0 +) +*44 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 102,0 +) +*45 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 103,0 +) +*46 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 104,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 91,0 +vaOverrides [ +] +) +] +) +uid 76,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *47 (LEmptyRow +) +uid 106,0 +optionalChildren [ +*48 (RefLabelRowHdr +) +*49 (TitleRowHdr +) +*50 (FilterRowHdr +) +*51 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*52 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*53 (GroupColHdr +tm "GroupColHdrMgr" +) +*54 (NameColHdr +tm "GenericNameColHdrMgr" +) +*55 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*56 (InitColHdr +tm "GenericValueColHdrMgr" +) +*57 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*58 (EolColHdr +tm "GenericEolColHdrMgr" +) +*59 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "100.0E6" +) +uid 155,0 +) +*60 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "9" +) +uid 345,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 118,0 +optionalChildren [ +*61 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *62 (MRCItem +litem &47 +pos 2 +dimension 20 +) +uid 120,0 +optionalChildren [ +*63 (MRCItem +litem &48 +pos 0 +dimension 20 +uid 121,0 +) +*64 (MRCItem +litem &49 +pos 1 +dimension 23 +uid 122,0 +) +*65 (MRCItem +litem &50 +pos 2 +hidden 1 +dimension 20 +uid 123,0 +) +*66 (MRCItem +litem &59 +pos 0 +dimension 20 +uid 156,0 +) +*67 (MRCItem +litem &60 +pos 1 +dimension 20 +uid 346,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 124,0 +optionalChildren [ +*68 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 125,0 +) +*69 (MRCItem +litem &53 +pos 1 +dimension 50 +uid 126,0 +) +*70 (MRCItem +litem &54 +pos 2 +dimension 100 +uid 127,0 +) +*71 (MRCItem +litem &55 +pos 3 +dimension 100 +uid 128,0 +) +*72 (MRCItem +litem &56 +pos 4 +dimension 50 +uid 129,0 +) +*73 (MRCItem +litem &57 +pos 5 +dimension 50 +uid 130,0 +) +*74 (MRCItem +litem &58 +pos 6 +dimension 80 +uid 131,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 119,0 +vaOverrides [ +] +) +] +) +uid 105,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@serializer_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@serializer_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@serializer_tester" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdSerializer_tester" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdSerializer_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "07:37:47" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lcd_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Lcd_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "lcdSerializer_tester" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@serializer_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdSerializer_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "07:37:47" +) +(vvPair +variable "unit" +value "lcdSerializer_tester" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 75,0 +optionalChildren [ +*75 (SymbolBody +uid 8,0 +optionalChildren [ +*76 (CptPort +uid 556,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 557,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58625,5250,59375,6000" +) +tg (CPTG +uid 558,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 559,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "58300,7000,59700,9400" +st "A0" +ju 2 +blo "59500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 560,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,59500,3200" +st "A0 : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "A0" +t "std_ulogic" +o 1 +suid 46,0 +) +) +) +*77 (CptPort +uid 561,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 562,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 563,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 564,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "26300,7000,27700,10800" +st "busy" +ju 2 +blo "27500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 565,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,59500,7200" +st "busy : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "busy" +t "std_ulogic" +o 6 +suid 47,0 +) +) +) +*78 (CptPort +uid 566,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 567,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 568,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 569,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "30300,7000,31700,10800" +st "clock" +ju 2 +blo "31500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 570,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7200,59500,8000" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 48,0 +) +) +) +*79 (CptPort +uid 571,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 572,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54625,5250,55375,6000" +) +tg (CPTG +uid 573,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 574,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "54300,7000,55700,11100" +st "CS_n" +ju 2 +blo "55500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 575,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,59500,4000" +st "CS_n : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "CS_n" +t "std_ulogic" +o 2 +suid 49,0 +) +) +) +*80 (CptPort +uid 576,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 577,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 578,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 579,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "22300,7000,23700,10700" +st "data" +ju 2 +blo "23500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 580,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8000,74500,8800" +st "data : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "data" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 50,0 +) +) +) +*81 (CptPort +uid 581,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 582,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32625,5250,33375,6000" +) +tg (CPTG +uid 583,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 584,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "32300,7000,33700,11100" +st "reset" +ju 2 +blo "33500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 585,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8800,59500,9600" +st "reset : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 51,0 +) +) +) +*82 (CptPort +uid 586,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 587,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56625,5250,57375,6000" +) +tg (CPTG +uid 588,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 589,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "56300,7000,57700,11700" +st "RST_n" +ju 2 +blo "57500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 590,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,59500,4800" +st "RST_n : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "RST_n" +t "std_ulogic" +o 3 +suid 52,0 +) +) +) +*83 (CptPort +uid 591,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 592,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60625,5250,61375,6000" +) +tg (CPTG +uid 593,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 594,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "60300,7000,61700,10200" +st "SCL" +ju 2 +blo "61500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 595,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,59500,5600" +st "SCL : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "SCL" +t "std_ulogic" +o 4 +suid 53,0 +) +) +) +*84 (CptPort +uid 596,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 597,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 598,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 599,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "24300,7000,25700,10900" +st "send" +ju 2 +blo "25500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 600,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9600,58500,10400" +st "send : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "send" +t "std_ulogic" +o 10 +suid 54,0 +) +) +) +*85 (CptPort +uid 601,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 602,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62625,5250,63375,6000" +) +tg (CPTG +uid 603,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 604,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "62300,7000,63700,9100" +st "SI" +ju 2 +blo "63500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 605,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,59500,6400" +st "SI : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "SI" +t "std_ulogic" +o 5 +suid 55,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,71000,14000" +) +oxt "15000,6000,67000,14000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "37250,8800,42250,10000" +st "Lcd_test" +blo "37250,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "37250,10000,48750,11200" +st "lcdSerializer_tester" +blo "37250,11000" +) +) +gi *86 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "20000,6000,38000,9200" +st "Generic Declarations + +clockFrequency real 100.0E6 +dataBitNb positive 9 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "100.0E6" +) +(GiElement +name "dataBitNb" +type "positive" +value "9" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *87 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*88 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*89 (MLText +uid 18,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "186,60,1203,750" +viewArea "-500,-500,71320,48820" +cachedDiagramExtent "0,0,74500,14000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Lcd_test" +entityName "lcdSerializer_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,31000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "20300,14800,25700,16000" +st "" +blo "20300,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "20300,16000,24200,17200" +st "" +blo "20300,17000" +) +) +gi *90 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2500,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_ulogic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,4300,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_ulogic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *91 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,10400,45200,11600" +st "User:" +blo "42000,11400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,11600,44000,11600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 625,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Lcd_test/sim/lcdController.do b/Libs/Lcd_test/sim/lcdController.do new file mode 100644 index 0000000..3d2fcaf --- /dev/null +++ b/Libs/Lcd_test/sim/lcdController.do @@ -0,0 +1,45 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate -group {Reset and clock} /lcdcontroller_tb/reset +add wave -noupdate -group {Reset and clock} /lcdcontroller_tb/clock +add wave -noupdate -expand -group {Character to send} -radix ascii -radixshowbase 0 /lcdcontroller_tb/ascii +add wave -noupdate -expand -group {Character to send} -radix hexadecimal -radixshowbase 0 /lcdcontroller_tb/ascii +add wave -noupdate -expand -group {Character to send} -radixshowbase 0 /lcdcontroller_tb/send +add wave -noupdate -expand -group {Character to send} -radixshowbase 0 /lcdcontroller_tb/busy +add wave -noupdate -group {Font encoder} -radixshowbase 0 /lcdcontroller_tb/I_dut/I_font/fontDisplayState +add wave -noupdate -group {Font encoder} -format Analog-Step -height 20 -max 6.0 -radix unsigned -radixshowbase 0 /lcdcontroller_tb/I_dut/I_font/columnCounter +add wave -noupdate -group {Font encoder} -height 20 -radix unsigned -radixshowbase 0 /lcdcontroller_tb/I_dut/I_font/columnCounter +add wave -noupdate -group {Font encoder} -radix unsigned -radixshowbase 0 /lcdcontroller_tb/I_dut/I_font/pixelOffset +add wave -noupdate -group {Font encoder} -radix hexadecimal -childformat {{/lcdcontroller_tb/I_dut/pixelData(47) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(46) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(45) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(44) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(43) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(42) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(41) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(40) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(39) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(38) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(37) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(36) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(35) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(34) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(33) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(32) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(31) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(30) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(29) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(28) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(27) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(26) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(25) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(24) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(23) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(22) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(21) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(20) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(19) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(18) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(17) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(16) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(15) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(14) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(13) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(12) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(11) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(10) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(9) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(8) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(7) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(6) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(5) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(4) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(3) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(2) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(1) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(0) -radix hexadecimal}} -radixshowbase 0 -subitemconfig {/lcdcontroller_tb/I_dut/pixelData(47) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(46) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(45) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(44) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(43) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(42) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(41) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(40) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(39) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(38) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(37) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(36) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(35) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(34) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(33) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(32) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(31) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(30) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(29) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(28) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(27) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(26) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(25) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(24) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(23) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(22) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(21) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(20) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(19) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(18) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(17) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(16) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(15) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(14) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(13) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(12) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(11) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(10) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(9) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(8) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(7) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(6) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(5) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(4) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(3) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(2) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(1) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(0) {-height 16 -radix hexadecimal -radixshowbase 0}} /lcdcontroller_tb/I_dut/pixelData +add wave -noupdate -group {Font encoder} -radix hexadecimal -radixshowbase 0 /lcdcontroller_tb/I_dut/lcdData1 +add wave -noupdate -group Initialization -radix unsigned -radixshowbase 0 /lcdcontroller_tb/I_dut/I_ser/resetCounter +add wave -noupdate -group Initialization -radix unsigned -radixshowbase 0 /lcdcontroller_tb/I_dut/I_init/initSequenceCounter +add wave -noupdate -group Initialization /lcdcontroller_tb/I_dut/I_init/initSequenceDone +add wave -noupdate -group Initialization /lcdcontroller_tb/I_dut/lcdBusy +add wave -noupdate -group Serializer -radix hexadecimal -radixshowbase 0 /lcdcontroller_tb/I_dut/lcdData +add wave -noupdate -group Serializer /lcdcontroller_tb/I_dut/lcdSend +add wave -noupdate -group Serializer /lcdcontroller_tb/I_dut/lcdBusy +add wave -noupdate -expand -group {LCD interface} /lcdcontroller_tb/RST_n +add wave -noupdate -expand -group {LCD interface} /lcdcontroller_tb/A0 +add wave -noupdate -expand -group {LCD interface} /lcdcontroller_tb/CS1_n +add wave -noupdate -expand -group {LCD interface} /lcdcontroller_tb/SCL +add wave -noupdate -expand -group {LCD interface} /lcdcontroller_tb/SI +add wave -noupdate -radix unsigned -radixshowbase 0 /lcdcontroller_tb/I_dut/I_init/clearSequenceCounter +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {0 ps} 0} +quietly wave cursor active 1 +configure wave -namecolwidth 375 +configure wave -valuecolwidth 58 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits us +update +WaveRestoreZoom {0 ps} {48300 ns} diff --git a/Libs/Lcd_test/sim/lcdDemo.do b/Libs/Lcd_test/sim/lcdDemo.do new file mode 100644 index 0000000..dfdfbbb --- /dev/null +++ b/Libs/Lcd_test/sim/lcdDemo.do @@ -0,0 +1,71 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /lcddemo_tb/reset +add wave -noupdate /lcddemo_tb/clock +add wave -noupdate -divider Controls +add wave -noupdate -radix hexadecimal /lcddemo_tb/leds +add wave -noupdate -radix hexadecimal /lcddemo_tb/buttons +add wave -noupdate -divider UART +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/dataout +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/datavalid +add wave -noupdate -divider Test +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/send_int +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/busy_int +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/ascii_int +add wave -noupdate -divider {ASCII data} +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/ascii +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/send +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/busy +add wave -noupdate -divider Encoder +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/i_lcd/i_font/asciisend +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/i_lcd/i_font/asciibusy +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/i_lcd/i_font/asciidata +add wave -noupdate -radix unsigned /lcddemo_tb/i_dut/i_lcd/i_font/asciibitnb +add wave -noupdate -radix unsigned /lcddemo_tb/i_dut/i_lcd/i_font/lcdcolumnnb +add wave -noupdate -radix unsigned /lcddemo_tb/i_dut/i_lcd/i_font/lcddatabitnb +add wave -noupdate -radix unsigned /lcddemo_tb/i_dut/i_lcd/i_font/lcdpagenb +add wave -noupdate -radix unsigned /lcddemo_tb/i_dut/i_lcd/i_font/fontrownb +add wave -noupdate -radix unsigned /lcddemo_tb/i_dut/i_lcd/i_font/fontcolumnnb +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/i_lcd/i_font/fontdisplaystate +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/i_lcd/i_font/asciicolumncounter +add wave -noupdate -radix unsigned /lcddemo_tb/i_dut/i_lcd/i_font/columncounter +add wave -noupdate -radix unsigned /lcddemo_tb/i_dut/i_lcd/i_font/pagecounter +add wave -noupdate -radix unsigned /lcddemo_tb/i_dut/i_lcd/i_font/pixeloffset +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/i_lcd/i_font/pixeldata +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/i_lcd/i_font/a0 +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/i_lcd/i_font/cleardisplay +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/i_lcd/i_font/lcdsend +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/i_lcd/i_font/lcdbusy +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/i_lcd/i_font/lcddata +add wave -noupdate -divider Initialization +add wave -noupdate /lcddemo_tb/i_dut/i_lcd/i_init/cleardisplay +add wave -noupdate /lcddemo_tb/i_dut/i_lcd/i_init/initsequencedone +add wave -noupdate -radix unsigned /lcddemo_tb/i_dut/i_lcd/i_init/initsequencecounter +add wave -noupdate /lcddemo_tb/i_dut/i_lcd/i_init/clearsequencedone +add wave -noupdate -radix unsigned /lcddemo_tb/i_dut/i_lcd/i_init/clearsequencecounter +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/i_lcd/lcdsend +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/i_lcd/lcdbusy +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/i_lcd/lcddata +add wave -noupdate -divider LCD +add wave -noupdate /lcddemo_tb/rst_n +add wave -noupdate /lcddemo_tb/a0 +add wave -noupdate /lcddemo_tb/cs1_n +add wave -noupdate /lcddemo_tb/scl +add wave -noupdate /lcddemo_tb/si +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {0 ps} 0} +configure wave -namecolwidth 350 +configure wave -valuecolwidth 58 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits us +update +WaveRestoreZoom {0 ps} {31265344 ps} diff --git a/Libs/Lcd_test/sim/lcdFont.txt b/Libs/Lcd_test/sim/lcdFont.txt new file mode 100644 index 0000000..2ab4422 --- /dev/null +++ b/Libs/Lcd_test/sim/lcdFont.txt @@ -0,0 +1,128 @@ +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +000000000000000000000000000000000000000000000000 +000000000000000001001111000000000000000000000000 +000000000000011100000000000001110000000000000000 +000101000111111100010100011111110001010000000000 +001001000010101001111111001010100001001000000000 +001000110001001100001000011001000110001000000000 +001101100100100101010101001000100101000000000000 +000000000000010100000011000000000000000000000000 +000000000001110000100010010000010000000000000000 +000000000100000100100010000111000000000000000000 +000101000000100000111110000010000001010000000000 +000010000000100000111110000010000000100000000000 +000000000101000000110000000000000000000000000000 +000010000000100000001000000010000000100000000000 +000000000110000001100000000000000000000000000000 +001000000001000000001000000001000000001000000000 +001111100101000101001001010001010011111000000000 +000000000100001001111111010000000000000000000000 +010000100110000101010001010010010100011000000000 +001000010100000101000101010010110011000100000000 +000110000001010000010010011111110001000000000000 +001001110100010101000101010001010011100100000000 +001111000100101001001001010010010011000000000000 +000000010111000100001001000001010000001100000000 +001101100100100101001001010010010011011000000000 +000001100100100101001001001010010001111000000000 +000000000011011000110110000000000000000000000000 +000000000101011000110110000000000000000000000000 +000010000001010000100010010000010000000000000000 +000101000001010000010100000101000001010000000000 +000000000100000100100010000101000000100000000000 +000000100000000101010001000010010000011000000000 +001100100100100101111001010000010011111000000000 +011111100001000100010001000100010111111000000000 +011111110100100101001001010010010011011000000000 +001111100100000101000001010000010010001000000000 +011111110100000101000001001000100001110000000000 +011111110100100101001001010010010100000100000000 +011111110000100100001001000010010000000100000000 +001111100100000101001001010010010111101000000000 +011111110000100000001000000010000111111100000000 +000000000100000101111111010000010000000000000000 +001000000100000001000001001111110000000100000000 +011111110000100000010100001000100100000100000000 +011111110100000001000000010000000100000000000000 +011111110000001000001100000000100111111100000000 +011111110000010000001000000100000111111100000000 +001111100100000101000001010000010011111000000000 +011111110000100100001001000010010000011000000000 +001111100100000101010001001000010101111000000000 +011111110000100100011001001010010100011000000000 +010001100100100101001001010010010011000100000000 +000000010000000101111111000000010000000100000000 +001111110100000001000000010000000011111100000000 +000111110010000001000000001000000001111100000000 +001111110100000000111000010000000011111100000000 +011000110001010000001000000101000110001100000000 +000001110000100001110000000010000000011100000000 +011000010101000101001001010001010100001100000000 +000000000111111101000001010000010000000000000000 +000101010001011001111100000101100001010100000000 +000000000100000101000001011111110000000000000000 +000001000000001000000001000000100000010000000000 +010000000100000001000000010000000100000000000000 +000000000000000100000010000001000000000000000000 +001000000101010001010100010101000111100000000000 +011111110100100001000100010001000011100000000000 +001110000100010001000100010001000010000000000000 +001110000100010001000100010010000111111100000000 +001110000101010001010100010101000001100000000000 +000010000111111000001001000000010000001000000000 +000011000101001001010010010100100011111000000000 +011111110000100000000100000001000111100000000000 +000000000100010001111101010000000000000000000000 +001000000100000001000100001111010000000000000000 +011111110001000000101000010001000000000000000000 +000000000100000101111111010000000000000000000000 +011111000000010000011000000001000111100000000000 +011111000000100000000100000001000111100000000000 +001110000100010001000100010001000011100000000000 +011111000001010000010100000101000000100000000000 +000010000001010000010100000110000111110000000000 +011111000000100000000100000001000000100000000000 +010010000101010001010100010101000010000000000000 +000001000011111101000100010000000010000000000000 +001111000100000001000000001000000111110000000000 +000111000010000001000000001000000001110000000000 +001111000100000000110000010000000011110000000000 +010001000010100000010000001010000100010000000000 +000011000101000001010000010100000011110000000000 +010001000110010001010100010011000100010000000000 +000000000000100000110110010000010000000000000000 +000000000000000001111111000000000000000000000000 +000000000100000100110110000010000000000000000000 +000010000000100000101010000111000000100000000000 +000010000001110000101010000010000000100000000000 diff --git a/Libs/Lcd_test/sim/lcdSerializer.do b/Libs/Lcd_test/sim/lcdSerializer.do new file mode 100644 index 0000000..91a8d4f --- /dev/null +++ b/Libs/Lcd_test/sim/lcdSerializer.do @@ -0,0 +1,43 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate -expand -group {Reset and clock} /lcddemo_tb/reset +add wave -noupdate -expand -group {Reset and clock} /lcddemo_tb/clock +add wave -noupdate -group {Buttons and LEDs} -radix hexadecimal -childformat {{/lcddemo_tb/buttons(1) -radix hexadecimal} {/lcddemo_tb/buttons(2) -radix hexadecimal} {/lcddemo_tb/buttons(3) -radix hexadecimal} {/lcddemo_tb/buttons(4) -radix hexadecimal}} -expand -subitemconfig {/lcddemo_tb/buttons(1) {-height 16 -radix hexadecimal} /lcddemo_tb/buttons(2) {-height 16 -radix hexadecimal} /lcddemo_tb/buttons(3) {-height 16 -radix hexadecimal} /lcddemo_tb/buttons(4) {-height 16 -radix hexadecimal}} /lcddemo_tb/buttons +add wave -noupdate -group {Buttons and LEDs} -radix hexadecimal -childformat {{/lcddemo_tb/leds(1) -radix hexadecimal} {/lcddemo_tb/leds(2) -radix hexadecimal} {/lcddemo_tb/leds(3) -radix hexadecimal} {/lcddemo_tb/leds(4) -radix hexadecimal} {/lcddemo_tb/leds(5) -radix hexadecimal} {/lcddemo_tb/leds(6) -radix hexadecimal} {/lcddemo_tb/leds(7) -radix hexadecimal} {/lcddemo_tb/leds(8) -radix hexadecimal}} -expand -subitemconfig {/lcddemo_tb/leds(1) {-height 16 -radix hexadecimal} /lcddemo_tb/leds(2) {-height 16 -radix hexadecimal} /lcddemo_tb/leds(3) {-height 16 -radix hexadecimal} /lcddemo_tb/leds(4) {-height 16 -radix hexadecimal} /lcddemo_tb/leds(5) {-height 16 -radix hexadecimal} /lcddemo_tb/leds(6) {-height 16 -radix hexadecimal} /lcddemo_tb/leds(7) {-height 16 -radix hexadecimal} /lcddemo_tb/leds(8) {-height 16 -radix hexadecimal}} /lcddemo_tb/leds +add wave -noupdate -expand -group {Hello message} /lcddemo_tb/I_dut/I_hello/buttonRising +add wave -noupdate -expand -group {Hello message} -radix unsigned -radixshowbase 0 /lcddemo_tb/I_dut/I_hello/sequenceCounter +add wave -noupdate -expand -group {Hello message} /lcddemo_tb/I_dut/I_hello/sequenceDone +add wave -noupdate -expand -group {Hello message} /lcddemo_tb/I_dut/helloSend +add wave -noupdate -expand -group {Hello message} -radix hexadecimal -radixshowbase 0 /lcddemo_tb/I_dut/helloData +add wave -noupdate -expand -group {Hello message} -radix ascii -radixshowbase 0 /lcddemo_tb/I_dut/helloData +add wave -noupdate -expand -group {Hello message} /lcddemo_tb/I_dut/helloBusy +add wave -noupdate -expand -group UART /lcddemo_tb/I_tester/rs232OutByte +add wave -noupdate -expand -group UART /lcddemo_tb/I_tester/rs232SendOutByte +add wave -noupdate -expand -group UART /lcddemo_tb/I_dut/RxD +add wave -noupdate -group {LCD controller interface} -radix hexadecimal -radixshowbase 0 /lcddemo_tb/I_dut/ascii +add wave -noupdate -group {LCD controller interface} -radix ascii -radixshowbase 0 /lcddemo_tb/I_dut/ascii +add wave -noupdate -group {LCD controller interface} -radix hexadecimal /lcddemo_tb/I_dut/send +add wave -noupdate -group {LCD controller interface} -radix hexadecimal /lcddemo_tb/I_dut/busy +add wave -noupdate -expand -group {LCD interface} /lcddemo_tb/RST_n +add wave -noupdate -expand -group {LCD interface} /lcddemo_tb/A0 +add wave -noupdate -expand -group {LCD interface} /lcddemo_tb/CS1_n +add wave -noupdate -expand -group {LCD interface} /lcddemo_tb/SCL +add wave -noupdate -expand -group {LCD interface} /lcddemo_tb/SI +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {0 ps} 0} +quietly wave cursor active 1 +configure wave -namecolwidth 350 +configure wave -valuecolwidth 58 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits us +update +WaveRestoreZoom {0 ps} {2527664378 ps} diff --git a/Libs/Lcd_test/st7565r.pdf b/Libs/Lcd_test/st7565r.pdf new file mode 100644 index 0000000..de467bb Binary files /dev/null and b/Libs/Lcd_test/st7565r.pdf differ diff --git a/Libs/Memory/hdl/bramDualportWritefirst_bhv.vhd b/Libs/Memory/hdl/bramDualportWritefirst_bhv.vhd new file mode 100644 index 0000000..09bf83a --- /dev/null +++ b/Libs/Memory/hdl/bramDualportWritefirst_bhv.vhd @@ -0,0 +1,54 @@ +USE std.textio.all; + +ARCHITECTURE bhv OF bramDualportWritefirst IS + -- Define ramContent type + type ramContentType is array(0 to (2**addressBitNb)-1) of bit_vector(dataBitNb-1 DOWNTO 0); + + -- Define function to create initvalue signal + impure function ReadRamContentFromFile(ramContentFilenAme : in string) return ramContentType is + FILE ramContentFile : text is in ramContentFilenAme; + variable ramContentFileLine : line; + variable ramContent : ramContentType; + begin + for i in ramContentType'range loop + readline(ramContentFile, ramContentFileLine); + read(ramContentFileLine, ramContent(i)); + end loop; + return ramContent; + end function; + + -- Declare ramContent signal + shared variable ramContent: ramContentType := ReadRamContentFromFile(initFile); + +BEGIN + -- Port A + process(clockA) + begin + if clockA'event and clockA='1' then + if enA = '1' then + if writeEnA = '1' then + dataOutA <= dataInA; + ramContent(to_integer(unsigned(addressA))) := to_bitvector(dataInA,'0'); + else + dataOutA <= to_stdulogicvector(ramContent(to_integer(unsigned(addressA)))); + end if; + end if; + end if; + end process; + + -- Port B + process(clockB) + begin + if clockB'event and clockB='1' then + if enB = '1' then +-- if writeEnB = '1' then +-- ramContent(to_integer(unsigned(addressB))) := to_bitvector(dataInB,'0'); +-- dataOutB <= dataInB; +-- else + dataOutB <= to_stdulogicvector(ramContent(to_integer(unsigned(addressB)))); +-- end if; + end if; + end if; + end process; + +END ARCHITECTURE bhv; diff --git a/Libs/Memory/hdl/bram_bhv.vhd b/Libs/Memory/hdl/bram_bhv.vhd new file mode 100644 index 0000000..f0f92f5 --- /dev/null +++ b/Libs/Memory/hdl/bram_bhv.vhd @@ -0,0 +1,39 @@ +USE std.textio.all; + +ARCHITECTURE bhv OF bram IS + -- Define ramContent type + type ramContentType is array(0 to (2**addressBitNb)-1) of bit_vector(dataBitNb-1 DOWNTO 0); + + -- Define function to create initvalue signal + impure function ReadRamContentFromFile(ramContentFilenAme : in string) return ramContentType is + FILE ramContentFile : text is in ramContentFilenAme; + variable ramContentFileLine : line; + variable ramContent : ramContentType; + begin + for i in ramContentType'range loop + readline(ramContentFile, ramContentFileLine); + read(ramContentFileLine, ramContent(i)); + end loop; + return ramContent; + end function; + + -- Declare ramContent signal + shared variable ramContent: ramContentType := ReadRamContentFromFile(initFile); + +BEGIN + -- Port A + process(clock) + begin + if clock'event and clock='1' then + if en = '1' then + if writeEn = '1' then + dataOut <= dataIn; + ramContent(to_integer(unsigned(addressIn))) := to_bitvector(dataIn,'0'); + else + dataOut <= to_stdulogicvector(ramContent(to_integer(unsigned(addressIn)))); + end if; + end if; + end if; + end process; + +END ARCHITECTURE bhv; diff --git a/Libs/Memory/hdl/bram_dualport_writefirst.vhd b/Libs/Memory/hdl/bram_dualport_writefirst.vhd new file mode 100644 index 0000000..8fd72bf --- /dev/null +++ b/Libs/Memory/hdl/bram_dualport_writefirst.vhd @@ -0,0 +1,55 @@ +USE std.textio.all; + +ARCHITECTURE bhv OF bramContentDualportWritefirst IS + -- Define ramContent type + type ramContentType is array(0 to (2**addr_bit_nb)-1) of bit_vector(data_bit_nb-1 DOWNTO 0); + + -- Define function to create initvalue signal + impure function ReadRamContentFromFile(ramContentFilenAme : in string) return ramContentType is + FILE ramContentFile : text is in ramContentFilenAme; + variable ramContentFileLine : line; + variable ramContent : ramContentType; + begin + for i in ramContentType'range loop + readline(ramContentFile, ramContentFileLine); + read(ramContentFileLine, ramContent(i)); + end loop; + return ramContent; + end function; + + -- Declare ramContent signal + shared variable ramContent: ramContentType := ReadRamContentFromFile(init_file); + +BEGIN + -- Port A + process(clockA) + begin + if clockA'event and clockA='1' then + if enA = '1' then + if writeEnA = '1' then + dataOutA <= dataInA; + ramContent(to_integer(unsigned(addressA))) := to_bitvector(dataInA,'0'); + else + dataOutA <= to_stdulogicvector(ramContent(to_integer(unsigned(addressA)))); + end if; + end if; + end if; + end process; + + -- Port B + process(clockB) + begin + if clockB'event and clockB='1' then + if enB = '1' then + if writeEnB = '1' then + ramContent(to_integer(unsigned(addressB))) := to_bitvector(dataInB,'0'); + dataOutB <= dataInB; + else + dataOutB <= to_stdulogicvector(ramContent(to_integer(unsigned(addressB)))); + end if; + end if; + end if; + end process; + +END ARCHITECTURE bhv; + diff --git a/Libs/Memory/hdl/fifoBridgeRxToTxBuswidthAdaptionRxbigger_behavioral.vhd b/Libs/Memory/hdl/fifoBridgeRxToTxBuswidthAdaptionRxbigger_behavioral.vhd new file mode 100644 index 0000000..9773ce4 --- /dev/null +++ b/Libs/Memory/hdl/fifoBridgeRxToTxBuswidthAdaptionRxbigger_behavioral.vhd @@ -0,0 +1,73 @@ +-- ------------------------------------------------------------------------------ +-- Copyright 2013 HES-SO Valais Wallis (www.hevs.ch) +-- ------------------------------------------------------------------------------ +-- FIFO bridge with bus width adaption +-- A shift register that connects two FIFOs with different bus width. +-- Many IP blocks nowadays have FIFO or FIFO-like interfaces and often they +-- have to be connected. This block can the be used for this task, even if +-- the bus size of the two FIFO interfaces is different. +-- The Rx side bus width has to be a multiple of the Tx side bus width. +-- +-- Created on 2013-10-18 +-- +-- Author: Oliver A. Gubler (oliver.gubler@hevs.ch) +-- +-- 2014-10-06: *modify introduction text +-- +add some comment +-- *change readRx to a pulse +-- *fix bug on shift of shiftreg_s +-- 2013-10-18: +intital release +-- ------------------------------------------------------------------------------ +-- + +library Common; + use Common.CommonLib.all; + +ARCHITECTURE behavioral OF fifoBridgeRxToTxBusWidthAdaptionRxBigger IS + + signal cnt_s: unsigned(requiredBitNb(dataBitNbRx)-1 downto 0); + signal shiftreg_s: std_ulogic_vector(dataBitNbRx-1 downto 0); + signal emptyRx_s: std_ulogic; -- internal empty signal + signal writeTx_s: std_ulogic; -- internal write signal + + constant ratio_rxtx_c: positive range 1 to dataBitNbRx/dataBitNbTx:= dataBitNbRx/dataBitNbTx; + +BEGIN + + rx0: process(clock, reset) + begin + if reset = '1' then + shiftreg_s <= (others => '0'); + emptyRx_s <= '1'; + cnt_s <= (others => '0'); + writeTx_s <= '0'; + dataTx <= (others => '0'); + readRx <= '0'; + elsif rising_edge(clock) then + writeTx_s <= '0'; + readRx <= '0'; + -- fetch data + if emptyRx_s = '1' and emptyRx = '0' then + emptyRx_s <= '0'; + shiftreg_s <= dataRx; + readRx <= '1'; + end if; + -- shift data and put out + -- after each write, wait one cylce to check if full gets high + if emptyRx_s = '0' and fullTx = '0' and writeTx_s = '0' then + shiftreg_s <= shiftreg_s(dataBitNbRx-dataBitNbTx-1 downto 0) & std_ulogic_vector(to_unsigned(0,dataBitNbTx)); + dataTx <= shiftreg_s(dataBitNbRx-1 downto dataBitNbRx-dataBitNbTx); + writeTx_s <= '1'; + cnt_s <= cnt_s +1; + if cnt_s >= ratio_rxtx_c-1 then + cnt_s <= (others => '0'); + emptyRx_s <= '1'; + end if; + end if; + end if; + end process; + + writeTx <= writeTx_s; + +END ARCHITECTURE behavioral; + diff --git a/Libs/Memory/hdl/fifoBridgeRxToTxBuswidthAdaptionTxbigger_behavioral.vhd b/Libs/Memory/hdl/fifoBridgeRxToTxBuswidthAdaptionTxbigger_behavioral.vhd new file mode 100644 index 0000000..c6217f8 --- /dev/null +++ b/Libs/Memory/hdl/fifoBridgeRxToTxBuswidthAdaptionTxbigger_behavioral.vhd @@ -0,0 +1,75 @@ +-- ------------------------------------------------------------------------------ +-- Copyright 2013 HES-SO Valais Wallis (www.hevs.ch) +-- ------------------------------------------------------------------------------ +-- FIFO bridge with bus width adaption +-- A shift register that connects two FIFOs with different bus width. +-- Many IP blocks nowadays have FIFO or FIFO-like interface. But the bus width +-- varies often. This block can the be used to adapt the bus width to your own +-- needs. +-- The Tx side bus width has to be a multiple of the Rx side bus width. +-- +-- Created on 2013-10-21 +-- +-- Version: 1.0 +-- Author: Oliver A. Gubler (oliver.gubler@hevs.ch) +-- ------------------------------------------------------------------------------ +-- + +library common; + use common.commonlib.all; + +ARCHITECTURE behavioral OF fifoBridgeRxToTxBusWidthAdaptionTxbigger IS + + constant ratio_txrx_c: positive range 1 to dataBitNbTx/dataBitNbRx:= dataBitNbTx/dataBitNbRx; + + signal cnt_s: unsigned(requiredBitNb(ratio_txrx_c-1)-1 downto 0); + signal shiftreg_s: std_ulogic_vector(dataBitNbTx-1 downto 0); + signal fullTx_s: std_ulogic; + signal emptyRx_s: std_ulogic; + +BEGIN + + rx0: process(clock, reset) + begin + if reset = '1' then + shiftreg_s <= (others => '0'); + readRx <= '1'; + emptyRx_s <= '1'; + cnt_s <= (others => '0'); + elsif rising_edge(clock) then + readRx <= NOT fullTx_s; + emptyRx_s <= '1'; + if emptyRx = '0' and fullTx_s = '0' then +-- shiftreg_s(((to_integer(cnt_s)+1)*dataBitNbRx)-1 downto to_integer(cnt_s)*dataBitNbRx) <= dataRx; + shiftreg_s <= shiftreg_s(dataBitNbTx-dataBitNbRx-1 downto 0) & dataRx; + readRx <= '1'; + cnt_s <= cnt_s +1; + if cnt_s >= ratio_txrx_c-1 then + cnt_s <= (others => '0'); + emptyRx_s <= '0'; + end if; + end if; + end if; + end process; + + tx0: process(clock, reset) + begin + if reset = '1' then + fullTx_s <= '1'; + writeTx <= '0'; + dataTx <= (others => '0'); + elsif rising_edge(clock) then + fullTx_s <= fullTx; + writeTx <= '0'; + -- no need to wait to check for full (in contrast to RxBigger) + -- because it will forcibly take several clocks to fill the shiftreg + if emptyRx_s = '0' and fullTx = '0' then + dataTx <= shiftreg_s; + writeTx <= '1'; + end if; + end if; + end process; + +END ARCHITECTURE behavioral; + + diff --git a/Libs/Memory/hdl/fifo_bram_rtl.vhd b/Libs/Memory/hdl/fifo_bram_rtl.vhd new file mode 100644 index 0000000..83336ca --- /dev/null +++ b/Libs/Memory/hdl/fifo_bram_rtl.vhd @@ -0,0 +1,165 @@ +library Common; + use Common.CommonLib.all; + +architecture RTL of FIFO_bram is + + subtype register_type is std_ulogic_vector(dataIn'high downto 0); + type memory_type is array (0 to depth-1) of register_type; + + signal writeCounter: unsigned(requiredBitNb(depth-1)-1 downto 0); + signal readCounter: unsigned(writeCounter'range); + signal memoryArray: memory_type; + + type fifoStateType is ( + sEmpty, sFull, + sRead, sWrite, sWriteFirst, + sReadWrite, sWait + ); + signal fifoState: fifoStateType; + signal emptyCondition, fullCondition, empty_int: std_ulogic; + +begin + ------------------------------------------------------------------------------ + -- read and write counters + updateWriteCounter: process(reset, clock) + begin + if reset = '1' then + writeCounter <= (others => '0'); + elsif rising_edge(clock) then + if (write = '1') and (fullCondition = '0') then + writeCounter <= writeCounter + 1; + end if; + end if; + end process updateWriteCounter; + + updateReadCounter: process(reset, clock) + begin + if reset = '1' then + readCounter <= (others => '0'); + elsif rising_edge(clock) then + if (read = '1') and (empty_int = '0') then + readCounter <= readCounter + 1; + end if; + end if; + end process updateReadCounter; + + ------------------------------------------------------------------------------ + -- memory access + writeMem: process(clock) + begin + if rising_edge(clock) then + if (write = '1') and (fullCondition = '0') then + memoryArray(to_integer(writeCounter)) <= dataIn; + end if; + end if; + end process writeMem; + + readMem: process(reset, clock) + begin + if reset = '1' then + dataOut <= (others => '0'); + elsif rising_edge(clock) then + if (read = '0') or (empty_int = '1') then + dataOut <= memoryArray(to_integer(readCounter)); + else + dataOut <= memoryArray(to_integer(readCounter+1)); + end if; + end if; + end process readMem; + + ------------------------------------------------------------------------------ + -- controls + emptyCondition <= '1' when + ( (fifoState = sRead) and (writeCounter = readCounter) ) or + (fifoState = sEmpty) + else '0'; + + fullCondition <= '1' when + ( (fifoState = sWrite) and (writeCounter = readCounter) ) or + (fifoState = sFull) + else '0'; + + + fifoControl: process(reset, clock) + begin + if reset = '1' then + fifoState <= sEmpty; + elsif rising_edge(clock) then + case fifoState is + when sEmpty => + if write = '1' then + fifoState <= sWriteFirst; + end if; + when sFull => + if (read = '1') then + fifoState <= sRead; + end if; + when sRead => + if (read = '1') and (write = '1') then + fifoState <= sReadWrite; + elsif write = '1' then + fifoState <= sWrite; + elsif emptyCondition = '1' then + fifoState <= sEmpty; + elsif read = '1' then + fifoState <= sRead; + else + fifoState <= sWait; + end if; + when sWriteFirst => + if (read = '1') and (write = '1') then + fifoState <= sReadWrite; + elsif write = '1' then + fifoState <= sWrite; + elsif read = '1' then + fifoState <= sRead; + else + fifoState <= sWait; + end if; + when sWrite => + if (read = '1') and (write = '1') then + fifoState <= sReadWrite; + elsif read = '1' then + fifoState <= sRead; + elsif fullCondition = '1' then + fifoState <= sFull; + elsif write = '1' then + fifoState <= sWrite; + else + fifoState <= sWait; + end if; + when sReadWrite => + if (read = '0') and (write = '0') then + fifoState <= sWait; + elsif (read = '1') and (write = '0') then + fifoState <= sRead; + elsif (write = '1') and (read = '0') then + fifoState <= sWrite; + end if; + when sWait => + if (read = '1') and (write = '1') then + fifoState <= sReadWrite; + elsif read = '1' then + fifoState <= sRead; + elsif write = '1' then + fifoState <= sWrite; + end if; + when others => null; + end case; + end if; + end process fifoControl; + + + full <= '1' when + (fifoState = sFull) or + (fullCondition = '1') + else '0'; + + empty_int <= '1' when + (fifoState = sEmpty) or + (fifoState = sWriteFirst) or + ( (emptyCondition = '1') and (fifoState = sRead) ) + else '0'; + empty <= empty_int; + +end RTL; diff --git a/Libs/Memory/hdl/fifo_minimal.vhd b/Libs/Memory/hdl/fifo_minimal.vhd new file mode 100644 index 0000000..915866c --- /dev/null +++ b/Libs/Memory/hdl/fifo_minimal.vhd @@ -0,0 +1,63 @@ +library Common; + use Common.CommonLib.all; + +architecture minimal of FIFO_bram is + + subtype register_type is std_ulogic_vector(dataIn'high downto 0); + type memory_type is array (0 to depth-1) of register_type; + + signal writeCounter: unsigned(requiredBitNb(depth)-1 downto 0); + signal readCounter: unsigned(writeCounter'range); + signal memoryArray : memory_type; + +begin + + updateWriteCounter: process(reset, clock) + begin + if reset = '1' then + writeCounter <= (others => '0'); + elsif rising_edge(clock) then + if write = '1' then + writeCounter <= writeCounter + 1; + end if; + end if; + end process updateWriteCounter; + + updateReadCounter: process(reset, clock) + begin + if reset = '1' then + readCounter <= (others => '0'); + elsif rising_edge(clock) then + if read = '1' then + readCounter <= readCounter + 1; + end if; + end if; + end process updateReadCounter; + + writeMem: process(clock) + begin + if rising_edge(clock) then + if write = '1' then + memoryArray(to_integer(writeCounter)) <= dataIn; + end if; + end if; + end process writeMem; + + dataOut <= memoryArray(to_integer(readCounter)); + + checkStatus: process(readCounter, writeCounter) + begin + if readCounter = writeCounter then + empty <= '1'; + else + empty <= '0'; + end if; + if writeCounter+1 = readCounter then + full <= '1'; + else + full <= '0'; + end if; + end process checkStatus; + +end minimal; + diff --git a/Libs/Memory/hdl/fifo_oneRegister_rtl.vhd b/Libs/Memory/hdl/fifo_oneRegister_rtl.vhd new file mode 100644 index 0000000..244749d --- /dev/null +++ b/Libs/Memory/hdl/fifo_oneRegister_rtl.vhd @@ -0,0 +1,37 @@ +architecture oneRegister of FIFO_oneRegister is + + signal dataRegister: std_ulogic_vector(dataIn'range); + +begin + + writeReg: process(reset, clock) + begin + if reset = '1' then + dataRegister <= (others => '0'); + elsif rising_edge(clock) then + if write = '1' then + dataRegister <= dataIn; + end if; + end if; + end process writeReg; + + dataOut <= dataRegister; + + manageFlags: process(reset, clock) + begin + if reset = '1' then + empty <= '1'; + full <= '0'; + elsif rising_edge(clock) then + if write = '1' then + empty <= '0'; + full <= '1'; + elsif read = '1' then + empty <= '1'; + full <= '0'; + end if; + end if; + end process manageFlags; + +end oneRegister; + diff --git a/Libs/Memory/hdl/fifo_pim.vhd b/Libs/Memory/hdl/fifo_pim.vhd new file mode 100644 index 0000000..81006e3 --- /dev/null +++ b/Libs/Memory/hdl/fifo_pim.vhd @@ -0,0 +1,118 @@ +library Common; + use Common.CommonLib.all; + +architecture pim of FIFO_bram is + + type mem_t is array (depth-1 downto 0) of std_ulogic_vector(dataIn'range); + subtype mem_range_r is natural range requiredBitNb(depth)-1 downto 0; + subtype ptr_range_r is natural range requiredBitNb(depth)+1-1 downto 0; + + signal mem : mem_t := (others => (others => '0')); + + signal full_int : std_logic; + signal empty_int : std_logic; + signal write_error : std_logic; + signal read_error : std_logic; + signal read_ptr : unsigned(ptr_range_r); + signal read_ptr_next : unsigned(ptr_range_r); + signal write_ptr : unsigned(ptr_range_r); + signal write_ptr_next : unsigned(ptr_range_r); + + signal used_int : unsigned(ptr_range_r); + +begin + ----------------------------------------------------------------------------- + -- Free / used + ----------------------------------------------------------------------------- + + fifo_count_proc: process(reset, clock) + begin + if reset = '1' then + used_int <= (others => '0'); + elsif rising_edge(clock) then + if write = '1' and full_int = '0' then + used_int <= used_int + 1; + end if; + if read = '1' and empty_int = '0' then + used_int <= used_int - 1; + end if; + + -- Simultaneous read/write -> no change + -- ignore full_int, since it is valid + if write = '1' and read = '1' and empty_int = '0' then + used_int <= used_int; + end if; + end if; + end process; + + + ----------------------------------------------------------------------------- + -- FIFO status + ----------------------------------------------------------------------------- + + full_int <= '1' when (write_ptr(write_ptr'left) /= read_ptr(read_ptr'left)) + and ((write_ptr(mem_range_r) = read_ptr(mem_range_r))) + else '0'; + empty_int <= '1' when (write_ptr = read_ptr) else '0'; + + full <= full_int; + empty <= empty_int; + + write_ptr_next <= write_ptr + 1; + read_ptr_next <= read_ptr + 1; + + + ----------------------------------------------------------------------------- + -- FIFO pointers + ----------------------------------------------------------------------------- + + fifo_ptr_proc: process(reset, clock) + begin + if reset = '1' then + write_ptr <= (others => '0'); + read_ptr <= (others => '0'); + write_error <= '0'; + read_error <= '0'; + elsif rising_edge(clock) then + write_error <= '0'; + read_error <= '0'; + if write = '1' then + if full_int = '0' or read = '1' then + write_ptr <= write_ptr_next; + else + write_error <= '1'; + end if; + end if; + if read = '1' then + if empty_int = '0' then + read_ptr <= read_ptr_next; + else + read_error <= '1'; + end if; + end if; + end if; + end process; + + + ----------------------------------------------------------------------------- + -- FIFO RAM + ----------------------------------------------------------------------------- + + fifo_out_proc : process(clock) + begin + if rising_edge(clock) then + dataOut <= mem(to_integer(read_ptr(mem_range_r))); + end if; + end process; + + fifo_in_proc : process(clock) + begin + if rising_edge(clock) then + if write = '1' and full_int = '0' then + mem(to_integer(write_ptr(mem_range_r))) <= dataIn; + end if; + end if; + end process; + +end pim; + diff --git a/Libs/Memory/hdl/fifo_rtl_minimal.vhd b/Libs/Memory/hdl/fifo_rtl_minimal.vhd new file mode 100644 index 0000000..6fcccc8 --- /dev/null +++ b/Libs/Memory/hdl/fifo_rtl_minimal.vhd @@ -0,0 +1,97 @@ +-- +-- VHDL Architecture Memory.fifo_minimal +-- +-- Created: +-- by - uadmin.UNKNOWN (WE3877) +-- at - 13:54:33 11.07.2012 +-- +-- using Mentor Graphics HDL Designer(TM) 2009.2 (Build 10) +-- +library Common; + use Common.CommonLib.all; + +architecture RTL_minimal of FIFO is + + subtype register_type is std_ulogic_vector(dataIn'high downto 0); + type memory_type is array (0 to depth-1) of register_type; + + signal writeCounter: unsigned(requiredBitNb(depth)-1 downto 0); + signal readCounter: unsigned(writeCounter'range); + signal memoryArray : memory_type; + +begin + + updateWriteCounter: process(reset, clock) + begin + if reset = '1' then + writeCounter <= (others => '0'); + elsif rising_edge(clock) then + if write = '1' then + writeCounter <= writeCounter + 1; + end if; + end if; + end process updateWriteCounter; + + updateReadCounter: process(reset, clock) + begin + if reset = '1' then + readCounter <= (others => '0'); + elsif rising_edge(clock) then + if read = '1' then + readCounter <= readCounter + 1; + end if; + end if; + end process updateReadCounter; + + writeMem: process(clock) + begin + if rising_edge(clock) then + if write = '1' then + memoryArray(to_integer(writeCounter)) <= dataIn; + end if; + end if; + end process writeMem; + + dataOut <= memoryArray(to_integer(readCounter)); + +-- checkStatus: process(reset, clock) +-- begin +-- if reset = '1' then +-- empty <= '1'; +-- full <= '0'; +-- elsif rising_edge(clock) then +-- if readCounter+1 = writeCounter then +-- if read = '1' then +-- empty <= '1'; +-- end if; +-- elsif writeCounter = readCounter then +-- if write = '1' then +-- empty <= '0'; +-- end if; +-- if read = '1' then +-- full <= '0'; +-- end if; +-- elsif writeCounter+1 = readCounter then +-- if write = '1' then +-- full <= '1'; +-- end if; +-- end if; +-- end if; +-- end process checkStatus; + + checkStatus: process(readCounter, writeCounter) + begin + if readCounter = writeCounter then + empty <= '1'; + else + empty <= '0'; + end if; + if writeCounter+1 = readCounter then + full <= '1'; + else + full <= '0'; + end if; + end process checkStatus; + +END ARCHITECTURE RTL_minimal; + diff --git a/Libs/Memory/hdl/fifobridgerxtotx_rtl.vhd b/Libs/Memory/hdl/fifobridgerxtotx_rtl.vhd new file mode 100644 index 0000000..5c20654 --- /dev/null +++ b/Libs/Memory/hdl/fifobridgerxtotx_rtl.vhd @@ -0,0 +1,84 @@ +-- ------------------------------------------------------------------------------ +-- Copyright 2012 HES-SO Valais Wallis (www.hevs.ch) +-- ------------------------------------------------------------------------------ +-- FIFO bridge with bus width adaptation +-- A register that connects two FIFOs. +-- Many IP blocks nowadays have FIFO or FIFO-like interfaces and often they +-- have to be connected. This block can the be used for this task. +-- +-- Created on 2012 +-- +-- Author: Oliver A. Gubler (oliver.gubler@hevs.ch) +-- +-- 2016-04-01: fix bug in FWFT read when full +-- 2016-03-22: +add FirstWordFallThrough (FWFT) generic +-- 2012: +intital release +-- ------------------------------------------------------------------------------ +-- + +ARCHITECTURE RTL OF fifoBridgeRxToTx IS + + signal read1: std_ulogic; + signal read2: std_ulogic; + signal read: std_ulogic; + signal storedData: std_ulogic_vector(data1'range); + signal write: std_ulogic; + +BEGIN + + readControl: process(reset, clock) + begin + if reset = '1' then + read1 <= '0'; + read2 <= '0'; + elsif rising_edge(clock) then + if (empty1 = '0') and (full2 = '0') then + read1 <= '1'; + else + read1 <= '0'; + end if; + read2 <= read1; + end if; + end process readControl; + + read <= not empty1 and not full2 when firstWordFallThrough + else not empty1 and read1; + rd1 <= read; + + readData: process(reset, clock) + begin + if reset = '1' then + storedData <= (others => '0'); + elsif rising_edge(clock) then + if firstWordFallThrough then + storedData <= data1; + else + if read = '1' then + storedData <= data1; + end if; + end if; + end if; + end process readData; + + data2 <= storedData; + + writeControl: process(reset, clock) + begin + if reset = '1' then + write <= '0'; + elsif rising_edge(clock) then + if firstWordFallThrough then + write <= not empty1 and not full2; + else + if read = '1' then + write <= '1'; + else + write <= '0'; + end if; + end if; + end if; + end process writeControl; + + wr2 <= write; + +end RTL; diff --git a/Libs/Memory/hdl/flashController_RTL.vhd b/Libs/Memory/hdl/flashController_RTL.vhd new file mode 100644 index 0000000..f8f65c4 --- /dev/null +++ b/Libs/Memory/hdl/flashController_RTL.vhd @@ -0,0 +1,140 @@ +ARCHITECTURE RTL OF flashController IS + + signal addressReg: unsigned(flashAddr'range); + signal dataOutReg: std_ulogic_vector(flashDataOut'range); + signal dataInReg: std_ulogic_vector(flashDataIn'range); + type sequenceStateType is ( + idle, + waitForBus1, waitForBus0, + startAccess, waitAcccessEnd + ); + signal sequenceState: sequenceStateType; + signal read: std_ulogic; + signal startCounter: std_ulogic; + signal sequenceCounter: unsigned(3 downto 0); + signal endOfCount: std_ulogic; + signal readDataValid: std_ulogic; + signal flashCE: std_ulogic; + +BEGIN + ------------------------------------------------------------------------------ + -- memory reset + memRst_n <= not '0'; + + ------------------------------------------------------------------------------ + -- address + storeAddress: process(reset, clock) + begin + if reset = '1' then + addressReg <= (others => '0'); + elsif rising_edge(clock) then + if (flashRd = '1') or (flashWr = '1') then + addressReg <= shift_left(flashAddr, 1); + end if; + end if; + end process storeAddress; + + memAddress <= std_ulogic_vector(addressReg); + + ------------------------------------------------------------------------------ + -- data out + storeDataOut: process(reset, clock) + begin + if reset = '1' then + dataOutReg <= (others => '0'); + elsif rising_edge(clock) then + if flashWr = '1' then + dataOutReg <= flashDataOut; + end if; + end if; + end process storeDataOut; + + memDataOut <= flashDataOut; + + ------------------------------------------------------------------------------ + -- data in + readDataValid <= '1' when (read = '1') and (endOfCount = '1') else '0'; + + storeDataIn: process(reset, clock) + begin + if reset = '1' then + dataInReg <= (others => '0'); + elsif rising_edge(clock) then + if readDataValid = '1' then + dataInReg <= memDataIn; + end if; + end if; + end process storeDataIn; + + flashDataIn <= dataInReg when readDataValid = '0' else memDataIn; + + ------------------------------------------------------------------------------ + -- read/write sequence + busAccessFsm: process(reset, clock) + begin + if reset = '1' then + read <= '0'; + sequenceState <= idle; + elsif rising_edge(clock) then + case sequenceState is + when idle => + if flashRd = '1' then + read <= '1'; + sequenceState <= waitForBus1; + elsif flashWr = '1' then + read <= '0'; + sequenceState <= waitForBus1; + end if; + when waitForBus1 => + if memBusEn_n = '1' then + sequenceState <= waitForBus0; + end if; + when waitForBus0 => + if memBusEn_n = '0' then + sequenceState <= startAccess; + end if; + when startAccess => + sequenceState <= waitAcccessEnd; + when waitAcccessEnd => + if endOfCount = '1' then + sequenceState <= idle; + end if; + end case; + end if; + end process busAccessFsm; + + + startCounter <= '1' when sequenceState = startAccess else '0'; + endOfCount <= '1' + when ( (sequenceCounter = rdWaitState) and (read = '1') ) or + ( (sequenceCounter = wrWaitState) and (read = '0') ) + else '0'; + + countSequence: process(reset, clock) + begin + if reset = '1' then + sequenceCounter <= (others => '0'); + elsif rising_edge(clock) then + if sequenceCounter = 0 then + if startCounter = '1' then + sequenceCounter <= sequenceCounter + 1; + end if; + else + if endOfCount = '1' then + sequenceCounter <= (others => '0'); + else + sequenceCounter <= sequenceCounter + 1; + end if; + end if; + end if; + end process countSequence; + + flashCE <= '0' when sequenceCounter = 0 else '1'; + flashCE_n <= not flashCE; + memWR_n <= not '1' when (read = '0') and (flashCE = '1') and (endOfCount = '0') + else not '0'; + memOE_n <= not '1' when (read = '1') and (flashCE = '1') else not '0'; + + flashDataValid <= endOfCount; + +END ARCHITECTURE RTL; diff --git a/Libs/Memory/hdl/sdramControllerBuildAddress_RTL.vhd b/Libs/Memory/hdl/sdramControllerBuildAddress_RTL.vhd new file mode 100644 index 0000000..c2ba793 --- /dev/null +++ b/Libs/Memory/hdl/sdramControllerBuildAddress_RTL.vhd @@ -0,0 +1,36 @@ +ARCHITECTURE RTL OF sdramControllerBuildAddress IS + + constant addressPrecharge: std_ulogic_vector(memAddress'range) + := (10=> '1', others => '-'); + constant addressModeRegU : unsigned(memAddress'range) + := resize("0" & "00" & "010" & "0" & "000", memAddress'length); + -- ll,10 = reserved, + -- 9 = '0' programmed burst length => burst length applicable for both rd and wr + -- 8,7 = Op mode = 00 => standard operation (all other states are reserved) + -- 6,5,4 = CAS latency = 010 => cas latency of 2 + -- 3 = Burst Type = '0' => Sequential (not interleaved) + -- 2,1,0 = Brust Length = 000 => brust length is 1 + constant addressModeReg : std_ulogic_vector(memAddress'range) + := std_ulogic_vector(addressModeRegU); + +BEGIN + + buildAddresses: process(ramAddr, addrSelPrecharge, addrSelModeReg, addrSelRow, addrSelCol) + begin + memBankAddress <= std_ulogic_vector(ramAddr(ramAddr'high downto ramAddr'high-memBankAddress'length+1)); + if addrSelPrecharge = '1' then + memAddress <= addressPrecharge; + elsif addrSelModeReg = '1' then + memAddress <= addressModeReg; + elsif addrSelRow = '1' then + memAddress <= std_ulogic_vector(ramAddr(rowAddressBitNb+colAddressBitNb-1 downto colAddressBitNb)); + elsif addrSelCol = '1' then + memAddress(memAddress'high downto colAddressBitNb) <= (others => '0'); + memAddress(10) <= '1'; + memAddress(colAddressBitNb-1 downto 0) <= std_ulogic_vector(ramAddr(colAddressBitNb-1 downto 0)); + else + memAddress <= (others => '-'); + end if; + end process buildAddresses; + +END ARCHITECTURE RTL; diff --git a/Libs/Memory/hdl/sdramControllerRefreshCounter_RTL.vhd b/Libs/Memory/hdl/sdramControllerRefreshCounter_RTL.vhd new file mode 100644 index 0000000..1392f04 --- /dev/null +++ b/Libs/Memory/hdl/sdramControllerRefreshCounter_RTL.vhd @@ -0,0 +1,48 @@ +ARCHITECTURE RTL OF sdramControllerRefreshCounter IS + + signal delayCounter: unsigned(delayCounterBitNb-1 downto 0); + signal endOfDelay: std_ulogic; + +BEGIN + + countDelay : process(reset, clock) + begin + if reset = '1' then + delayCounter <= (others => '0'); + elsif rising_edge(clock) then + if endOfDelay = '1' then + delayCounter <= to_unsigned(1, delayCounter'length); + else + delayCounter <= delayCounter + 1; + end if; + end if; + end process countDelay; + + findEndOfDelay: process(powerUpDone, delayCounter) + begin + endOfDelay <= '0'; + if powerUpDone = '0' then + if delayCounter+1 = 0 then + endOfDelay <= '1'; + end if; + else + if delayCounter+1 >= refreshPeriodNb then + endOfDelay <= '1'; + end if; + end if; + end process findEndOfDelay; + + endOfRefreshCount <= endOfDelay; + + signalRefresh: process(powerUpDone, delayCounter) + begin + selectRefresh <= '0'; + if (powerUpDone = '1') and (delayCounter < 1024) then + if (delayCounter <= 16) or (delayCounter(3 downto 0) = 0) then + selectRefresh <= '1'; + end if; + end if; + end process signalRefresh; + + +END ARCHITECTURE RTL; diff --git a/Libs/Memory/hdl/sdramControllerSR_RTL.vhd b/Libs/Memory/hdl/sdramControllerSR_RTL.vhd new file mode 100644 index 0000000..2ec5bc8 --- /dev/null +++ b/Libs/Memory/hdl/sdramControllerSR_RTL.vhd @@ -0,0 +1,18 @@ +ARCHITECTURE RTL OF sdramControllerSR IS +BEGIN + + setReset: process(reset, clock) + begin + if reset = '1' then + flag <= '0'; + elsif rising_edge(clock) then + if setFlag = '1' then + flag <= '1'; + elsif resetFlag = '1' then + flag <= '0'; + end if; + end if; + end process setReset; + +END ARCHITECTURE RTL; + diff --git a/Libs/Memory/hdl/sdramControllerSampleDataIn_RTL.vhd b/Libs/Memory/hdl/sdramControllerSampleDataIn_RTL.vhd new file mode 100644 index 0000000..29bf09f --- /dev/null +++ b/Libs/Memory/hdl/sdramControllerSampleDataIn_RTL.vhd @@ -0,0 +1,17 @@ +ARCHITECTURE RTL OF sdramControllerSampleDataIn IS +BEGIN + + sampleRamData: process(reset, clock) + begin + if reset = '1' then + ramDataIn <= (others => '0'); + elsif falling_edge(clock) then + if sampleData = '1' then + ramDataIn <= memDataIn; + end if; + end if; + end process sampleRamData; + + +END ARCHITECTURE RTL; + diff --git a/Libs/Memory/hdl/sdramControllerStoreData_RTL.vhd b/Libs/Memory/hdl/sdramControllerStoreData_RTL.vhd new file mode 100644 index 0000000..d73bad4 --- /dev/null +++ b/Libs/Memory/hdl/sdramControllerStoreData_RTL.vhd @@ -0,0 +1,14 @@ +ARCHITECTURE RTL OF sdramControllerStoreData IS +BEGIN + + storeData : process(reset, clock) + begin + if reset = '1' then + memDataOut <= (others => '0'); + elsif rising_edge(clock) then + memDataOut <= ramDataOut; + end if; + end process storeData; + +END ARCHITECTURE RTL; + diff --git a/Libs/Memory/hdl/sdramControllerTimingsShiftRegister_RTL.vhd b/Libs/Memory/hdl/sdramControllerTimingsShiftRegister_RTL.vhd new file mode 100644 index 0000000..352ef4a --- /dev/null +++ b/Libs/Memory/hdl/sdramControllerTimingsShiftRegister_RTL.vhd @@ -0,0 +1,24 @@ +ARCHITECTURE RTL OF sdramControllerTimingsShiftRegister IS + + --constant leadingZeroesNb: positive := 2; + --constant leadingZeroes: std_ulogic_vector(1 to leadingZeroesNb) := (others => '0'); + --signal shiftReg: std_ulogic_vector(1 to timerDone'high-leadingZeroesNb); + signal shiftReg: std_ulogic_vector(1 to timerDone'high); + +BEGIN + + shiftToken : process(reset, clock) + begin + if reset = '1' then + shiftReg <= (others => '0'); + elsif rising_edge(clock) then + shiftReg(1) <= timerStart; + shiftReg(2 to shiftReg'right) <= shiftReg(1 to shiftReg'right-1); + end if; + end process shiftToken; + + --timerDone <= leadingZeroes & shiftReg; + timerDone <= shiftReg; + +END ARCHITECTURE RTL; + diff --git a/Libs/Memory/hds/.hdlsidedata/_bramDualportWritefirst_bhv.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_bramDualportWritefirst_bhv.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_bramDualportWritefirst_bhv.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_bram_bhv.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_bram_bhv.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_bram_bhv.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_bram_dualport_writefirst.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_bram_dualport_writefirst.vhd._fpf new file mode 100644 index 0000000..e69b3ef --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_bram_dualport_writefirst.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_93 diff --git a/Libs/Memory/hds/.hdlsidedata/_bramdualportwritefirst_entity.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_bramdualportwritefirst_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_bramdualportwritefirst_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_bramdualportwritefirst_entity.vhg._fpf b/Libs/Memory/hds/.hdlsidedata/_bramdualportwritefirst_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_bramdualportwritefirst_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifoBridgeRxToTxBuswidthAdaptionRxbigger_behavioral.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifoBridgeRxToTxBuswidthAdaptionRxbigger_behavioral.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifoBridgeRxToTxBuswidthAdaptionRxbigger_behavioral.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifoBridgeRxToTxBuswidthAdaptionTxbigger_behavioral.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifoBridgeRxToTxBuswidthAdaptionTxbigger_behavioral.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifoBridgeRxToTxBuswidthAdaptionTxbigger_behavioral.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_bram_entity.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_bram_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_bram_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_bram_entity.vhg._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_bram_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_bram_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_bram_rtl.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_bram_rtl.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_bram_rtl.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_entity.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_entity.vhg._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_minimal.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_minimal.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_minimal.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_oneRegister_rtl.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_oneRegister_rtl.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_oneRegister_rtl.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_oneregister_entity.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_oneregister_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_oneregister_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_oneregister_entity.vhg._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_oneregister_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_oneregister_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_pim.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_pim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_pim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_rtl.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_rtl.vhd._fpf new file mode 100644 index 0000000..2acce3d --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_rtl.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_87 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_rtl_minimal.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_rtl_minimal.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_rtl_minimal.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_struct.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_struct.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_struct.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_struct.vhg._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifobridgerxtotx_rtl.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifobridgerxtotx_rtl.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifobridgerxtotx_rtl.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_flashController_RTL.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_flashController_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_flashController_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_sdramControllerBuildAddress_RTL.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_sdramControllerBuildAddress_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_sdramControllerBuildAddress_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_sdramControllerRefreshCounter_RTL.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_sdramControllerRefreshCounter_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_sdramControllerRefreshCounter_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_sdramControllerSR_RTL.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_sdramControllerSR_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_sdramControllerSR_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_sdramControllerSampleDataIn_RTL.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_sdramControllerSampleDataIn_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_sdramControllerSampleDataIn_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_sdramControllerStoreData_RTL.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_sdramControllerStoreData_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_sdramControllerStoreData_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_sdramControllerTimingsShiftRegister_RTL.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_sdramControllerTimingsShiftRegister_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_sdramControllerTimingsShiftRegister_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/@f@i@f@o/struct.bd b/Libs/Memory/hds/@f@i@f@o/struct.bd new file mode 100644 index 0000000..e7ca3f7 --- /dev/null +++ b/Libs/Memory/hds/@f@i@f@o/struct.bd @@ -0,0 +1,4408 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +frameInstances [ +(FrameInstance +name "g0" +style 1 +insts [ +(Instance +name "I1" +duLibraryName "Memory" +duName "FIFO_oneRegister" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 530,0 +) +] +) +(FrameInstance +name "g1" +style 1 +insts [ +(Instance +name "I0" +duLibraryName "Memory" +duName "FIFO_bram" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "depth" +type "positive" +value "depth" +) +] +mwi 0 +uid 237,0 +) +] +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.3 (Build 4)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds/@f@i@f@o/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds/@f@i@f@o/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds/@f@i@f@o" +) +(vvPair +variable "d_logical" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds/FIFO" +) +(vvPair +variable "date" +value "10/18/21" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "18" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "FIFO" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "10/18/21" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Hyperion" +) +(vvPair +variable "graphical_source_time" +value "10:55:04" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Hyperion" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/Memory/work" +) +(vvPair +variable "mm" +value "10" +) +(vvPair +variable "module_name" +value "FIFO" +) +(vvPair +variable "month" +value "Oct" +) +(vvPair +variable "month_long" +value "October" +) +(vvPair +variable "p" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds/@f@i@f@o/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds/FIFO/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\eda\\MentorGraphics\\ModelSim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "10:55:04" +) +(vvPair +variable "unit" +value "FIFO" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2019.3 (Build 4)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 148,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "35000,17625,36500,18375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "36500,18000,37000,18000" +pts [ +"36500,18000" +"37000,18000" +] +) +] +) +stc 0 +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "30500,17300,34000,18600" +st "clock" +ju 2 +blo "34000,18300" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +) +xt "-1000,8600,11600,9600" +st "clock : std_ulogic" +) +) +*3 (PortIoIn +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "35000,9625,36500,10375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "36500,10000,37000,10000" +pts [ +"36500,10000" +"37000,10000" +] +) +] +) +stc 0 +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "29800,9300,34000,10600" +st "dataIn" +ju 2 +blo "34000,10300" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 35,0 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 2,0 +) +declText (MLText +uid 36,0 +va (VaSet +) +xt "-1000,13400,29000,14400" +st "dataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*5 (PortIoOut +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "85500,9625,87000,10375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "85000,10000,85500,10000" +pts [ +"85000,10000" +"85500,10000" +] +) +] +) +stc 0 +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "88000,9300,92900,10600" +st "dataOut" +blo "88000,10300" +tm "WireNameMgr" +) +) +) +*6 (Net +uid 49,0 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 3,0 +) +declText (MLText +uid 50,0 +va (VaSet +) +xt "-1000,11000,29000,12000" +st "dataOut : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*7 (PortIoOut +uid 51,0 +shape (CompositeShape +uid 52,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 53,0 +sl 0 +ro 270 +xt "85500,11625,87000,12375" +) +(Line +uid 54,0 +sl 0 +ro 270 +xt "85000,12000,85500,12000" +pts [ +"85000,12000" +"85500,12000" +] +) +] +) +stc 0 +tg (WTG +uid 55,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 56,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "88000,11300,91500,12600" +st "empty" +blo "88000,12300" +tm "WireNameMgr" +) +) +) +*8 (Net +uid 63,0 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 4,0 +) +declText (MLText +uid 64,0 +va (VaSet +) +xt "-1000,14600,11600,15600" +st "empty : std_ulogic" +) +) +*9 (PortIoOut +uid 65,0 +shape (CompositeShape +uid 66,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 67,0 +sl 0 +ro 90 +xt "35000,11625,36500,12375" +) +(Line +uid 68,0 +sl 0 +ro 90 +xt "36500,12000,37000,12000" +pts [ +"37000,12000" +"36500,12000" +] +) +] +) +stc 0 +tg (WTG +uid 69,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 70,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "31200,11300,34000,12600" +st "full" +ju 2 +blo "34000,12300" +tm "WireNameMgr" +) +) +) +*10 (Net +uid 77,0 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 5,0 +) +declText (MLText +uid 78,0 +va (VaSet +) +xt "-1000,15800,11600,16800" +st "full : std_ulogic" +) +) +*11 (PortIoIn +uid 79,0 +shape (CompositeShape +uid 80,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 81,0 +sl 0 +ro 90 +xt "85500,13625,87000,14375" +) +(Line +uid 82,0 +sl 0 +ro 90 +xt "85000,14000,85500,14000" +pts [ +"85500,14000" +"85000,14000" +] +) +] +) +stc 0 +tg (WTG +uid 83,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 84,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "88000,13300,90800,14600" +st "read" +blo "88000,14300" +tm "WireNameMgr" +) +) +) +*12 (Net +uid 91,0 +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 6,0 +) +declText (MLText +uid 92,0 +va (VaSet +) +xt "-1000,12200,11600,13200" +st "read : std_ulogic" +) +) +*13 (PortIoIn +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 95,0 +sl 0 +ro 270 +xt "35000,19625,36500,20375" +) +(Line +uid 96,0 +sl 0 +ro 270 +xt "36500,20000,37000,20000" +pts [ +"36500,20000" +"37000,20000" +] +) +] +) +stc 0 +tg (WTG +uid 97,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 98,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "30500,19300,34000,20600" +st "reset" +ju 2 +blo "34000,20300" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 105,0 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 7,0 +) +declText (MLText +uid 106,0 +va (VaSet +) +xt "-1000,9800,11600,10800" +st "reset : std_ulogic" +) +) +*15 (PortIoIn +uid 107,0 +shape (CompositeShape +uid 108,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 109,0 +sl 0 +ro 270 +xt "35000,13625,36500,14375" +) +(Line +uid 110,0 +sl 0 +ro 270 +xt "36500,14000,37000,14000" +pts [ +"36500,14000" +"37000,14000" +] +) +] +) +stc 0 +tg (WTG +uid 111,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 112,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "30500,13300,34000,14600" +st "write" +ju 2 +blo "34000,14300" +tm "WireNameMgr" +) +) +) +*16 (Net +uid 119,0 +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 8,0 +) +declText (MLText +uid 120,0 +va (VaSet +) +xt "-1000,7400,11600,8400" +st "write : std_ulogic" +) +) +*17 (SaComponent +uid 237,0 +optionalChildren [ +*18 (CptPort +uid 205,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 206,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,13625,53000,14375" +) +tg (CPTG +uid 207,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 208,0 +va (VaSet +font "courier,8,0" +) +xt "54000,13500,56500,14400" +st "write" +blo "54000,14200" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*19 (CptPort +uid 209,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 210,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,17625,53000,18375" +) +tg (CPTG +uid 211,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 212,0 +va (VaSet +font "courier,8,0" +) +xt "54000,17500,56500,18400" +st "clock" +blo "54000,18200" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*20 (CptPort +uid 213,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 214,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,19625,53000,20375" +) +tg (CPTG +uid 215,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 216,0 +va (VaSet +font "courier,8,0" +) +xt "54000,19500,56500,20400" +st "reset" +blo "54000,20200" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*21 (CptPort +uid 217,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 218,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69000,9625,69750,10375" +) +tg (CPTG +uid 219,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 220,0 +va (VaSet +font "courier,8,0" +) +xt "64501,9500,68001,10400" +st "dataOut" +ju 2 +blo "68001,10200" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*22 (CptPort +uid 221,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 222,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69000,13625,69750,14375" +) +tg (CPTG +uid 223,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 224,0 +va (VaSet +font "courier,8,0" +) +xt "66000,13500,68000,14400" +st "read" +ju 2 +blo "68000,14200" +) +) +thePort (LogicalPort +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*23 (CptPort +uid 225,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 226,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,9625,53000,10375" +) +tg (CPTG +uid 227,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 228,0 +va (VaSet +font "courier,8,0" +) +xt "53999,9500,56999,10400" +st "dataIn" +blo "53999,10200" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*24 (CptPort +uid 229,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 230,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69000,11625,69750,12375" +) +tg (CPTG +uid 231,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 232,0 +va (VaSet +font "courier,8,0" +) +xt "65500,11500,68000,12400" +st "empty" +ju 2 +blo "68000,12200" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 7,0 +) +) +) +*25 (CptPort +uid 233,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 234,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,11625,53000,12375" +) +tg (CPTG +uid 235,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 236,0 +va (VaSet +font "courier,8,0" +) +xt "54000,11500,56000,12400" +st "full" +blo "54000,12200" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 238,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,6000,69000,22000" +) +oxt "34000,12000,50000,28000" +ttg (MlTextGroup +uid 239,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*26 (Text +uid 240,0 +va (VaSet +) +xt "53600,21800,57200,22800" +st "Memory" +blo "53600,22600" +tm "BdLibraryNameMgr" +) +*27 (Text +uid 241,0 +va (VaSet +) +xt "53600,23000,59000,24000" +st "FIFO_bram" +blo "53600,23800" +tm "CptNameMgr" +) +*28 (Text +uid 242,0 +va (VaSet +) +xt "53600,24200,54800,25200" +st "I0" +blo "53600,25000" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 243,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 244,0 +text (MLText +uid 245,0 +va (VaSet +) +xt "53000,25600,77000,27600" +st "dataBitNb = dataBitNb ( positive ) +depth = depth ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "depth" +type "positive" +value "depth" +) +] +) +viewicon (ZoomableIcon +uid 246,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "53250,20250,54750,21750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +archFileType "UNKNOWN" +) +*29 (Grouping +uid 289,0 +optionalChildren [ +*30 (CommentText +uid 291,0 +shape (Rectangle +uid 292,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,65000,74000,66000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 293,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,65000,74000,66000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*31 (CommentText +uid 294,0 +shape (Rectangle +uid 295,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "74000,61000,78000,62000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 296,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "74200,61000,77800,62000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*32 (CommentText +uid 297,0 +shape (Rectangle +uid 298,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,63000,74000,64000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 299,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,63000,73400,64000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*33 (CommentText +uid 300,0 +shape (Rectangle +uid 301,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,63000,57000,64000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 302,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,63000,56800,64000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*34 (CommentText +uid 303,0 +shape (Rectangle +uid 304,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "74000,62000,94000,66000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 305,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "74200,62200,87400,63200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*35 (CommentText +uid 306,0 +shape (Rectangle +uid 307,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,61000,94000,62000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 308,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "78200,61000,93800,62000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*36 (CommentText +uid 309,0 +shape (Rectangle +uid 310,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,61000,74000,63000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 311,0 +va (VaSet +fg "32768,0,0" +) +xt "59000,61500,68000,62500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*37 (CommentText +uid 312,0 +shape (Rectangle +uid 313,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,64000,57000,65000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 314,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,64000,56200,65000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*38 (CommentText +uid 315,0 +shape (Rectangle +uid 316,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,65000,57000,66000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 317,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,65000,56800,66000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*39 (CommentText +uid 318,0 +shape (Rectangle +uid 319,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,64000,74000,65000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 320,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,64000,68600,65000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 290,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "53000,61000,94000,66000" +) +oxt "14000,66000,55000,71000" +) +*40 (Frame +uid 427,0 +shape (RectFrame +uid 428,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "49000,34000,73000,58000" +) +title (TextAssociate +uid 429,0 +ps "TopLeftStrategy" +text (MLText +uid 430,0 +va (VaSet +font "courier,8,0" +) +xt "48650,32500,62150,33400" +st "g0: IF depth <= 1 GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +uid 431,0 +ps "TopLeftStrategy" +shape (Rectangle +uid 432,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "49300,34300,50700,35700" +) +num (Text +uid 433,0 +va (VaSet +font "courier,8,0" +) +xt "49500,34500,50000,35400" +st "1" +blo "49500,35200" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +uid 434,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*41 (Text +uid 435,0 +va (VaSet +font "courier,8,1" +) +xt "65000,58000,74500,58900" +st "Frame Declarations" +blo "65000,58700" +) +*42 (MLText +uid 436,0 +va (VaSet +font "courier,8,0" +) +xt "65000,59000,65000,59000" +tm "BdFrameDeclTextMgr" +) +] +) +style 1 +) +*43 (Frame +uid 437,0 +shape (RectFrame +uid 438,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "49000,4000,73000,28000" +) +title (TextAssociate +uid 439,0 +ps "TopLeftStrategy" +text (MLText +uid 440,0 +va (VaSet +font "courier,8,0" +) +xt "49150,2500,62150,3400" +st "g1: IF depth > 1 GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +uid 441,0 +ps "TopLeftStrategy" +shape (Rectangle +uid 442,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "49300,4300,50700,5700" +) +num (Text +uid 443,0 +va (VaSet +font "courier,8,0" +) +xt "49500,4500,50000,5400" +st "2" +blo "49500,5200" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +uid 444,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*44 (Text +uid 445,0 +va (VaSet +font "courier,8,1" +) +xt "65000,28000,74500,28900" +st "Frame Declarations" +blo "65000,28700" +) +*45 (MLText +uid 446,0 +va (VaSet +font "courier,8,0" +) +xt "65000,29000,65000,29000" +tm "BdFrameDeclTextMgr" +) +] +) +style 1 +) +*46 (SaComponent +uid 530,0 +optionalChildren [ +*47 (CptPort +uid 498,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 499,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,43625,53000,44375" +) +tg (CPTG +uid 500,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 501,0 +va (VaSet +) +xt "54000,43400,57000,44400" +st "write" +blo "54000,44200" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*48 (CptPort +uid 502,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 503,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,47625,53000,48375" +) +tg (CPTG +uid 504,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 505,0 +va (VaSet +) +xt "54000,47400,57000,48400" +st "clock" +blo "54000,48200" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*49 (CptPort +uid 506,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 507,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,49625,53000,50375" +) +tg (CPTG +uid 508,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 509,0 +va (VaSet +) +xt "54000,49400,57000,50400" +st "reset" +blo "54000,50200" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*50 (CptPort +uid 510,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 511,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69000,39625,69750,40375" +) +tg (CPTG +uid 512,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 513,0 +va (VaSet +) +xt "63801,39400,68001,40400" +st "dataOut" +ju 2 +blo "68001,40200" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*51 (CptPort +uid 514,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 515,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69000,43625,69750,44375" +) +tg (CPTG +uid 516,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 517,0 +va (VaSet +) +xt "65600,43400,68000,44400" +st "read" +ju 2 +blo "68000,44200" +) +) +thePort (LogicalPort +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*52 (CptPort +uid 518,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 519,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,39625,53000,40375" +) +tg (CPTG +uid 520,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 521,0 +va (VaSet +) +xt "53999,39400,57599,40400" +st "dataIn" +blo "53999,40200" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*53 (CptPort +uid 522,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 523,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69000,41625,69750,42375" +) +tg (CPTG +uid 524,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 525,0 +va (VaSet +) +xt "65000,41400,68000,42400" +st "empty" +ju 2 +blo "68000,42200" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 7,0 +) +) +) +*54 (CptPort +uid 526,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 527,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,41625,53000,42375" +) +tg (CPTG +uid 528,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 529,0 +va (VaSet +) +xt "54000,41400,56400,42400" +st "full" +blo "54000,42200" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 531,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "53000,36000,69000,52000" +) +oxt "34000,12000,50000,28000" +ttg (MlTextGroup +uid 532,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*55 (Text +uid 533,0 +va (VaSet +) +xt "53600,51800,57200,52800" +st "Memory" +blo "53600,52600" +tm "BdLibraryNameMgr" +) +*56 (Text +uid 534,0 +va (VaSet +) +xt "53600,52800,63800,53800" +st "FIFO_oneRegister" +blo "53600,53600" +tm "CptNameMgr" +) +*57 (Text +uid 535,0 +va (VaSet +) +xt "53600,53800,54800,54800" +st "I1" +blo "53600,54600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 536,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 537,0 +text (MLText +uid 538,0 +va (VaSet +font "courier,8,0" +) +xt "53000,56000,73000,56900" +st "dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +viewicon (ZoomableIcon +uid 539,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "53250,50250,54750,51750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +archFileType "UNKNOWN" +) +*58 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "37000,18000,52250,18000" +pts [ +"37000,18000" +"52250,18000" +] +) +start &1 +end &19 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +font "courier,12,0" +) +xt "37000,16600,40500,17900" +st "clock" +blo "37000,17600" +tm "WireNameMgr" +) +) +on &2 +) +*59 (Wire +uid 29,0 +optionalChildren [ +*60 (BdJunction +uid 449,0 +ps "OnConnectorStrategy" +shape (Circle +uid 450,0 +va (VaSet +vasetType 1 +) +xt "46600,9600,47400,10400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "37000,10000,52250,10000" +pts [ +"37000,10000" +"52250,10000" +] +) +start &3 +end &23 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +font "courier,12,0" +) +xt "37000,8600,41200,9900" +st "dataIn" +blo "37000,9600" +tm "WireNameMgr" +) +) +on &4 +) +*61 (Wire +uid 43,0 +optionalChildren [ +*62 (BdJunction +uid 447,0 +ps "OnConnectorStrategy" +shape (Circle +uid 448,0 +va (VaSet +vasetType 1 +) +xt "74600,9600,75400,10400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "69750,10000,85000,10000" +pts [ +"85000,10000" +"69750,10000" +] +) +start &5 +end &21 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +font "courier,12,0" +) +xt "80000,8600,84900,9900" +st "dataOut" +blo "80000,9600" +tm "WireNameMgr" +) +) +on &6 +) +*63 (Wire +uid 57,0 +optionalChildren [ +*64 (BdJunction +uid 461,0 +ps "OnConnectorStrategy" +shape (Circle +uid 462,0 +va (VaSet +vasetType 1 +) +xt "76600,11600,77400,12400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 58,0 +va (VaSet +vasetType 3 +) +xt "69750,12000,85000,12000" +pts [ +"85000,12000" +"69750,12000" +] +) +start &7 +end &24 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 61,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +font "courier,12,0" +) +xt "81000,10600,84500,11900" +st "empty" +blo "81000,11600" +tm "WireNameMgr" +) +) +on &8 +) +*65 (Wire +uid 71,0 +optionalChildren [ +*66 (BdJunction +uid 463,0 +ps "OnConnectorStrategy" +shape (Circle +uid 464,0 +va (VaSet +vasetType 1 +) +xt "44600,11600,45400,12400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +) +xt "37000,12000,52250,12000" +pts [ +"37000,12000" +"52250,12000" +] +) +start &9 +end &25 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +font "courier,12,0" +) +xt "37000,10600,39800,11900" +st "full" +blo "37000,11600" +tm "WireNameMgr" +) +) +on &10 +) +*67 (Wire +uid 85,0 +optionalChildren [ +*68 (BdJunction +uid 459,0 +ps "OnConnectorStrategy" +shape (Circle +uid 460,0 +va (VaSet +vasetType 1 +) +xt "78600,13600,79400,14400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 86,0 +va (VaSet +vasetType 3 +) +xt "69750,14000,85000,14000" +pts [ +"85000,14000" +"69750,14000" +] +) +start &11 +end &22 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 89,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 90,0 +va (VaSet +font "courier,12,0" +) +xt "82000,12600,84800,13900" +st "read" +blo "82000,13600" +tm "WireNameMgr" +) +) +on &12 +) +*69 (Wire +uid 99,0 +shape (OrthoPolyLine +uid 100,0 +va (VaSet +vasetType 3 +) +xt "37000,20000,52250,20000" +pts [ +"37000,20000" +"52250,20000" +] +) +start &13 +end &20 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 103,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 104,0 +va (VaSet +font "courier,12,0" +) +xt "37000,18600,40500,19900" +st "reset" +blo "37000,19600" +tm "WireNameMgr" +) +) +on &14 +) +*70 (Wire +uid 113,0 +optionalChildren [ +*71 (BdJunction +uid 465,0 +ps "OnConnectorStrategy" +shape (Circle +uid 466,0 +va (VaSet +vasetType 1 +) +xt "42600,13600,43400,14400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 114,0 +va (VaSet +vasetType 3 +) +xt "37000,14000,52250,14000" +pts [ +"37000,14000" +"52250,14000" +] +) +start &15 +end &18 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 117,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 118,0 +va (VaSet +font "courier,12,0" +) +xt "37000,12600,40500,13900" +st "write" +blo "37000,13600" +tm "WireNameMgr" +) +) +on &16 +) +*72 (Wire +uid 363,0 +shape (OrthoPolyLine +uid 364,0 +va (VaSet +vasetType 3 +) +xt "45000,50000,52250,50000" +pts [ +"45000,50000" +"52250,50000" +] +) +end &49 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 369,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 370,0 +va (VaSet +font "courier,12,0" +) +xt "45000,48600,48500,49900" +st "reset" +blo "45000,49600" +tm "WireNameMgr" +) +) +on &14 +) +*73 (Wire +uid 371,0 +shape (OrthoPolyLine +uid 372,0 +va (VaSet +vasetType 3 +) +xt "43000,14000,52250,44000" +pts [ +"43000,14000" +"43000,44000" +"52250,44000" +] +) +start &71 +end &47 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 377,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 378,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "48000,42600,51500,43900" +st "write" +blo "48000,43600" +tm "WireNameMgr" +) +) +on &16 +) +*74 (Wire +uid 379,0 +shape (OrthoPolyLine +uid 380,0 +va (VaSet +vasetType 3 +) +xt "45000,48000,52250,48000" +pts [ +"45000,48000" +"52250,48000" +] +) +end &48 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 385,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 386,0 +va (VaSet +font "courier,12,0" +) +xt "45000,46600,48500,47900" +st "clock" +blo "45000,47600" +tm "WireNameMgr" +) +) +on &2 +) +*75 (Wire +uid 387,0 +shape (OrthoPolyLine +uid 388,0 +va (VaSet +vasetType 3 +) +xt "69750,12000,77000,42000" +pts [ +"77000,12000" +"77000,42000" +"69750,42000" +] +) +start &64 +end &53 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 393,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 394,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "70000,40600,73500,41900" +st "empty" +blo "70000,41600" +tm "WireNameMgr" +) +) +on &8 +) +*76 (Wire +uid 395,0 +shape (OrthoPolyLine +uid 396,0 +va (VaSet +vasetType 3 +) +xt "45000,12000,52250,42000" +pts [ +"45000,12000" +"45000,42000" +"52250,42000" +] +) +start &66 +end &54 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 401,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 402,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "49000,40600,51800,41900" +st "full" +blo "49000,41600" +tm "WireNameMgr" +) +) +on &10 +) +*77 (Wire +uid 403,0 +shape (OrthoPolyLine +uid 404,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "47000,10000,52250,40000" +pts [ +"47000,10000" +"47000,40000" +"52250,40000" +] +) +start &60 +end &52 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 409,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 410,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "48000,38600,52200,39900" +st "dataIn" +blo "48000,39600" +tm "WireNameMgr" +) +) +on &4 +) +*78 (Wire +uid 411,0 +shape (OrthoPolyLine +uid 412,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "69750,10000,75000,40000" +pts [ +"75000,10000" +"75000,40000" +"69750,40000" +] +) +start &62 +end &50 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 417,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 418,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "70000,38600,74900,39900" +st "dataOut" +blo "70000,39600" +tm "WireNameMgr" +) +) +on &6 +) +*79 (Wire +uid 419,0 +shape (OrthoPolyLine +uid 420,0 +va (VaSet +vasetType 3 +) +xt "69750,14000,79000,44000" +pts [ +"79000,14000" +"79000,44000" +"69750,44000" +] +) +start &68 +end &51 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 425,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 426,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "70000,42600,72800,43900" +st "read" +blo "70000,43600" +tm "WireNameMgr" +) +) +on &12 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *80 (PackageList +uid 137,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 138,0 +va (VaSet +font "courier,8,1" +) +xt "-3000,0,3500,900" +st "Package List" +blo "-3000,700" +) +*82 (MLText +uid 139,0 +va (VaSet +font "courier,8,0" +) +xt "-3000,1000,12500,3700" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 140,0 +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +uid 141,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,30000,900" +st "Compiler Directives" +blo "20000,700" +) +*84 (Text +uid 142,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,1000,31500,1900" +st "Pre-module directives:" +blo "20000,1700" +) +*85 (MLText +uid 143,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "20000,2000,30100,3800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*86 (Text +uid 144,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,4000,32000,4900" +st "Post-module directives:" +blo "20000,4700" +) +*87 (MLText +uid 145,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*88 (Text +uid 146,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,5000,31500,5900" +st "End-module directives:" +blo "20000,5700" +) +*89 (MLText +uid 147,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "115,553,1175,1415" +viewArea "-4400,-1400,99600,86850" +cachedDiagramExtent "-3000,0,94000,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 595 +paperHeight 842 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210mm x 297mm)" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-3000,0" +lastUid 620,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,5600,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*90 (Text +va (VaSet +) +xt "1350,3200,6650,4400" +st "" +blo "1350,4200" +tm "BdLibraryNameMgr" +) +*91 (Text +va (VaSet +) +xt "1350,4400,6150,5600" +st "" +blo "1350,5400" +tm "BlkNameMgr" +) +*92 (Text +va (VaSet +) +xt "1350,5600,3250,6800" +st "I0" +blo "1350,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1350,13200,1350,13200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-950,0,8950,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +va (VaSet +) +xt "-450,3200,3750,4400" +st "Library" +blo "-450,4200" +) +*94 (Text +va (VaSet +) +xt "-450,4400,8450,5600" +st "MWComponent" +blo "-450,5400" +) +*95 (Text +va (VaSet +) +xt "-450,5600,1450,6800" +st "I0" +blo "-450,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-7450,1200,-7450,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*96 (Text +va (VaSet +) +xt "-200,3200,4000,4400" +st "Library" +blo "-200,4200" +tm "BdLibraryNameMgr" +) +*97 (Text +va (VaSet +) +xt "-200,4400,8200,5600" +st "SaComponent" +blo "-200,5400" +tm "CptNameMgr" +) +*98 (Text +va (VaSet +) +xt "-200,5600,1700,6800" +st "I0" +blo "-200,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-7200,1200,-7200,1200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-1200,0,9200,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +va (VaSet +) +xt "-700,3200,3500,4400" +st "Library" +blo "-700,4200" +) +*100 (Text +va (VaSet +) +xt "-700,4400,8700,5600" +st "VhdlComponent" +blo "-700,5400" +) +*101 (Text +va (VaSet +) +xt "-700,5600,1200,6800" +st "I0" +blo "-700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-7700,1200,-7700,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-1850,0,9850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*102 (Text +va (VaSet +) +xt "-1350,3200,2850,4400" +st "Library" +blo "-1350,4200" +) +*103 (Text +va (VaSet +) +xt "-1350,4400,9350,5600" +st "VerilogComponent" +blo "-1350,5400" +) +*104 (Text +va (VaSet +) +xt "-1350,5600,550,6800" +st "I0" +blo "-1350,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-8350,1200,-8350,1200" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*105 (Text +va (VaSet +font "courier,8,0" +) +xt "3000,4000,5000,5000" +st "eb1" +blo "3000,4800" +tm "HdlTextNameMgr" +) +*106 (Text +va (VaSet +font "courier,8,0" +) +xt "3000,5000,4000,6000" +st "1" +blo "3000,5800" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,0" +) +xt "-550,-500,550,500" +st "G" +blo "-550,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,3400,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,4700,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,3700,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,8,0" +) +xt "0,1000,1000,1900" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,8,0" +) +) +second (MLText +va (VaSet +font "courier,8,0" +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1100,14500,-200" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1450,1450" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "250,250,1250,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*107 (Text +va (VaSet +font "courier,8,1" +) +xt "12000,20000,22000,21000" +st "Frame Declarations" +blo "12000,20800" +) +*108 (MLText +va (VaSet +font "courier,8,0" +) +xt "12000,21000,12000,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1100,9000,-200" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1450,1450" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "250,250,1250,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*109 (Text +va (VaSet +font "courier,8,1" +) +xt "12000,20000,22000,21000" +st "Frame Declarations" +blo "12000,20800" +) +*110 (MLText +va (VaSet +font "courier,8,0" +) +xt "12000,21000,12000,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2100,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2100,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-3000,5400,3500,6300" +st "Declarations" +blo "-3000,6100" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-3000,6400,0,7300" +st "Ports:" +blo "-3000,7100" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-3000,5400,1500,6300" +st "Pre User:" +blo "-3000,6100" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +) +xt "-3000,5400,-3000,5400" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "-3000,17000,5500,17900" +st "Diagram Signals:" +blo "-3000,17700" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-3000,5400,2500,6300" +st "Post User:" +blo "-3000,6100" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "-3000,5400,-3000,5400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 8,0 +usingSuid 1 +emptyRow *111 (LEmptyRow +) +uid 150,0 +optionalChildren [ +*112 (RefLabelRowHdr +) +*113 (TitleRowHdr +) +*114 (FilterRowHdr +) +*115 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*116 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*117 (GroupColHdr +tm "GroupColHdrMgr" +) +*118 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*119 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*120 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*121 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*122 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*123 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*124 (LeafLogPort +port (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 8,0 +) +) +uid 121,0 +) +*125 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 123,0 +) +*126 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 7,0 +) +) +uid 125,0 +) +*127 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 3,0 +) +) +uid 127,0 +) +*128 (LeafLogPort +port (LogicalPort +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 6,0 +) +) +uid 129,0 +) +*129 (LeafLogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 2,0 +) +) +uid 131,0 +) +*130 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 4,0 +) +) +uid 133,0 +) +*131 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 5,0 +) +) +uid 135,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 163,0 +optionalChildren [ +*132 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *133 (MRCItem +litem &111 +pos 8 +dimension 20 +) +uid 165,0 +optionalChildren [ +*134 (MRCItem +litem &112 +pos 0 +dimension 20 +uid 166,0 +) +*135 (MRCItem +litem &113 +pos 1 +dimension 23 +uid 167,0 +) +*136 (MRCItem +litem &114 +pos 2 +hidden 1 +dimension 20 +uid 168,0 +) +*137 (MRCItem +litem &124 +pos 0 +dimension 20 +uid 122,0 +) +*138 (MRCItem +litem &125 +pos 1 +dimension 20 +uid 124,0 +) +*139 (MRCItem +litem &126 +pos 2 +dimension 20 +uid 126,0 +) +*140 (MRCItem +litem &127 +pos 3 +dimension 20 +uid 128,0 +) +*141 (MRCItem +litem &128 +pos 4 +dimension 20 +uid 130,0 +) +*142 (MRCItem +litem &129 +pos 5 +dimension 20 +uid 132,0 +) +*143 (MRCItem +litem &130 +pos 6 +dimension 20 +uid 134,0 +) +*144 (MRCItem +litem &131 +pos 7 +dimension 20 +uid 136,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 169,0 +optionalChildren [ +*145 (MRCItem +litem &115 +pos 0 +dimension 20 +uid 170,0 +) +*146 (MRCItem +litem &117 +pos 1 +dimension 50 +uid 171,0 +) +*147 (MRCItem +litem &118 +pos 2 +dimension 100 +uid 172,0 +) +*148 (MRCItem +litem &119 +pos 3 +dimension 50 +uid 173,0 +) +*149 (MRCItem +litem &120 +pos 4 +dimension 100 +uid 174,0 +) +*150 (MRCItem +litem &121 +pos 5 +dimension 100 +uid 175,0 +) +*151 (MRCItem +litem &122 +pos 6 +dimension 50 +uid 176,0 +) +*152 (MRCItem +litem &123 +pos 7 +dimension 80 +uid 177,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 164,0 +vaOverrides [ +] +) +] +) +uid 149,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *153 (LEmptyRow +) +uid 179,0 +optionalChildren [ +*154 (RefLabelRowHdr +) +*155 (TitleRowHdr +) +*156 (FilterRowHdr +) +*157 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*158 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*159 (GroupColHdr +tm "GroupColHdrMgr" +) +*160 (NameColHdr +tm "GenericNameColHdrMgr" +) +*161 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*162 (InitColHdr +tm "GenericValueColHdrMgr" +) +*163 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*164 (EolColHdr +tm "GenericEolColHdrMgr" +) +*165 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 468,0 +) +*166 (LogGeneric +generic (GiElement +name "depth" +type "positive" +value "8" +) +uid 470,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 191,0 +optionalChildren [ +*167 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *168 (MRCItem +litem &153 +pos 2 +dimension 20 +) +uid 193,0 +optionalChildren [ +*169 (MRCItem +litem &154 +pos 0 +dimension 20 +uid 194,0 +) +*170 (MRCItem +litem &155 +pos 1 +dimension 23 +uid 195,0 +) +*171 (MRCItem +litem &156 +pos 2 +hidden 1 +dimension 20 +uid 196,0 +) +*172 (MRCItem +litem &165 +pos 0 +dimension 20 +uid 467,0 +) +*173 (MRCItem +litem &166 +pos 1 +dimension 20 +uid 469,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 197,0 +optionalChildren [ +*174 (MRCItem +litem &157 +pos 0 +dimension 20 +uid 198,0 +) +*175 (MRCItem +litem &159 +pos 1 +dimension 50 +uid 199,0 +) +*176 (MRCItem +litem &160 +pos 2 +dimension 100 +uid 200,0 +) +*177 (MRCItem +litem &161 +pos 3 +dimension 100 +uid 201,0 +) +*178 (MRCItem +litem &162 +pos 4 +dimension 50 +uid 202,0 +) +*179 (MRCItem +litem &163 +pos 5 +dimension 50 +uid 203,0 +) +*180 (MRCItem +litem &164 +pos 6 +dimension 80 +uid 204,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 192,0 +vaOverrides [ +] +) +] +) +uid 178,0 +type 1 +) +activeModelName "BlockDiag:GEN" +frameCount 2 +) diff --git a/Libs/Memory/hds/@f@i@f@o/symbol.sb b/Libs/Memory/hds/@f@i@f@o/symbol.sb new file mode 100644 index 0000000..c855d45 --- /dev/null +++ b/Libs/Memory/hds/@f@i@f@o/symbol.sb @@ -0,0 +1,1860 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.3 (Build 4)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2008,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 299,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 300,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 7,0 +) +) +uid 301,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 302,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 303,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 8,0 +) +) +uid 304,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +uid 305,0 +) +*8 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 306,0 +) +*9 (LogPort +port (LogicalPort +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 307,0 +) +*10 (RefLabelRowHdr +) +*11 (TitleRowHdr +) +*12 (FilterRowHdr +) +*13 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*14 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*15 (GroupColHdr +tm "GroupColHdrMgr" +) +*16 (NameColHdr +tm "NameColHdrMgr" +) +*17 (ModeColHdr +tm "ModeColHdrMgr" +) +*18 (TypeColHdr +tm "TypeColHdrMgr" +) +*19 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*20 (InitColHdr +tm "InitColHdrMgr" +) +*21 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 308,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 235,0 +optionalChildren [ +*24 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 238,0 +) +*25 (MRCItem +litem &11 +pos 1 +dimension 23 +uid 240,0 +) +*26 (MRCItem +litem &12 +pos 2 +hidden 1 +dimension 20 +uid 242,0 +) +*27 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 261,0 +) +*28 (MRCItem +litem &3 +pos 6 +dimension 20 +uid 262,0 +) +*29 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 263,0 +) +*30 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 264,0 +) +*31 (MRCItem +litem &6 +pos 7 +dimension 20 +uid 265,0 +) +*32 (MRCItem +litem &7 +pos 5 +dimension 20 +uid 266,0 +) +*33 (MRCItem +litem &8 +pos 1 +dimension 20 +uid 267,0 +) +*34 (MRCItem +litem &9 +pos 4 +dimension 20 +uid 268,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 236,0 +optionalChildren [ +*35 (MRCItem +litem &13 +pos 0 +dimension 20 +uid 244,0 +) +*36 (MRCItem +litem &15 +pos 1 +dimension 50 +uid 248,0 +) +*37 (MRCItem +litem &16 +pos 2 +dimension 100 +uid 250,0 +) +*38 (MRCItem +litem &17 +pos 3 +dimension 50 +uid 252,0 +) +*39 (MRCItem +litem &18 +pos 4 +dimension 100 +uid 254,0 +) +*40 (MRCItem +litem &19 +pos 5 +dimension 100 +uid 256,0 +) +*41 (MRCItem +litem &20 +pos 6 +dimension 50 +uid 258,0 +) +*42 (MRCItem +litem &21 +pos 7 +dimension 80 +uid 260,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 234,0 +vaOverrides [ +] +) +] +) +uid 298,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 310,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 427,0 +) +*56 (LogGeneric +generic (GiElement +name "depth" +type "positive" +value "8" +) +uid 429,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 311,0 +optionalChildren [ +*57 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *58 (MRCItem +litem &43 +pos 2 +dimension 20 +) +uid 270,0 +optionalChildren [ +*59 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 273,0 +) +*60 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 275,0 +) +*61 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 277,0 +) +*62 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 428,0 +) +*63 (MRCItem +litem &56 +pos 1 +dimension 20 +uid 430,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 271,0 +optionalChildren [ +*64 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 279,0 +) +*65 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 283,0 +) +*66 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 285,0 +) +*67 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 287,0 +) +*68 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 289,0 +) +*69 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 291,0 +) +*70 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 293,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 269,0 +vaOverrides [ +] +) +] +) +uid 309,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds/@f@i@f@o/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds/@f@i@f@o/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds/@f@i@f@o" +) +(vvPair +variable "d_logical" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds/FIFO" +) +(vvPair +variable "date" +value "10/18/21" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "18" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "FIFO" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "10/18/21" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Hyperion" +) +(vvPair +variable "graphical_source_time" +value "10:55:04" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Hyperion" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/Memory/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "mm" +value "10" +) +(vvPair +variable "module_name" +value "FIFO" +) +(vvPair +variable "month" +value "Oct" +) +(vvPair +variable "month_long" +value "October" +) +(vvPair +variable "p" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds/@f@i@f@o/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds/FIFO/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\eda\\MentorGraphics\\ModelSim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "10:55:04" +) +(vvPair +variable "unit" +value "FIFO" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2019.3 (Build 4)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 168,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 169,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,19625,34000,20375" +) +tg (CPTG +uid 170,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 171,0 +va (VaSet +) +xt "35000,19400,38000,20400" +st "write" +blo "35000,20200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 172,0 +va (VaSet +font "courier,8,0" +) +xt "2000,7800,17500,8700" +st "write : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*73 (CptPort +uid 173,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 174,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,23625,34000,24375" +) +tg (CPTG +uid 175,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 176,0 +va (VaSet +) +xt "35000,23400,38000,24400" +st "clock" +blo "35000,24200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 177,0 +va (VaSet +font "courier,8,0" +) +xt "2000,8700,17500,9600" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*74 (CptPort +uid 178,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 179,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,25625,34000,26375" +) +tg (CPTG +uid 180,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 181,0 +va (VaSet +) +xt "35000,25400,38000,26400" +st "reset" +blo "35000,26200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 182,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9600,17500,10500" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*75 (CptPort +uid 188,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 215,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,15625,50750,16375" +) +tg (CPTG +uid 190,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 191,0 +va (VaSet +) +xt "44801,15400,49001,16400" +st "dataOut" +ju 2 +blo "49001,16200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 192,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10500,32000,11400" +st "dataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*76 (CptPort +uid 193,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 226,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,17625,50750,18375" +) +tg (CPTG +uid 195,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 196,0 +va (VaSet +) +xt "46600,17400,49000,18400" +st "read" +ju 2 +blo "49000,18200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 197,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11400,17500,12300" +st "read : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*77 (CptPort +uid 216,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 227,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,15625,34000,16375" +) +tg (CPTG +uid 218,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 219,0 +va (VaSet +) +xt "34999,15400,38599,16400" +st "dataIn" +blo "34999,16200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 220,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12300,32000,13200" +st "dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*78 (CptPort +uid 221,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 228,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,19625,50750,20375" +) +tg (CPTG +uid 223,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 224,0 +va (VaSet +) +xt "46000,19400,49000,20400" +st "empty" +ju 2 +blo "49000,20200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 225,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13200,17500,14100" +st "empty : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 7,0 +) +) +) +*79 (CptPort +uid 229,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 230,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,17625,34000,18375" +) +tg (CPTG +uid 231,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 232,0 +va (VaSet +) +xt "35000,17400,37400,18400" +st "full" +blo "35000,18200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 233,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14100,16500,15000" +st "full : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,12000,50000,28000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "34600,27800,38200,28800" +st "Memory" +blo "34600,28600" +) +second (Text +uid 12,0 +va (VaSet +) +xt "34600,28800,37000,29800" +st "FIFO" +blo "34600,29600" +) +) +gi *80 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "34000,32000,45500,35600" +st "Generic Declarations + +dataBitNb positive 8 +depth positive 8 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "depth" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +*81 (Grouping +uid 16,0 +optionalChildren [ +*82 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*83 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*84 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*85 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*86 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*87 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*89 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*90 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*91 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,47600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *92 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*94 (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "0,1000,15500,3700" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "1966,67,3594,1148" +viewArea "-1100,-1100,86010,55320" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 595 +paperHeight 842 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210mm x 297mm)" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "600,1000,4800,2200" +st "Panel0" +blo "600,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "22600,14800,27900,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +) +xt "22600,16000,26500,17200" +st "" +blo "22600,17000" +) +) +gi *95 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *96 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,5800,6500,6700" +st "Declarations" +blo "0,6500" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,6800,3000,7700" +st "Ports:" +blo "0,7500" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,15000,2500,15900" +st "User:" +blo "0,15700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,5800,7500,6700" +st "Internal User:" +blo "0,6500" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15900,2000,15900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,5800,0,5800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 522,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory/hds/@f@i@f@o_bram/symbol.sb b/Libs/Memory/hds/@f@i@f@o_bram/symbol.sb new file mode 100644 index 0000000..413b3ae --- /dev/null +++ b/Libs/Memory/hds/@f@i@f@o_bram/symbol.sb @@ -0,0 +1,1848 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2008,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 299,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 300,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 7,0 +) +) +uid 301,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 302,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 303,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 8,0 +) +) +uid 304,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +uid 305,0 +) +*8 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 306,0 +) +*9 (LogPort +port (LogicalPort +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 307,0 +) +*10 (RefLabelRowHdr +) +*11 (TitleRowHdr +) +*12 (FilterRowHdr +) +*13 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*14 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*15 (GroupColHdr +tm "GroupColHdrMgr" +) +*16 (NameColHdr +tm "NameColHdrMgr" +) +*17 (ModeColHdr +tm "ModeColHdrMgr" +) +*18 (TypeColHdr +tm "TypeColHdrMgr" +) +*19 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*20 (InitColHdr +tm "InitColHdrMgr" +) +*21 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 308,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 235,0 +optionalChildren [ +*24 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 238,0 +) +*25 (MRCItem +litem &11 +pos 1 +dimension 23 +uid 240,0 +) +*26 (MRCItem +litem &12 +pos 2 +hidden 1 +dimension 20 +uid 242,0 +) +*27 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 261,0 +) +*28 (MRCItem +litem &3 +pos 6 +dimension 20 +uid 262,0 +) +*29 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 263,0 +) +*30 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 264,0 +) +*31 (MRCItem +litem &6 +pos 7 +dimension 20 +uid 265,0 +) +*32 (MRCItem +litem &7 +pos 5 +dimension 20 +uid 266,0 +) +*33 (MRCItem +litem &8 +pos 1 +dimension 20 +uid 267,0 +) +*34 (MRCItem +litem &9 +pos 4 +dimension 20 +uid 268,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 236,0 +optionalChildren [ +*35 (MRCItem +litem &13 +pos 0 +dimension 20 +uid 244,0 +) +*36 (MRCItem +litem &15 +pos 1 +dimension 50 +uid 248,0 +) +*37 (MRCItem +litem &16 +pos 2 +dimension 100 +uid 250,0 +) +*38 (MRCItem +litem &17 +pos 3 +dimension 50 +uid 252,0 +) +*39 (MRCItem +litem &18 +pos 4 +dimension 100 +uid 254,0 +) +*40 (MRCItem +litem &19 +pos 5 +dimension 100 +uid 256,0 +) +*41 (MRCItem +litem &20 +pos 6 +dimension 50 +uid 258,0 +) +*42 (MRCItem +litem &21 +pos 7 +dimension 80 +uid 260,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 234,0 +vaOverrides [ +] +) +] +) +uid 298,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 310,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 294,0 +) +*56 (LogGeneric +generic (GiElement +name "depth" +type "positive" +value "8" +) +uid 295,0 +) +] +) +pdm (PhysicalDM +uid 311,0 +optionalChildren [ +*57 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *58 (MRCItem +litem &43 +pos 2 +dimension 20 +) +uid 270,0 +optionalChildren [ +*59 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 273,0 +) +*60 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 275,0 +) +*61 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 277,0 +) +*62 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 296,0 +) +*63 (MRCItem +litem &56 +pos 1 +dimension 20 +uid 297,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 271,0 +optionalChildren [ +*64 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 279,0 +) +*65 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 283,0 +) +*66 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 285,0 +) +*67 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 287,0 +) +*68 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 289,0 +) +*69 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 291,0 +) +*70 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 293,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 269,0 +vaOverrides [ +] +) +] +) +uid 309,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/@f@i@f@o_bram/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/@f@i@f@o_bram/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/@f@i@f@o_bram" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/FIFO_bram" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "FIFO_bram" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:15" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "FIFO_bram" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/@f@i@f@o_bram/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/FIFO_bram/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:15" +) +(vvPair +variable "unit" +value "FIFO_bram" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 168,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 169,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,19625,34000,20375" +) +tg (CPTG +uid 170,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 171,0 +va (VaSet +) +xt "35000,19400,38100,20600" +st "write" +blo "35000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 172,0 +va (VaSet +font "courier,8,0" +) +xt "2000,7800,17500,8700" +st "write : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*73 (CptPort +uid 173,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 174,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,23625,34000,24375" +) +tg (CPTG +uid 175,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 176,0 +va (VaSet +) +xt "35000,23400,38400,24600" +st "clock" +blo "35000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 177,0 +va (VaSet +font "courier,8,0" +) +xt "2000,8700,17500,9600" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*74 (CptPort +uid 178,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 179,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,25625,34000,26375" +) +tg (CPTG +uid 180,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 181,0 +va (VaSet +) +xt "35000,25400,38300,26600" +st "reset" +blo "35000,26400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 182,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9600,17500,10500" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*75 (CptPort +uid 188,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 215,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,15625,50750,16375" +) +tg (CPTG +uid 190,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 191,0 +va (VaSet +) +xt "44201,15400,49001,16600" +st "dataOut" +ju 2 +blo "49001,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 192,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10500,32000,11400" +st "dataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*76 (CptPort +uid 193,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 226,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,19625,50750,20375" +) +tg (CPTG +uid 195,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 196,0 +va (VaSet +) +xt "46100,19400,49000,20600" +st "read" +ju 2 +blo "49000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 197,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11400,17500,12300" +st "read : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*77 (CptPort +uid 216,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 227,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,15625,34000,16375" +) +tg (CPTG +uid 218,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 219,0 +va (VaSet +) +xt "34999,15400,38999,16600" +st "dataIn" +blo "34999,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 220,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12300,32000,13200" +st "dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*78 (CptPort +uid 221,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 228,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,17625,50750,18375" +) +tg (CPTG +uid 223,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 224,0 +va (VaSet +) +xt "45200,17400,49000,18600" +st "empty" +ju 2 +blo "49000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 225,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13200,17500,14100" +st "empty : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 7,0 +) +) +) +*79 (CptPort +uid 229,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 230,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,17625,34000,18375" +) +tg (CPTG +uid 231,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 232,0 +va (VaSet +) +xt "35000,17400,37200,18600" +st "full" +blo "35000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 233,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14100,16500,15000" +st "full : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,12000,50000,28000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "34600,27800,38200,28800" +st "Memory" +blo "34600,28600" +) +second (Text +uid 12,0 +va (VaSet +) +xt "34600,28800,40000,29800" +st "FIFO_bram" +blo "34600,29600" +) +) +gi *80 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "34000,32000,45500,35600" +st "Generic Declarations + +dataBitNb positive 8 +depth positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "depth" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +*81 (Grouping +uid 16,0 +optionalChildren [ +*82 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,51200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*83 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*84 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*85 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*86 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*87 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*89 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*90 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*91 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,50600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *92 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*94 (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "0,1000,15500,3700" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "81,31,1365,900" +viewArea "-1034,-1034,74634,50619" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "600,1000,4800,2200" +st "Panel0" +blo "600,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "22600,14800,27900,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +) +xt "22600,16000,26500,17200" +st "" +blo "22600,17000" +) +) +gi *95 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *96 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,5800,7000,6800" +st "Declarations" +blo "0,6600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,6800,3400,7800" +st "Ports:" +blo "0,7600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,15000,2500,15900" +st "User:" +blo "0,15700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,5800,7600,6800" +st "Internal User:" +blo "0,6600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15900,2000,15900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,5800,0,5800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 403,0 +activeModelName "Symbol" +) diff --git a/Libs/Memory/hds/@f@i@f@o_one@register/symbol.sb b/Libs/Memory/hds/@f@i@f@o_one@register/symbol.sb new file mode 100644 index 0000000..98aa032 --- /dev/null +++ b/Libs/Memory/hds/@f@i@f@o_one@register/symbol.sb @@ -0,0 +1,1828 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2008,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 299,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 300,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 7,0 +) +) +uid 301,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 302,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 303,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 8,0 +) +) +uid 304,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +uid 305,0 +) +*8 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 306,0 +) +*9 (LogPort +port (LogicalPort +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 307,0 +) +*10 (RefLabelRowHdr +) +*11 (TitleRowHdr +) +*12 (FilterRowHdr +) +*13 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*14 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*15 (GroupColHdr +tm "GroupColHdrMgr" +) +*16 (NameColHdr +tm "NameColHdrMgr" +) +*17 (ModeColHdr +tm "ModeColHdrMgr" +) +*18 (TypeColHdr +tm "TypeColHdrMgr" +) +*19 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*20 (InitColHdr +tm "InitColHdrMgr" +) +*21 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 308,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 235,0 +optionalChildren [ +*24 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 238,0 +) +*25 (MRCItem +litem &11 +pos 1 +dimension 23 +uid 240,0 +) +*26 (MRCItem +litem &12 +pos 2 +hidden 1 +dimension 20 +uid 242,0 +) +*27 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 261,0 +) +*28 (MRCItem +litem &3 +pos 6 +dimension 20 +uid 262,0 +) +*29 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 263,0 +) +*30 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 264,0 +) +*31 (MRCItem +litem &6 +pos 7 +dimension 20 +uid 265,0 +) +*32 (MRCItem +litem &7 +pos 5 +dimension 20 +uid 266,0 +) +*33 (MRCItem +litem &8 +pos 1 +dimension 20 +uid 267,0 +) +*34 (MRCItem +litem &9 +pos 4 +dimension 20 +uid 268,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 236,0 +optionalChildren [ +*35 (MRCItem +litem &13 +pos 0 +dimension 20 +uid 244,0 +) +*36 (MRCItem +litem &15 +pos 1 +dimension 50 +uid 248,0 +) +*37 (MRCItem +litem &16 +pos 2 +dimension 100 +uid 250,0 +) +*38 (MRCItem +litem &17 +pos 3 +dimension 50 +uid 252,0 +) +*39 (MRCItem +litem &18 +pos 4 +dimension 100 +uid 254,0 +) +*40 (MRCItem +litem &19 +pos 5 +dimension 100 +uid 256,0 +) +*41 (MRCItem +litem &20 +pos 6 +dimension 50 +uid 258,0 +) +*42 (MRCItem +litem &21 +pos 7 +dimension 80 +uid 260,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 234,0 +vaOverrides [ +] +) +] +) +uid 298,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 310,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 294,0 +) +] +) +pdm (PhysicalDM +uid 311,0 +optionalChildren [ +*56 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *57 (MRCItem +litem &43 +pos 1 +dimension 20 +) +uid 270,0 +optionalChildren [ +*58 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 273,0 +) +*59 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 275,0 +) +*60 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 277,0 +) +*61 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 296,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 271,0 +optionalChildren [ +*62 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 279,0 +) +*63 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 283,0 +) +*64 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 285,0 +) +*65 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 287,0 +) +*66 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 289,0 +) +*67 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 291,0 +) +*68 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 293,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 269,0 +vaOverrides [ +] +) +] +) +uid 309,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/@f@i@f@o_one@register/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/@f@i@f@o_one@register/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/@f@i@f@o_one@register" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/FIFO_oneRegister" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "FIFO_oneRegister" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:15" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "FIFO_oneRegister" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/@f@i@f@o_one@register/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/FIFO_oneRegister/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:15" +) +(vvPair +variable "unit" +value "FIFO_oneRegister" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*69 (SymbolBody +uid 8,0 +optionalChildren [ +*70 (CptPort +uid 168,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 169,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,19625,34000,20375" +) +tg (CPTG +uid 170,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 171,0 +va (VaSet +) +xt "35000,19400,38100,20600" +st "write" +blo "35000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 172,0 +va (VaSet +font "courier,8,0" +) +xt "2000,7800,17500,8700" +st "write : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*71 (CptPort +uid 173,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 174,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,23625,34000,24375" +) +tg (CPTG +uid 175,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 176,0 +va (VaSet +) +xt "35000,23400,38400,24600" +st "clock" +blo "35000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 177,0 +va (VaSet +font "courier,8,0" +) +xt "2000,8700,17500,9600" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*72 (CptPort +uid 178,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 179,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,25625,34000,26375" +) +tg (CPTG +uid 180,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 181,0 +va (VaSet +) +xt "35000,25400,38300,26600" +st "reset" +blo "35000,26400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 182,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9600,17500,10500" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*73 (CptPort +uid 188,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 215,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,15625,50750,16375" +) +tg (CPTG +uid 190,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 191,0 +va (VaSet +) +xt "44201,15400,49001,16600" +st "dataOut" +ju 2 +blo "49001,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 192,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10500,32000,11400" +st "dataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*74 (CptPort +uid 193,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 226,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,19625,50750,20375" +) +tg (CPTG +uid 195,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 196,0 +va (VaSet +) +xt "46100,19400,49000,20600" +st "read" +ju 2 +blo "49000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 197,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11400,17500,12300" +st "read : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*75 (CptPort +uid 216,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 227,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,15625,34000,16375" +) +tg (CPTG +uid 218,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 219,0 +va (VaSet +) +xt "34999,15400,38999,16600" +st "dataIn" +blo "34999,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 220,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12300,32000,13200" +st "dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*76 (CptPort +uid 221,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 228,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,17625,50750,18375" +) +tg (CPTG +uid 223,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 224,0 +va (VaSet +) +xt "45200,17400,49000,18600" +st "empty" +ju 2 +blo "49000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 225,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13200,17500,14100" +st "empty : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 7,0 +) +) +) +*77 (CptPort +uid 229,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 230,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,17625,34000,18375" +) +tg (CPTG +uid 231,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 232,0 +va (VaSet +) +xt "35000,17400,37200,18600" +st "full" +blo "35000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 233,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14100,16500,15000" +st "full : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,12000,50000,28000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "34600,27800,38200,28800" +st "Memory" +blo "34600,28600" +) +second (Text +uid 12,0 +va (VaSet +) +xt "34600,28800,44800,29800" +st "FIFO_oneRegister" +blo "34600,29600" +) +) +gi *78 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "34000,32000,45500,34700" +st "Generic Declarations + +dataBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +*79 (Grouping +uid 16,0 +optionalChildren [ +*80 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*81 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*82 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*83 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*84 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*85 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*86 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*87 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*89 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *90 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*91 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*92 (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "0,1000,15500,3700" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "81,31,1366,900" +viewArea "-1000,-1000,74686,50617" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "600,1000,4800,2200" +st "Panel0" +blo "600,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "22600,14800,27900,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +) +xt "22600,16000,26500,17200" +st "" +blo "22600,17000" +) +) +gi *93 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *94 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,5800,7000,6800" +st "Declarations" +blo "0,6600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,6800,3400,7800" +st "Ports:" +blo "0,7600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,15000,2500,15900" +st "User:" +blo "0,15700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,5800,7600,6800" +st "Internal User:" +blo "0,6600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15900,2000,15900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,5800,0,5800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 426,0 +activeModelName "Symbol" +) diff --git a/Libs/Memory/hds/_bram._epf b/Libs/Memory/hds/_bram._epf new file mode 100644 index 0000000..0041f9c --- /dev/null +++ b/Libs/Memory/hds/_bram._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom bram_bhv.vhd +DEFAULT_ARCHITECTURE atom bhv +TOP_MARKER atom 1 diff --git a/Libs/Memory/hds/_bramdualportwritefirst._epf b/Libs/Memory/hds/_bramdualportwritefirst._epf new file mode 100644 index 0000000..72f20fb --- /dev/null +++ b/Libs/Memory/hds/_bramdualportwritefirst._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom bramDualportWritefirst_bhv.vhd +DEFAULT_ARCHITECTURE atom bhv +TOP_MARKER atom 1 diff --git a/Libs/Memory/hds/_fifo._epf b/Libs/Memory/hds/_fifo._epf new file mode 100644 index 0000000..30bfe35 --- /dev/null +++ b/Libs/Memory/hds/_fifo._epf @@ -0,0 +1,3 @@ +TOP_MARKER atom 1 +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom @f@i@f@o/struct.bd diff --git a/Libs/Memory/hds/_fifo_bram._epf b/Libs/Memory/hds/_fifo_bram._epf new file mode 100644 index 0000000..0037371 --- /dev/null +++ b/Libs/Memory/hds/_fifo_bram._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom fifo_bram_rtl.vhd +DEFAULT_ARCHITECTURE atom RTL +TOP_MARKER atom 0 diff --git a/Libs/Memory/hds/_fifo_oneregister._epf b/Libs/Memory/hds/_fifo_oneregister._epf new file mode 100644 index 0000000..f095563 --- /dev/null +++ b/Libs/Memory/hds/_fifo_oneregister._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom fifo_oneRegister_rtl.vhd +DEFAULT_ARCHITECTURE atom oneRegister +TOP_MARKER atom 0 diff --git a/Libs/Memory/hds/_fifobridge._epf b/Libs/Memory/hds/_fifobridge._epf new file mode 100644 index 0000000..e35610c --- /dev/null +++ b/Libs/Memory/hds/_fifobridge._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom fifo@bridge/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Memory/hds/_fifobridgebuswidthadaption._epf b/Libs/Memory/hds/_fifobridgebuswidthadaption._epf new file mode 100644 index 0000000..cd1cbce --- /dev/null +++ b/Libs/Memory/hds/_fifobridgebuswidthadaption._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom fifo@bridge@bus@width@adaption/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Memory/hds/_fifobridgerxtotx._epf b/Libs/Memory/hds/_fifobridgerxtotx._epf new file mode 100644 index 0000000..93a8c4c --- /dev/null +++ b/Libs/Memory/hds/_fifobridgerxtotx._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom fifobridgerxtotx_rtl.vhd +DEFAULT_ARCHITECTURE atom RTL diff --git a/Libs/Memory/hds/_fifobridgerxtotxbuswidthadaptionrxbigger._epf b/Libs/Memory/hds/_fifobridgerxtotxbuswidthadaptionrxbigger._epf new file mode 100644 index 0000000..08136c5 --- /dev/null +++ b/Libs/Memory/hds/_fifobridgerxtotxbuswidthadaptionrxbigger._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom fifoBridgeRxToTxBuswidthAdaptionRxbigger_behavioral.vhd +DEFAULT_ARCHITECTURE atom behavioral diff --git a/Libs/Memory/hds/_fifobridgerxtotxbuswidthadaptiontxbigger._epf b/Libs/Memory/hds/_fifobridgerxtotxbuswidthadaptiontxbigger._epf new file mode 100644 index 0000000..a4ff292 --- /dev/null +++ b/Libs/Memory/hds/_fifobridgerxtotxbuswidthadaptiontxbigger._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom fifoBridgeRxToTxBuswidthAdaptionTxbigger_behavioral.vhd +DEFAULT_ARCHITECTURE atom behavioral diff --git a/Libs/Memory/hds/_flashcontroller._epf b/Libs/Memory/hds/_flashcontroller._epf new file mode 100644 index 0000000..388eac4 --- /dev/null +++ b/Libs/Memory/hds/_flashcontroller._epf @@ -0,0 +1,3 @@ +TOP_MARKER atom 1 +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom flashController_RTL.vhd diff --git a/Libs/Memory/hds/_sdramcontroller._epf b/Libs/Memory/hds/_sdramcontroller._epf new file mode 100644 index 0000000..03cc304 --- /dev/null +++ b/Libs/Memory/hds/_sdramcontroller._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom sdram@controller/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Memory/hds/_sdramcontrollerbuildaddress._epf b/Libs/Memory/hds/_sdramcontrollerbuildaddress._epf new file mode 100644 index 0000000..7e31da3 --- /dev/null +++ b/Libs/Memory/hds/_sdramcontrollerbuildaddress._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom sdramControllerBuildAddress_RTL.vhd diff --git a/Libs/Memory/hds/_sdramcontrollerfsm._epf b/Libs/Memory/hds/_sdramcontrollerfsm._epf new file mode 100644 index 0000000..4c6b139 --- /dev/null +++ b/Libs/Memory/hds/_sdramcontrollerfsm._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom fsm +DEFAULT_FILE atom sdram@controller@fsm/fsm.sm diff --git a/Libs/Memory/hds/_sdramcontrollerrefreshcounter._epf b/Libs/Memory/hds/_sdramcontrollerrefreshcounter._epf new file mode 100644 index 0000000..79c67be --- /dev/null +++ b/Libs/Memory/hds/_sdramcontrollerrefreshcounter._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom sdramControllerRefreshCounter_RTL.vhd diff --git a/Libs/Memory/hds/_sdramcontrollersampledatain._epf b/Libs/Memory/hds/_sdramcontrollersampledatain._epf new file mode 100644 index 0000000..d41ad8f --- /dev/null +++ b/Libs/Memory/hds/_sdramcontrollersampledatain._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom sdramControllerSampleDataIn_RTL.vhd diff --git a/Libs/Memory/hds/_sdramcontrollersr._epf b/Libs/Memory/hds/_sdramcontrollersr._epf new file mode 100644 index 0000000..5909828 --- /dev/null +++ b/Libs/Memory/hds/_sdramcontrollersr._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom sdramControllerSR_RTL.vhd diff --git a/Libs/Memory/hds/_sdramcontrollerstoredata._epf b/Libs/Memory/hds/_sdramcontrollerstoredata._epf new file mode 100644 index 0000000..3decb83 --- /dev/null +++ b/Libs/Memory/hds/_sdramcontrollerstoredata._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom sdramControllerStoreData_RTL.vhd diff --git a/Libs/Memory/hds/_sdramcontrollertimingsshiftregister._epf b/Libs/Memory/hds/_sdramcontrollertimingsshiftregister._epf new file mode 100644 index 0000000..8547bf2 --- /dev/null +++ b/Libs/Memory/hds/_sdramcontrollertimingsshiftregister._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom sdramControllerTimingsShiftRegister_RTL.vhd diff --git a/Libs/Memory/hds/bram/symbol.sb b/Libs/Memory/hds/bram/symbol.sb new file mode 100644 index 0000000..566e85f --- /dev/null +++ b/Libs/Memory/hds/bram/symbol.sb @@ -0,0 +1,1778 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 14,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 151,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 51,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "writeEn" +t "std_ulogic" +o 3 +suid 4,0 +) +) +uid 57,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "addressIn" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 4 +suid 5,0 +) +) +uid 59,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 6,0 +) +) +uid 61,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 6 +suid 7,0 +) +) +uid 63,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 3,0 +) +) +uid 55,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 164,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 166,0 +optionalChildren [ +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 167,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 168,0 +) +*24 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 169,0 +) +*25 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 52,0 +) +*26 (MRCItem +litem &15 +pos 2 +dimension 20 +uid 58,0 +) +*27 (MRCItem +litem &16 +pos 3 +dimension 20 +uid 60,0 +) +*28 (MRCItem +litem &17 +pos 4 +dimension 20 +uid 62,0 +) +*29 (MRCItem +litem &18 +pos 5 +dimension 20 +uid 64,0 +) +*30 (MRCItem +litem &19 +pos 1 +dimension 20 +uid 56,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 170,0 +optionalChildren [ +*31 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 171,0 +) +*32 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 172,0 +) +*33 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 173,0 +) +*34 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 174,0 +) +*35 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 175,0 +) +*36 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 176,0 +) +*37 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 177,0 +) +*38 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 178,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 165,0 +vaOverrides [ +] +) +] +) +uid 150,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 180,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "8" +) +uid 439,0 +) +*52 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 441,0 +) +*53 (LogGeneric +generic (GiElement +name "initFile" +type "string" +value "\"bramInit.txt\"" +) +uid 443,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 192,0 +optionalChildren [ +*54 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *55 (MRCItem +litem &39 +pos 3 +dimension 20 +) +uid 194,0 +optionalChildren [ +*56 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 195,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 196,0 +) +*58 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 197,0 +) +*59 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 440,0 +) +*60 (MRCItem +litem &52 +pos 1 +dimension 20 +uid 442,0 +) +*61 (MRCItem +litem &53 +pos 2 +dimension 20 +uid 444,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 198,0 +optionalChildren [ +*62 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 199,0 +) +*63 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 200,0 +) +*64 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 201,0 +) +*65 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 202,0 +) +*66 (MRCItem +litem &48 +pos 4 +dimension 86 +uid 203,0 +) +*67 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 204,0 +) +*68 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 205,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 193,0 +vaOverrides [ +] +) +] +) +uid 179,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/HiRel/FPGA/Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/HiRel/FPGA/Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/HiRel/FPGA/Libs/Memory/hds/bram/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/HiRel/FPGA/Libs/Memory/hds/bram/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/HiRel/FPGA/Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/HiRel/FPGA/Libs/Memory/hds/bram" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/HiRel/FPGA/Libs/Memory/hds/bram" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "bram" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "14:42:23" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Memory" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "bram" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/HiRel/FPGA/Libs/Memory/hds/bram/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/HiRel/FPGA/Libs/Memory/hds/bram/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "cansat" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:42:23" +) +(vvPair +variable "unit" +value "bram" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 149,0 +optionalChildren [ +*69 (SymbolBody +uid 8,0 +optionalChildren [ +*70 (CptPort +uid 79,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 80,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,26625,39000,27375" +) +tg (CPTG +uid 81,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 82,0 +va (VaSet +) +xt "40000,26500,43000,27500" +st "clock" +blo "40000,27300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 83,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9200,18500,10100" +st "clock : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*71 (CptPort +uid 89,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 90,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,24625,39000,25375" +) +tg (CPTG +uid 91,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 92,0 +va (VaSet +) +xt "40000,24500,41200,25500" +st "en" +blo "40000,25300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 93,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10100,18500,11000" +st "en : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 3,0 +) +) +) +*72 (CptPort +uid 94,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 95,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,20625,39000,21375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 97,0 +va (VaSet +) +xt "40000,20500,44200,21500" +st "writeEn" +blo "40000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 98,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,18500,11900" +st "writeEn : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "writeEn" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*73 (CptPort +uid 99,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 100,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,14625,39000,15375" +) +tg (CPTG +uid 101,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 102,0 +va (VaSet +) +xt "40000,14500,45400,15500" +st "addressIn" +blo "40000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 103,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,34500,12800" +st "addressIn : IN std_ulogic_vector (addressBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "addressIn" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 4 +suid 5,0 +) +) +) +*74 (CptPort +uid 104,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 105,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,18625,39000,19375" +) +tg (CPTG +uid 106,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 107,0 +va (VaSet +) +xt "40000,18500,43600,19500" +st "dataIn" +blo "40000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 108,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12800,33000,13700" +st "dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 6,0 +) +) +) +*75 (CptPort +uid 109,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 206,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,16625,39000,17375" +) +tg (CPTG +uid 111,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 112,0 +va (VaSet +) +xt "40000,16500,44200,17500" +st "dataOut" +blo "40000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 113,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,32000,14600" +st "dataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 6 +suid 7,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "39000,11000,55000,29000" +) +oxt "15000,6000,33000,36000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "39200,29000,42200,29900" +st "Memory" +blo "39200,29700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "39200,29900,41200,30800" +st "bram" +blo "39200,30600" +) +) +gi *76 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "39000,32000,58500,36500" +st "Generic Declarations + +addressBitNb positive 8 +dataBitNb positive 8 +initFile string \"bramInit.txt\" " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "8" +) +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "initFile" +type "string" +value "\"bramInit.txt\"" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +*77 (Grouping +uid 16,0 +optionalChildren [ +*78 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,66200,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,47600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *88 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*89 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*90 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,19800,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "108,40,1409,907" +viewArea "-1100,-1100,70048,46750" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 595 +paperHeight 842 +unixPaperWidth 595 +unixPaperHeight 842 +paperType "A4 (210mm x 297mm)" +unixPaperName "A4 (210mm x 297mm)" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *91 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *92 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,7400,6500,8300" +st "Declarations" +blo "0,8100" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,8300,3000,9200" +st "Ports:" +blo "0,9000" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,14600,2500,15500" +st "User:" +blo "0,15300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,7400,7500,8300" +st "Internal User:" +blo "0,8100" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15500,2000,15500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,7400,0,7400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 628,0 +activeModelName "Symbol" +) diff --git a/Libs/Memory/hds/bram@dualport@writefirst/symbol.sb b/Libs/Memory/hds/bram@dualport@writefirst/symbol.sb new file mode 100644 index 0000000..67aa8c6 --- /dev/null +++ b/Libs/Memory/hds/bram@dualport@writefirst/symbol.sb @@ -0,0 +1,2162 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 14,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 151,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clockA" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 51,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "writeEnA" +t "std_ulogic" +o 3 +suid 4,0 +) +) +uid 57,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "addressA" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 4 +suid 5,0 +) +) +uid 59,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "dataInA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 6,0 +) +) +uid 61,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataOutA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 6 +suid 7,0 +) +) +uid 63,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clockB" +t "std_ulogic" +o 7 +suid 8,0 +) +) +uid 65,0 +) +*20 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "enB" +t "std_ulogic" +o 8 +suid 10,0 +) +) +uid 69,0 +) +*21 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "writeEnB" +t "std_ulogic" +o 9 +suid 11,0 +) +) +uid 71,0 +) +*22 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "addressB" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 10 +suid 12,0 +) +) +uid 73,0 +) +*23 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "dataInB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 13,0 +) +) +uid 75,0 +) +*24 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataOutB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 14,0 +) +) +uid 77,0 +) +*25 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "enA" +t "std_ulogic" +o 2 +suid 3,0 +) +) +uid 55,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 164,0 +optionalChildren [ +*26 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *27 (MRCItem +litem &1 +pos 12 +dimension 20 +) +uid 166,0 +optionalChildren [ +*28 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 167,0 +) +*29 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 168,0 +) +*30 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 169,0 +) +*31 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 52,0 +) +*32 (MRCItem +litem &15 +pos 2 +dimension 20 +uid 58,0 +) +*33 (MRCItem +litem &16 +pos 3 +dimension 20 +uid 60,0 +) +*34 (MRCItem +litem &17 +pos 4 +dimension 20 +uid 62,0 +) +*35 (MRCItem +litem &18 +pos 5 +dimension 20 +uid 64,0 +) +*36 (MRCItem +litem &19 +pos 6 +dimension 20 +uid 66,0 +) +*37 (MRCItem +litem &20 +pos 7 +dimension 20 +uid 70,0 +) +*38 (MRCItem +litem &21 +pos 8 +dimension 20 +uid 72,0 +) +*39 (MRCItem +litem &22 +pos 9 +dimension 20 +uid 74,0 +) +*40 (MRCItem +litem &23 +pos 10 +dimension 20 +uid 76,0 +) +*41 (MRCItem +litem &24 +pos 11 +dimension 20 +uid 78,0 +) +*42 (MRCItem +litem &25 +pos 1 +dimension 20 +uid 56,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 170,0 +optionalChildren [ +*43 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 171,0 +) +*44 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 172,0 +) +*45 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 173,0 +) +*46 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 174,0 +) +*47 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 175,0 +) +*48 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 176,0 +) +*49 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 177,0 +) +*50 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 178,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 165,0 +vaOverrides [ +] +) +] +) +uid 150,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *51 (LEmptyRow +) +uid 180,0 +optionalChildren [ +*52 (RefLabelRowHdr +) +*53 (TitleRowHdr +) +*54 (FilterRowHdr +) +*55 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*56 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*57 (GroupColHdr +tm "GroupColHdrMgr" +) +*58 (NameColHdr +tm "GenericNameColHdrMgr" +) +*59 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*60 (InitColHdr +tm "GenericValueColHdrMgr" +) +*61 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*62 (EolColHdr +tm "GenericEolColHdrMgr" +) +*63 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "8" +) +uid 439,0 +) +*64 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 441,0 +) +*65 (LogGeneric +generic (GiElement +name "initFile" +type "string" +value "\"bramInit.txt\"" +) +uid 443,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 192,0 +optionalChildren [ +*66 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *67 (MRCItem +litem &51 +pos 3 +dimension 20 +) +uid 194,0 +optionalChildren [ +*68 (MRCItem +litem &52 +pos 0 +dimension 20 +uid 195,0 +) +*69 (MRCItem +litem &53 +pos 1 +dimension 23 +uid 196,0 +) +*70 (MRCItem +litem &54 +pos 2 +hidden 1 +dimension 20 +uid 197,0 +) +*71 (MRCItem +litem &63 +pos 0 +dimension 20 +uid 440,0 +) +*72 (MRCItem +litem &64 +pos 1 +dimension 20 +uid 442,0 +) +*73 (MRCItem +litem &65 +pos 2 +dimension 20 +uid 444,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 198,0 +optionalChildren [ +*74 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 199,0 +) +*75 (MRCItem +litem &57 +pos 1 +dimension 50 +uid 200,0 +) +*76 (MRCItem +litem &58 +pos 2 +dimension 100 +uid 201,0 +) +*77 (MRCItem +litem &59 +pos 3 +dimension 100 +uid 202,0 +) +*78 (MRCItem +litem &60 +pos 4 +dimension 86 +uid 203,0 +) +*79 (MRCItem +litem &61 +pos 5 +dimension 50 +uid 204,0 +) +*80 (MRCItem +litem &62 +pos 6 +dimension 80 +uid 205,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 193,0 +vaOverrides [ +] +) +] +) +uid 179,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/bram@dualport@writefirst/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/bram@dualport@writefirst/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/bram@dualport@writefirst" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/bramDualportWritefirst" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "bramDualportWritefirst" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:15" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "bramDualportWritefirst" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/bram@dualport@writefirst/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/bramDualportWritefirst/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:15" +) +(vvPair +variable "unit" +value "bramDualportWritefirst" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 149,0 +optionalChildren [ +*81 (SymbolBody +uid 8,0 +optionalChildren [ +*82 (CptPort +uid 79,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 80,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,26625,39000,27375" +) +tg (CPTG +uid 81,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 82,0 +va (VaSet +) +xt "40000,26500,43600,27500" +st "clockA" +blo "40000,27300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 83,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9200,18000,10100" +st "clockA : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clockA" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*83 (CptPort +uid 89,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 90,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,24625,39000,25375" +) +tg (CPTG +uid 91,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 92,0 +va (VaSet +) +xt "40000,24500,41800,25500" +st "enA" +blo "40000,25300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 93,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10100,18000,11000" +st "enA : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "enA" +t "std_ulogic" +o 2 +suid 3,0 +) +) +) +*84 (CptPort +uid 94,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 95,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,20625,39000,21375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 97,0 +va (VaSet +) +xt "40000,20500,44800,21500" +st "writeEnA" +blo "40000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 98,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,18000,11900" +st "writeEnA : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "writeEnA" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*85 (CptPort +uid 99,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 100,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,14625,39000,15375" +) +tg (CPTG +uid 101,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 102,0 +va (VaSet +) +xt "40000,14500,44800,15500" +st "addressA" +blo "40000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 103,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,34000,12800" +st "addressA : IN std_ulogic_vector (addressBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "addressA" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 4 +suid 5,0 +) +) +) +*86 (CptPort +uid 104,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 105,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,18625,39000,19375" +) +tg (CPTG +uid 106,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 107,0 +va (VaSet +) +xt "40000,18500,44200,19500" +st "dataInA" +blo "40000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 108,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12800,32500,13700" +st "dataInA : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "dataInA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 6,0 +) +) +) +*87 (CptPort +uid 109,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 206,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,16625,39000,17375" +) +tg (CPTG +uid 111,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 112,0 +va (VaSet +) +xt "40000,16500,44800,17500" +st "dataOutA" +blo "40000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 113,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,32500,14600" +st "dataOutA : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataOutA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 6 +suid 7,0 +) +) +) +*88 (CptPort +uid 114,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 115,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,26625,55750,27375" +) +tg (CPTG +uid 116,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 117,0 +va (VaSet +) +xt "50400,26500,54000,27500" +st "clockB" +ju 2 +blo "54000,27300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14600,18000,15500" +st "clockB : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clockB" +t "std_ulogic" +o 7 +suid 8,0 +) +) +) +*89 (CptPort +uid 124,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 125,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,24625,55750,25375" +) +tg (CPTG +uid 126,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 127,0 +va (VaSet +) +xt "52200,24500,54000,25500" +st "enB" +ju 2 +blo "54000,25300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 128,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15500,18000,16400" +st "enB : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "enB" +t "std_ulogic" +o 8 +suid 10,0 +) +) +) +*90 (CptPort +uid 129,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 130,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,20625,55750,21375" +) +tg (CPTG +uid 131,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 132,0 +va (VaSet +) +xt "49200,20500,54000,21500" +st "writeEnB" +ju 2 +blo "54000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 133,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16400,18000,17300" +st "writeEnB : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "writeEnB" +t "std_ulogic" +o 9 +suid 11,0 +) +) +) +*91 (CptPort +uid 134,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 135,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,14625,55750,15375" +) +tg (CPTG +uid 136,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 137,0 +va (VaSet +) +xt "49200,14500,54000,15500" +st "addressB" +ju 2 +blo "54000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 138,0 +va (VaSet +font "courier,8,0" +) +xt "2000,17300,34000,18200" +st "addressB : IN std_ulogic_vector (addressBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "addressB" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 10 +suid 12,0 +) +) +) +*92 (CptPort +uid 139,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 140,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,18625,55750,19375" +) +tg (CPTG +uid 141,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 142,0 +va (VaSet +) +xt "49800,18500,54000,19500" +st "dataInB" +ju 2 +blo "54000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 143,0 +va (VaSet +font "courier,8,0" +) +xt "2000,18200,32500,19100" +st "dataInB : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "dataInB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 13,0 +) +) +) +*93 (CptPort +uid 144,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 207,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,16625,55750,17375" +) +tg (CPTG +uid 146,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 147,0 +va (VaSet +) +xt "49200,16500,54000,17500" +st "dataOutB" +ju 2 +blo "54000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 148,0 +va (VaSet +font "courier,8,0" +) +xt "2000,19100,31500,20000" +st "dataOutB : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataOutB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 14,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "39000,11000,55000,29000" +) +oxt "15000,6000,33000,36000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "39200,29000,42200,29900" +st "Memory" +blo "39200,29700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "39200,29900,50700,30800" +st "bramDualportWritefirst" +blo "39200,30600" +) +) +gi *94 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "39000,32000,58500,36500" +st "Generic Declarations + +addressBitNb positive 8 +dataBitNb positive 8 +initFile string \"bramInit.txt\" " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "8" +) +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "initFile" +type "string" +value "\"bramInit.txt\"" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +*95 (Grouping +uid 16,0 +optionalChildren [ +*96 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*97 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*98 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*99 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*100 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*101 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,66200,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*102 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*103 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*104 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*105 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *106 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*107 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*108 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,19800,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "116,36,1417,903" +viewArea "-1090,-1090,75403,50355" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 595 +paperHeight 842 +unixPaperWidth 595 +unixPaperHeight 842 +paperType "A4 (210mm x 297mm)" +unixPaperName "A4 (210mm x 297mm)" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *109 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *110 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,7400,6500,8300" +st "Declarations" +blo "0,8100" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,8300,3000,9200" +st "Ports:" +blo "0,9000" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,20000,2500,20900" +st "User:" +blo "0,20700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,7400,7500,8300" +st "Internal User:" +blo "0,8100" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,20900,2000,20900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,7400,0,7400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 605,0 +activeModelName "Symbol" +) diff --git a/Libs/Memory/hds/fifo@bridge/struct.bd b/Libs/Memory/hds/fifo@bridge/struct.bd new file mode 100644 index 0000000..d58d1e9 --- /dev/null +++ b/Libs/Memory/hds/fifo@bridge/struct.bd @@ -0,0 +1,4613 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +instances [ +(Instance +name "rx1ToTx2" +duLibraryName "memory" +duName "fifoBridgeRxToTx" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "fifoDepth" +type "positive" +value "fifoDepth" +) +(GiElement +name "firstWordFallThrough" +type "boolean" +value "firstWordFallThrough" +e "first byte written into the FIFO immediately appears on the output" +) +] +mwi 0 +uid 1201,0 +) +(Instance +name "rx2ToTx1" +duLibraryName "memory" +duName "fifoBridgeRxToTx" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "fifoDepth" +type "positive" +value "fifoDepth" +) +(GiElement +name "firstWordFallThrough" +type "boolean" +value "firstWordFallThrough" +e "first byte written into the FIFO immediately appears on the output" +) +] +mwi 0 +uid 1242,0 +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridge" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "fifoBridge" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:16" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../Demo/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "fifoBridge" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridge/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:45:16" +) +(vvPair +variable "unit" +value "fifoBridge" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 209,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "28000,20625,29500,21375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "29500,21000,30000,21000" +pts [ +"29500,21000" +"30000,21000" +] +) +] +) +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "23500,20300,27000,21600" +st "clock" +ju 2 +blo "27000,21300" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,7200,10000,8100" +st "clock : std_ulogic" +) +) +*3 (PortIoIn +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "28000,22625,29500,23375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "29500,23000,30000,23000" +pts [ +"29500,23000" +"30000,23000" +] +) +] +) +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "23500,22300,27000,23600" +st "reset" +ju 2 +blo "27000,23300" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 35,0 +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +declText (MLText +uid 36,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,8100,10000,9000" +st "reset : std_ulogic" +) +) +*5 (Grouping +uid 177,0 +optionalChildren [ +*6 (CommentText +uid 179,0 +shape (Rectangle +uid 180,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,65000,74000,66000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 181,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,65000,73400,66000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 182,0 +shape (Rectangle +uid 183,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "74000,61000,78000,62000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 184,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "74200,61000,77800,62000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 185,0 +shape (Rectangle +uid 186,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,63000,74000,64000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 187,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,63000,73400,64000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 188,0 +shape (Rectangle +uid 189,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,63000,57000,64000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 190,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,63000,56800,64000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 191,0 +shape (Rectangle +uid 192,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "74000,62000,94000,66000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 193,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "74200,62200,87400,63200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*11 (CommentText +uid 194,0 +shape (Rectangle +uid 195,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,61000,94000,62000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 196,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "78200,61000,93800,62000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*12 (CommentText +uid 197,0 +shape (Rectangle +uid 198,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,61000,74000,63000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 199,0 +va (VaSet +fg "32768,0,0" +) +xt "59000,61500,68000,62500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 200,0 +shape (Rectangle +uid 201,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,64000,57000,65000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 202,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,64000,56200,65000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*14 (CommentText +uid 203,0 +shape (Rectangle +uid 204,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,65000,57000,66000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 205,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,65000,56800,66000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*15 (CommentText +uid 206,0 +shape (Rectangle +uid 207,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,64000,74000,65000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 208,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,64000,72200,65000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 178,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "53000,61000,94000,66000" +) +oxt "14000,66000,55000,71000" +) +*16 (PortIoOut +uid 774,0 +shape (CompositeShape +uid 775,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 776,0 +sl 0 +ro 270 +xt "62500,40625,64000,41375" +) +(Line +uid 777,0 +sl 0 +ro 270 +xt "62000,41000,62500,41000" +pts [ +"62000,41000" +"62500,41000" +] +) +] +) +tg (WTG +uid 778,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 779,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "65000,40500,68500,41800" +st "txWr1" +blo "65000,41500" +tm "WireNameMgr" +) +) +) +*17 (Net +uid 786,0 +decl (Decl +n "txWr1" +t "std_ulogic" +o 13 +suid 13,0 +) +declText (MLText +uid 787,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,18000,10000,18900" +st "txWr1 : std_ulogic" +) +) +*18 (PortIoIn +uid 788,0 +shape (CompositeShape +uid 789,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 790,0 +sl 0 +ro 270 +xt "28000,12625,29500,13375" +) +(Line +uid 791,0 +sl 0 +ro 270 +xt "29500,13000,30000,13000" +pts [ +"29500,13000" +"30000,13000" +] +) +] +) +tg (WTG +uid 792,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 793,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "3900,12500,27000,13800" +st "rxData1 : (dataBitNb-1 DOWNTO 0)" +ju 2 +blo "27000,13500" +tm "WireNameMgr" +) +) +) +*19 (Net +uid 800,0 +decl (Decl +n "rxData1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 14,0 +) +declText (MLText +uid 801,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,9000,24500,9900" +st "rxData1 : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*20 (PortIoOut +uid 802,0 +shape (CompositeShape +uid 803,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 804,0 +sl 0 +ro 90 +xt "28000,16625,29500,17375" +) +(Line +uid 805,0 +sl 0 +ro 90 +xt "29500,17000,30000,17000" +pts [ +"30000,17000" +"29500,17000" +] +) +] +) +tg (WTG +uid 806,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 807,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "23500,16300,27000,17600" +st "rxRd1" +ju 2 +blo "27000,17300" +tm "WireNameMgr" +) +) +) +*21 (Net +uid 814,0 +decl (Decl +n "rxRd1" +t "std_ulogic" +o 9 +suid 15,0 +) +declText (MLText +uid 815,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,14400,10000,15300" +st "rxRd1 : std_ulogic" +) +) +*22 (PortIoIn +uid 816,0 +shape (CompositeShape +uid 817,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 818,0 +sl 0 +ro 90 +xt "62500,38625,64000,39375" +) +(Line +uid 819,0 +sl 0 +ro 90 +xt "62000,39000,62500,39000" +pts [ +"62500,39000" +"62000,39000" +] +) +] +) +tg (WTG +uid 820,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 821,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "65000,38300,69900,39600" +st "txFull1" +blo "65000,39300" +tm "WireNameMgr" +) +) +) +*23 (Net +uid 828,0 +decl (Decl +n "txFull1" +t "std_ulogic" +o 7 +suid 16,0 +) +declText (MLText +uid 829,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,12600,10000,13500" +st "txFull1 : std_ulogic" +) +) +*24 (PortIoIn +uid 830,0 +shape (CompositeShape +uid 831,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 832,0 +sl 0 +ro 270 +xt "28000,14625,29500,15375" +) +(Line +uid 833,0 +sl 0 +ro 270 +xt "29500,15000,30000,15000" +pts [ +"29500,15000" +"30000,15000" +] +) +] +) +tg (WTG +uid 834,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 835,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "21400,14500,27000,15800" +st "rxEmpty1" +ju 2 +blo "27000,15500" +tm "WireNameMgr" +) +) +) +*25 (Net +uid 842,0 +decl (Decl +n "rxEmpty1" +t "std_ulogic" +o 5 +suid 17,0 +) +declText (MLText +uid 843,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,10800,10000,11700" +st "rxEmpty1 : std_ulogic" +) +) +*26 (PortIoOut +uid 844,0 +shape (CompositeShape +uid 845,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 846,0 +sl 0 +ro 270 +xt "62500,36625,64000,37375" +) +(Line +uid 847,0 +sl 0 +ro 270 +xt "62000,37000,62500,37000" +pts [ +"62000,37000" +"62500,37000" +] +) +] +) +tg (WTG +uid 848,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 849,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "65000,36500,88100,37800" +st "txData1 : (dataBitNb-1 DOWNTO 0)" +blo "65000,37500" +tm "WireNameMgr" +) +) +) +*27 (Net +uid 856,0 +decl (Decl +n "txData1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 18,0 +) +declText (MLText +uid 857,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,16200,24500,17100" +st "txData1 : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*28 (PortIoOut +uid 858,0 +shape (CompositeShape +uid 859,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 860,0 +sl 0 +ro 90 +xt "28000,40625,29500,41375" +) +(Line +uid 861,0 +sl 0 +ro 90 +xt "29500,41000,30000,41000" +pts [ +"30000,41000" +"29500,41000" +] +) +] +) +tg (WTG +uid 862,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 863,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "23500,40300,27000,41600" +st "rxRd2" +ju 2 +blo "27000,41300" +tm "WireNameMgr" +) +) +) +*29 (Net +uid 870,0 +decl (Decl +n "rxRd2" +t "std_ulogic" +o 10 +suid 19,0 +) +declText (MLText +uid 871,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,15300,10000,16200" +st "rxRd2 : std_ulogic" +) +) +*30 (PortIoIn +uid 872,0 +shape (CompositeShape +uid 873,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 874,0 +sl 0 +ro 270 +xt "28000,36625,29500,37375" +) +(Line +uid 875,0 +sl 0 +ro 270 +xt "29500,37000,30000,37000" +pts [ +"29500,37000" +"30000,37000" +] +) +] +) +tg (WTG +uid 876,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 877,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "3900,36500,27000,37800" +st "rxData2 : (dataBitNb-1 DOWNTO 0)" +ju 2 +blo "27000,37500" +tm "WireNameMgr" +) +) +) +*31 (Net +uid 884,0 +decl (Decl +n "rxData2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 20,0 +) +declText (MLText +uid 885,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,9900,24500,10800" +st "rxData2 : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*32 (PortIoOut +uid 886,0 +shape (CompositeShape +uid 887,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 888,0 +sl 0 +ro 270 +xt "62500,12625,64000,13375" +) +(Line +uid 889,0 +sl 0 +ro 270 +xt "62000,13000,62500,13000" +pts [ +"62000,13000" +"62500,13000" +] +) +] +) +tg (WTG +uid 890,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 891,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "65000,12500,88100,13800" +st "txData2 : (dataBitNb-1 DOWNTO 0)" +blo "65000,13500" +tm "WireNameMgr" +) +) +) +*33 (Net +uid 898,0 +decl (Decl +n "txData2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 21,0 +) +declText (MLText +uid 899,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,17100,24500,18000" +st "txData2 : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*34 (PortIoIn +uid 900,0 +shape (CompositeShape +uid 901,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 902,0 +sl 0 +ro 90 +xt "62500,14625,64000,15375" +) +(Line +uid 903,0 +sl 0 +ro 90 +xt "62000,15000,62500,15000" +pts [ +"62500,15000" +"62000,15000" +] +) +] +) +tg (WTG +uid 904,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 905,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "65000,14300,69900,15600" +st "txFull2" +blo "65000,15300" +tm "WireNameMgr" +) +) +) +*35 (Net +uid 912,0 +decl (Decl +n "txFull2" +t "std_ulogic" +o 8 +suid 22,0 +) +declText (MLText +uid 913,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,13500,10000,14400" +st "txFull2 : std_ulogic" +) +) +*36 (PortIoIn +uid 914,0 +shape (CompositeShape +uid 915,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 916,0 +sl 0 +ro 270 +xt "28000,38625,29500,39375" +) +(Line +uid 917,0 +sl 0 +ro 270 +xt "29500,39000,30000,39000" +pts [ +"29500,39000" +"30000,39000" +] +) +] +) +tg (WTG +uid 918,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 919,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "21400,38500,27000,39800" +st "rxEmpty2" +ju 2 +blo "27000,39500" +tm "WireNameMgr" +) +) +) +*37 (Net +uid 926,0 +decl (Decl +n "rxEmpty2" +t "std_ulogic" +o 6 +suid 23,0 +) +declText (MLText +uid 927,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,11700,10000,12600" +st "rxEmpty2 : std_ulogic" +) +) +*38 (PortIoOut +uid 928,0 +shape (CompositeShape +uid 929,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 930,0 +sl 0 +ro 270 +xt "62500,16625,64000,17375" +) +(Line +uid 931,0 +sl 0 +ro 270 +xt "62000,17000,62500,17000" +pts [ +"62000,17000" +"62500,17000" +] +) +] +) +tg (WTG +uid 932,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 933,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "65000,16500,68500,17800" +st "txWr2" +blo "65000,17500" +tm "WireNameMgr" +) +) +) +*39 (Net +uid 940,0 +decl (Decl +n "txWr2" +t "std_ulogic" +o 14 +suid 24,0 +) +declText (MLText +uid 941,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,18900,10000,19800" +st "txWr2 : std_ulogic" +) +) +*40 (SaComponent +uid 1201,0 +optionalChildren [ +*41 (CptPort +uid 1169,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1170,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,20625,38000,21375" +) +tg (CPTG +uid 1171,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1172,0 +va (VaSet +font "courier,9,0" +) +xt "39000,20400,41500,21300" +st "clock" +blo "39000,21100" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*42 (CptPort +uid 1173,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1174,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,22625,38000,23375" +) +tg (CPTG +uid 1175,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1176,0 +va (VaSet +font "courier,9,0" +) +xt "39000,22400,41500,23300" +st "reset" +blo "39000,23100" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*43 (CptPort +uid 1177,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1178,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,12625,54750,13375" +) +tg (CPTG +uid 1179,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1180,0 +va (VaSet +font "courier,9,0" +) +xt "50500,12400,53000,13300" +st "data2" +ju 2 +blo "53000,13100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "data2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*44 (CptPort +uid 1181,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1182,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,14625,54750,15375" +) +tg (CPTG +uid 1183,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1184,0 +va (VaSet +font "courier,9,0" +) +xt "50500,14400,53000,15300" +st "full2" +ju 2 +blo "53000,15100" +) +) +thePort (LogicalPort +decl (Decl +n "full2" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*45 (CptPort +uid 1185,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1186,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,16625,54750,17375" +) +tg (CPTG +uid 1187,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1188,0 +va (VaSet +font "courier,9,0" +) +xt "51500,16400,53000,17300" +st "wr2" +ju 2 +blo "53000,17100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "wr2" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*46 (CptPort +uid 1189,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1190,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,14625,38000,15375" +) +tg (CPTG +uid 1191,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1192,0 +va (VaSet +font "courier,9,0" +) +xt "39000,14400,42000,15300" +st "empty1" +blo "39000,15100" +) +) +thePort (LogicalPort +decl (Decl +n "empty1" +t "std_ulogic" +o 7 +suid 6,0 +) +) +) +*47 (CptPort +uid 1193,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1194,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,12625,38000,13375" +) +tg (CPTG +uid 1195,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1196,0 +va (VaSet +font "courier,9,0" +) +xt "39000,12400,41500,13300" +st "data1" +blo "39000,13100" +) +) +thePort (LogicalPort +decl (Decl +n "data1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 7,0 +) +) +) +*48 (CptPort +uid 1197,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1198,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,16625,38000,17375" +) +tg (CPTG +uid 1199,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1200,0 +va (VaSet +font "courier,9,0" +) +xt "39000,16400,40500,17300" +st "rd1" +blo "39000,17100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "rd1" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 1202,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,9000,54000,25000" +) +oxt "35000,10000,51000,26000" +ttg (MlTextGroup +uid 1203,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*49 (Text +uid 1204,0 +va (VaSet +font "courier,9,1" +) +xt "38600,24800,41600,25700" +st "memory" +blo "38600,25500" +tm "BdLibraryNameMgr" +) +*50 (Text +uid 1205,0 +va (VaSet +font "courier,9,1" +) +xt "38600,26000,47100,26900" +st "fifoBridgeRxToTx" +blo "38600,26700" +tm "CptNameMgr" +) +*51 (Text +uid 1206,0 +va (VaSet +font "courier,9,1" +) +xt "38600,27200,42600,28100" +st "rx1ToTx2" +blo "38600,27900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1207,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1208,0 +text (MLText +uid 1209,0 +va (VaSet +font "courier,8,0" +) +xt "38000,28400,103000,31100" +st "dataBitNb = dataBitNb ( positive ) +fifoDepth = fifoDepth ( positive ) +firstWordFallThrough = firstWordFallThrough ( boolean ) --first byte written into the FIFO immediately appears on the output " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "fifoDepth" +type "positive" +value "fifoDepth" +) +(GiElement +name "firstWordFallThrough" +type "boolean" +value "firstWordFallThrough" +e "first byte written into the FIFO immediately appears on the output" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*52 (SaComponent +uid 1242,0 +optionalChildren [ +*53 (CptPort +uid 1210,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1211,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,44625,38000,45375" +) +tg (CPTG +uid 1212,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1213,0 +va (VaSet +font "courier,9,0" +) +xt "39000,44400,41500,45300" +st "clock" +blo "39000,45100" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*54 (CptPort +uid 1214,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1215,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,46625,38000,47375" +) +tg (CPTG +uid 1216,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1217,0 +va (VaSet +font "courier,9,0" +) +xt "39000,46400,41500,47300" +st "reset" +blo "39000,47100" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*55 (CptPort +uid 1218,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1219,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,36625,54750,37375" +) +tg (CPTG +uid 1220,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1221,0 +va (VaSet +font "courier,9,0" +) +xt "50500,36400,53000,37300" +st "data2" +ju 2 +blo "53000,37100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "data2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*56 (CptPort +uid 1222,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1223,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,38625,54750,39375" +) +tg (CPTG +uid 1224,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1225,0 +va (VaSet +font "courier,9,0" +) +xt "50500,38400,53000,39300" +st "full2" +ju 2 +blo "53000,39100" +) +) +thePort (LogicalPort +decl (Decl +n "full2" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*57 (CptPort +uid 1226,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1227,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,40625,54750,41375" +) +tg (CPTG +uid 1228,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1229,0 +va (VaSet +font "courier,9,0" +) +xt "51500,40400,53000,41300" +st "wr2" +ju 2 +blo "53000,41100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "wr2" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*58 (CptPort +uid 1230,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1231,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,38625,38000,39375" +) +tg (CPTG +uid 1232,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1233,0 +va (VaSet +font "courier,9,0" +) +xt "39000,38400,42000,39300" +st "empty1" +blo "39000,39100" +) +) +thePort (LogicalPort +decl (Decl +n "empty1" +t "std_ulogic" +o 7 +suid 6,0 +) +) +) +*59 (CptPort +uid 1234,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1235,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,36625,38000,37375" +) +tg (CPTG +uid 1236,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1237,0 +va (VaSet +font "courier,9,0" +) +xt "39000,36400,41500,37300" +st "data1" +blo "39000,37100" +) +) +thePort (LogicalPort +decl (Decl +n "data1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 7,0 +) +) +) +*60 (CptPort +uid 1238,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1239,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,40625,38000,41375" +) +tg (CPTG +uid 1240,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1241,0 +va (VaSet +font "courier,9,0" +) +xt "39000,40400,40500,41300" +st "rd1" +blo "39000,41100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "rd1" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 1243,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,33000,54000,49000" +) +oxt "35000,10000,51000,26000" +ttg (MlTextGroup +uid 1244,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +uid 1245,0 +va (VaSet +font "courier,9,1" +) +xt "38600,48800,41600,49700" +st "memory" +blo "38600,49500" +tm "BdLibraryNameMgr" +) +*62 (Text +uid 1246,0 +va (VaSet +font "courier,9,1" +) +xt "38600,49700,47100,50600" +st "fifoBridgeRxToTx" +blo "38600,50400" +tm "CptNameMgr" +) +*63 (Text +uid 1247,0 +va (VaSet +font "courier,9,1" +) +xt "38600,50600,42600,51500" +st "rx2ToTx1" +blo "38600,51300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1248,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1249,0 +text (MLText +uid 1250,0 +va (VaSet +font "courier,8,0" +) +xt "38000,52400,103000,55100" +st "dataBitNb = dataBitNb ( positive ) +fifoDepth = fifoDepth ( positive ) +firstWordFallThrough = firstWordFallThrough ( boolean ) --first byte written into the FIFO immediately appears on the output " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "fifoDepth" +type "positive" +value "fifoDepth" +) +(GiElement +name "firstWordFallThrough" +type "boolean" +value "firstWordFallThrough" +e "first byte written into the FIFO immediately appears on the output" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*64 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "30000,21000,37250,21000" +pts [ +"30000,21000" +"37250,21000" +] +) +start &1 +end &41 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +font "courier,12,0" +) +xt "30000,19600,33500,20900" +st "clock" +blo "30000,20600" +tm "WireNameMgr" +) +) +on &2 +) +*65 (Wire +uid 29,0 +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +) +xt "30000,23000,37250,23000" +pts [ +"30000,23000" +"37250,23000" +] +) +start &3 +end &42 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +font "courier,12,0" +) +xt "30000,21600,33500,22900" +st "reset" +blo "30000,22600" +tm "WireNameMgr" +) +) +on &4 +) +*66 (Wire +uid 780,0 +shape (OrthoPolyLine +uid 781,0 +va (VaSet +vasetType 3 +) +xt "54750,41000,62000,41000" +pts [ +"54750,41000" +"62000,41000" +] +) +start &57 +end &16 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 784,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 785,0 +va (VaSet +font "courier,12,0" +) +xt "58000,39600,61500,40900" +st "txWr1" +blo "58000,40600" +tm "WireNameMgr" +) +) +on &17 +) +*67 (Wire +uid 794,0 +shape (OrthoPolyLine +uid 795,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "30000,13000,37250,13000" +pts [ +"30000,13000" +"37250,13000" +] +) +start &18 +end &47 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 798,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 799,0 +va (VaSet +font "courier,12,0" +) +xt "30000,11600,34900,12900" +st "rxData1" +blo "30000,12600" +tm "WireNameMgr" +) +) +on &19 +) +*68 (Wire +uid 808,0 +shape (OrthoPolyLine +uid 809,0 +va (VaSet +vasetType 3 +) +xt "30000,17000,37250,17000" +pts [ +"37250,17000" +"30000,17000" +] +) +start &48 +end &20 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 812,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 813,0 +va (VaSet +font "courier,12,0" +) +xt "30000,15600,33500,16900" +st "rxRd1" +blo "30000,16600" +tm "WireNameMgr" +) +) +on &21 +) +*69 (Wire +uid 822,0 +shape (OrthoPolyLine +uid 823,0 +va (VaSet +vasetType 3 +) +xt "54750,39000,62000,39000" +pts [ +"62000,39000" +"54750,39000" +] +) +start &22 +end &56 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 826,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 827,0 +va (VaSet +font "courier,12,0" +) +xt "58000,37600,62900,38900" +st "txFull1" +blo "58000,38600" +tm "WireNameMgr" +) +) +on &23 +) +*70 (Wire +uid 836,0 +shape (OrthoPolyLine +uid 837,0 +va (VaSet +vasetType 3 +) +xt "30000,15000,37250,15000" +pts [ +"30000,15000" +"37250,15000" +] +) +start &24 +end &46 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 840,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 841,0 +va (VaSet +font "courier,12,0" +) +xt "30000,13600,35600,14900" +st "rxEmpty1" +blo "30000,14600" +tm "WireNameMgr" +) +) +on &25 +) +*71 (Wire +uid 850,0 +shape (OrthoPolyLine +uid 851,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "54750,37000,62000,37000" +pts [ +"54750,37000" +"62000,37000" +] +) +start &55 +end &26 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 854,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 855,0 +va (VaSet +font "courier,12,0" +) +xt "57000,35600,61900,36900" +st "txData1" +blo "57000,36600" +tm "WireNameMgr" +) +) +on &27 +) +*72 (Wire +uid 864,0 +shape (OrthoPolyLine +uid 865,0 +va (VaSet +vasetType 3 +) +xt "30000,41000,37250,41000" +pts [ +"37250,41000" +"30000,41000" +] +) +start &60 +end &28 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 868,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 869,0 +va (VaSet +font "courier,12,0" +) +xt "30000,39600,33500,40900" +st "rxRd2" +blo "30000,40600" +tm "WireNameMgr" +) +) +on &29 +) +*73 (Wire +uid 878,0 +shape (OrthoPolyLine +uid 879,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "30000,37000,37250,37000" +pts [ +"30000,37000" +"37250,37000" +] +) +start &30 +end &59 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 882,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 883,0 +va (VaSet +font "courier,12,0" +) +xt "30000,35600,34900,36900" +st "rxData2" +blo "30000,36600" +tm "WireNameMgr" +) +) +on &31 +) +*74 (Wire +uid 892,0 +shape (OrthoPolyLine +uid 893,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "54750,13000,62000,13000" +pts [ +"54750,13000" +"62000,13000" +] +) +start &43 +end &32 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 896,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 897,0 +va (VaSet +font "courier,12,0" +) +xt "57000,11600,61900,12900" +st "txData2" +blo "57000,12600" +tm "WireNameMgr" +) +) +on &33 +) +*75 (Wire +uid 906,0 +shape (OrthoPolyLine +uid 907,0 +va (VaSet +vasetType 3 +) +xt "54750,15000,62000,15000" +pts [ +"62000,15000" +"54750,15000" +] +) +start &34 +end &44 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 910,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 911,0 +va (VaSet +font "courier,12,0" +) +xt "58000,13600,62900,14900" +st "txFull2" +blo "58000,14600" +tm "WireNameMgr" +) +) +on &35 +) +*76 (Wire +uid 920,0 +shape (OrthoPolyLine +uid 921,0 +va (VaSet +vasetType 3 +) +xt "30000,39000,37250,39000" +pts [ +"30000,39000" +"37250,39000" +] +) +start &36 +end &58 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 924,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 925,0 +va (VaSet +font "courier,12,0" +) +xt "30000,37600,35600,38900" +st "rxEmpty2" +blo "30000,38600" +tm "WireNameMgr" +) +) +on &37 +) +*77 (Wire +uid 934,0 +shape (OrthoPolyLine +uid 935,0 +va (VaSet +vasetType 3 +) +xt "54750,17000,62000,17000" +pts [ +"54750,17000" +"62000,17000" +] +) +start &45 +end &38 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 938,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 939,0 +va (VaSet +font "courier,12,0" +) +xt "58000,15600,61500,16900" +st "txWr2" +blo "58000,16600" +tm "WireNameMgr" +) +) +on &39 +) +*78 (Wire +uid 1099,0 +shape (OrthoPolyLine +uid 1100,0 +va (VaSet +vasetType 3 +) +xt "34000,47000,37250,47000" +pts [ +"34000,47000" +"37250,47000" +] +) +end &54 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1105,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1106,0 +va (VaSet +font "courier,12,0" +) +xt "32000,45600,35500,46900" +st "reset" +blo "32000,46600" +tm "WireNameMgr" +) +) +on &4 +) +*79 (Wire +uid 1107,0 +shape (OrthoPolyLine +uid 1108,0 +va (VaSet +vasetType 3 +) +xt "34000,45000,37250,45000" +pts [ +"34000,45000" +"37250,45000" +] +) +end &53 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1113,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1114,0 +va (VaSet +font "courier,12,0" +) +xt "32000,43600,35500,44900" +st "clock" +blo "32000,44600" +tm "WireNameMgr" +) +) +on &2 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *80 (PackageList +uid 210,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 211,0 +va (VaSet +font "courier,8,1" +) +xt "-3000,0,3500,900" +st "Package List" +blo "-3000,700" +) +*82 (MLText +uid 212,0 +va (VaSet +) +xt "-3000,1000,15600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 213,0 +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +uid 214,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,30000,900" +st "Compiler Directives" +blo "20000,700" +) +*84 (Text +uid 215,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,1000,31500,1900" +st "Pre-module directives:" +blo "20000,1700" +) +*85 (MLText +uid 216,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32000,4000" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*86 (Text +uid 217,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,4000,32000,4900" +st "Post-module directives:" +blo "20000,4700" +) +*87 (MLText +uid 218,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*88 (Text +uid 219,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,5000,31500,5900" +st "End-module directives:" +blo "20000,5700" +) +*89 (MLText +uid 220,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "367,41,1424,909" +viewArea "-4497,-1499,104998,72675" +cachedDiagramExtent "-3000,0,103000,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 595 +paperHeight 842 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210mm x 297mm)" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-3000,0" +lastUid 1358,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*90 (Text +va (VaSet +) +xt "2450,3500,5550,4500" +st "" +blo "2450,4300" +tm "BdLibraryNameMgr" +) +*91 (Text +va (VaSet +) +xt "2450,4500,5150,5500" +st "" +blo "2450,5300" +tm "BlkNameMgr" +) +*92 (Text +va (VaSet +) +xt "2450,5500,3050,6500" +st "I0" +blo "2450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "2450,13500,2450,13500" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*94 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*95 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*96 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*97 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*98 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*100 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*101 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*102 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*103 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*104 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*105 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*106 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,2600,1200" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1200,2000" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5400,1000" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,10800,2000" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,17400,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*107 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*108 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,10800,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*109 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*110 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-3000,5400,3500,6300" +st "Declarations" +blo "-3000,6100" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-3000,6300,0,7200" +st "Ports:" +blo "-3000,7000" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-3000,19800,1500,20700" +st "Pre User:" +blo "-3000,20500" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-3000,5400,-3000,5400" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "-3000,20700,5500,21600" +st "Diagram Signals:" +blo "-3000,21400" +) +postUserLabel (Text +uid 7,0 +va (VaSet +font "courier,8,1" +) +xt "-3000,21600,2500,22500" +st "Post User:" +blo "-3000,22300" +) +postUserText (MLText +uid 8,0 +va (VaSet +font "courier,8,0" +) +xt "-3000,5400,-3000,5400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 24,0 +usingSuid 1 +emptyRow *111 (LEmptyRow +) +uid 652,0 +optionalChildren [ +*112 (RefLabelRowHdr +) +*113 (TitleRowHdr +) +*114 (FilterRowHdr +) +*115 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*116 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*117 (GroupColHdr +tm "GroupColHdrMgr" +) +*118 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*119 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*120 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*121 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*122 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*123 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*124 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 627,0 +) +*125 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 629,0 +) +*126 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "txWr1" +t "std_ulogic" +o 13 +suid 13,0 +) +) +uid 751,0 +) +*127 (LeafLogPort +port (LogicalPort +decl (Decl +n "rxData1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 14,0 +) +) +uid 753,0 +) +*128 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "rxRd1" +t "std_ulogic" +o 9 +suid 15,0 +) +) +uid 755,0 +) +*129 (LeafLogPort +port (LogicalPort +decl (Decl +n "txFull1" +t "std_ulogic" +o 7 +suid 16,0 +) +) +uid 757,0 +) +*130 (LeafLogPort +port (LogicalPort +decl (Decl +n "rxEmpty1" +t "std_ulogic" +o 5 +suid 17,0 +) +) +uid 759,0 +) +*131 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "txData1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 18,0 +) +) +uid 761,0 +) +*132 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "rxRd2" +t "std_ulogic" +o 10 +suid 19,0 +) +) +uid 763,0 +) +*133 (LeafLogPort +port (LogicalPort +decl (Decl +n "rxData2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 20,0 +) +) +uid 765,0 +) +*134 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "txData2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 21,0 +) +) +uid 767,0 +) +*135 (LeafLogPort +port (LogicalPort +decl (Decl +n "txFull2" +t "std_ulogic" +o 8 +suid 22,0 +) +) +uid 769,0 +) +*136 (LeafLogPort +port (LogicalPort +decl (Decl +n "rxEmpty2" +t "std_ulogic" +o 6 +suid 23,0 +) +) +uid 771,0 +) +*137 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "txWr2" +t "std_ulogic" +o 14 +suid 24,0 +) +) +uid 773,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 665,0 +optionalChildren [ +*138 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *139 (MRCItem +litem &111 +pos 14 +dimension 20 +) +uid 667,0 +optionalChildren [ +*140 (MRCItem +litem &112 +pos 0 +dimension 20 +uid 668,0 +) +*141 (MRCItem +litem &113 +pos 1 +dimension 23 +uid 669,0 +) +*142 (MRCItem +litem &114 +pos 2 +hidden 1 +dimension 20 +uid 670,0 +) +*143 (MRCItem +litem &124 +pos 0 +dimension 20 +uid 628,0 +) +*144 (MRCItem +litem &125 +pos 1 +dimension 20 +uid 630,0 +) +*145 (MRCItem +litem &126 +pos 6 +dimension 20 +uid 750,0 +) +*146 (MRCItem +litem &127 +pos 3 +dimension 20 +uid 752,0 +) +*147 (MRCItem +litem &128 +pos 5 +dimension 20 +uid 754,0 +) +*148 (MRCItem +litem &129 +pos 4 +dimension 20 +uid 756,0 +) +*149 (MRCItem +litem &130 +pos 2 +dimension 20 +uid 758,0 +) +*150 (MRCItem +litem &131 +pos 7 +dimension 20 +uid 760,0 +) +*151 (MRCItem +litem &132 +pos 8 +dimension 20 +uid 762,0 +) +*152 (MRCItem +litem &133 +pos 9 +dimension 20 +uid 764,0 +) +*153 (MRCItem +litem &134 +pos 10 +dimension 20 +uid 766,0 +) +*154 (MRCItem +litem &135 +pos 11 +dimension 20 +uid 768,0 +) +*155 (MRCItem +litem &136 +pos 12 +dimension 20 +uid 770,0 +) +*156 (MRCItem +litem &137 +pos 13 +dimension 20 +uid 772,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 671,0 +optionalChildren [ +*157 (MRCItem +litem &115 +pos 0 +dimension 20 +uid 672,0 +) +*158 (MRCItem +litem &117 +pos 1 +dimension 50 +uid 673,0 +) +*159 (MRCItem +litem &118 +pos 2 +dimension 100 +uid 674,0 +) +*160 (MRCItem +litem &119 +pos 3 +dimension 50 +uid 675,0 +) +*161 (MRCItem +litem &120 +pos 4 +dimension 100 +uid 676,0 +) +*162 (MRCItem +litem &121 +pos 5 +dimension 100 +uid 677,0 +) +*163 (MRCItem +litem &122 +pos 6 +dimension 50 +uid 678,0 +) +*164 (MRCItem +litem &123 +pos 7 +dimension 80 +uid 679,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 666,0 +vaOverrides [ +] +) +] +) +uid 651,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *165 (LEmptyRow +) +uid 681,0 +optionalChildren [ +*166 (RefLabelRowHdr +) +*167 (TitleRowHdr +) +*168 (FilterRowHdr +) +*169 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*170 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*171 (GroupColHdr +tm "GroupColHdrMgr" +) +*172 (NameColHdr +tm "GenericNameColHdrMgr" +) +*173 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*174 (InitColHdr +tm "GenericValueColHdrMgr" +) +*175 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*176 (EolColHdr +tm "GenericEolColHdrMgr" +) +*177 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 716,0 +) +*178 (LogGeneric +generic (GiElement +name "fifoDepth" +type "positive" +value "8" +) +uid 718,0 +) +*179 (LogGeneric +generic (GiElement +name "firstWordFallThrough" +type "boolean" +value "false" +e "first byte written into the FIFO immediately appears on the output" +) +uid 1428,0 +) +] +) +pdm (PhysicalDM +uid 693,0 +optionalChildren [ +*180 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *181 (MRCItem +litem &165 +pos 2 +dimension 20 +) +uid 695,0 +optionalChildren [ +*182 (MRCItem +litem &166 +pos 0 +dimension 20 +uid 696,0 +) +*183 (MRCItem +litem &167 +pos 1 +dimension 23 +uid 697,0 +) +*184 (MRCItem +litem &168 +pos 2 +hidden 1 +dimension 20 +uid 698,0 +) +*185 (MRCItem +litem &177 +pos 0 +dimension 20 +uid 715,0 +) +*186 (MRCItem +litem &178 +pos 1 +dimension 20 +uid 717,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 699,0 +optionalChildren [ +*187 (MRCItem +litem &169 +pos 0 +dimension 20 +uid 700,0 +) +*188 (MRCItem +litem &171 +pos 1 +dimension 50 +uid 701,0 +) +*189 (MRCItem +litem &172 +pos 2 +dimension 100 +uid 702,0 +) +*190 (MRCItem +litem &173 +pos 3 +dimension 100 +uid 703,0 +) +*191 (MRCItem +litem &174 +pos 4 +dimension 50 +uid 704,0 +) +*192 (MRCItem +litem &175 +pos 5 +dimension 50 +uid 705,0 +) +*193 (MRCItem +litem &176 +pos 6 +dimension 80 +uid 706,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 694,0 +vaOverrides [ +] +) +] +) +uid 680,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Memory/hds/fifo@bridge/symbol.sb b/Libs/Memory/hds/fifo@bridge/symbol.sb new file mode 100644 index 0000000..f4e8acb --- /dev/null +++ b/Libs/Memory/hds/fifo@bridge/symbol.sb @@ -0,0 +1,2251 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2019,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 313,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 315,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "txWr1" +t "std_ulogic" +o 13 +suid 12,0 +) +) +uid 316,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "rxData1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 9,0 +) +) +uid 318,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "rxRd1" +t "std_ulogic" +o 9 +suid 11,0 +) +) +uid 319,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "txFull1" +t "std_ulogic" +o 7 +suid 10,0 +) +) +uid 321,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "rxEmpty1" +t "std_ulogic" +o 5 +suid 8,0 +) +) +uid 322,0 +) +*8 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 323,0 +) +*9 (RefLabelRowHdr +) +*10 (TitleRowHdr +) +*11 (FilterRowHdr +) +*12 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*13 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*14 (GroupColHdr +tm "GroupColHdrMgr" +) +*15 (NameColHdr +tm "NameColHdrMgr" +) +*16 (ModeColHdr +tm "ModeColHdrMgr" +) +*17 (TypeColHdr +tm "TypeColHdrMgr" +) +*18 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*19 (InitColHdr +tm "InitColHdrMgr" +) +*20 (EolColHdr +tm "EolColHdrMgr" +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "txData1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 2013,0 +) +) +uid 411,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "rxRd2" +t "std_ulogic" +o 10 +suid 2014,0 +) +) +uid 413,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "rxData2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 2015,0 +) +) +uid 415,0 +) +*24 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "txData2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 2016,0 +) +) +uid 417,0 +) +*25 (LogPort +port (LogicalPort +decl (Decl +n "txFull2" +t "std_ulogic" +o 8 +suid 2017,0 +) +) +uid 419,0 +) +*26 (LogPort +port (LogicalPort +decl (Decl +n "rxEmpty2" +t "std_ulogic" +o 6 +suid 2018,0 +) +) +uid 421,0 +) +*27 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "txWr2" +t "std_ulogic" +o 14 +suid 2019,0 +) +) +uid 423,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 326,0 +optionalChildren [ +*28 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *29 (MRCItem +litem &1 +pos 14 +dimension 20 +) +uid 245,0 +optionalChildren [ +*30 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 248,0 +) +*31 (MRCItem +litem &10 +pos 1 +dimension 23 +uid 250,0 +) +*32 (MRCItem +litem &11 +pos 2 +hidden 1 +dimension 20 +uid 252,0 +) +*33 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 272,0 +) +*34 (MRCItem +litem &3 +pos 6 +dimension 20 +uid 273,0 +) +*35 (MRCItem +litem &4 +pos 3 +dimension 20 +uid 275,0 +) +*36 (MRCItem +litem &5 +pos 5 +dimension 20 +uid 276,0 +) +*37 (MRCItem +litem &6 +pos 4 +dimension 20 +uid 278,0 +) +*38 (MRCItem +litem &7 +pos 2 +dimension 20 +uid 279,0 +) +*39 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 280,0 +) +*40 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 412,0 +) +*41 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 414,0 +) +*42 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 416,0 +) +*43 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 418,0 +) +*44 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 420,0 +) +*45 (MRCItem +litem &26 +pos 12 +dimension 20 +uid 422,0 +) +*46 (MRCItem +litem &27 +pos 13 +dimension 20 +uid 424,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 246,0 +optionalChildren [ +*47 (MRCItem +litem &12 +pos 0 +dimension 20 +uid 254,0 +) +*48 (MRCItem +litem &14 +pos 1 +dimension 50 +uid 258,0 +) +*49 (MRCItem +litem &15 +pos 2 +dimension 100 +uid 260,0 +) +*50 (MRCItem +litem &16 +pos 3 +dimension 50 +uid 262,0 +) +*51 (MRCItem +litem &17 +pos 4 +dimension 100 +uid 264,0 +) +*52 (MRCItem +litem &18 +pos 5 +dimension 100 +uid 266,0 +) +*53 (MRCItem +litem &19 +pos 6 +dimension 50 +uid 268,0 +) +*54 (MRCItem +litem &20 +pos 7 +dimension 80 +uid 270,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 244,0 +vaOverrides [ +] +) +] +) +uid 312,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *55 (LEmptyRow +) +uid 328,0 +optionalChildren [ +*56 (RefLabelRowHdr +) +*57 (TitleRowHdr +) +*58 (FilterRowHdr +) +*59 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*60 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*61 (GroupColHdr +tm "GroupColHdrMgr" +) +*62 (NameColHdr +tm "GenericNameColHdrMgr" +) +*63 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*64 (InitColHdr +tm "GenericValueColHdrMgr" +) +*65 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*66 (EolColHdr +tm "GenericEolColHdrMgr" +) +*67 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 308,0 +) +*68 (LogGeneric +generic (GiElement +name "fifoDepth" +type "positive" +value "8" +) +uid 309,0 +) +*69 (LogGeneric +generic (GiElement +name "firstWordFallThrough" +type "boolean" +value "false" +e "first byte written into the FIFO immediately appears on the output" +) +uid 566,0 +) +] +) +pdm (PhysicalDM +uid 329,0 +optionalChildren [ +*70 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *71 (MRCItem +litem &55 +pos 2 +dimension 20 +) +uid 284,0 +optionalChildren [ +*72 (MRCItem +litem &56 +pos 0 +dimension 20 +uid 287,0 +) +*73 (MRCItem +litem &57 +pos 1 +dimension 23 +uid 289,0 +) +*74 (MRCItem +litem &58 +pos 2 +hidden 1 +dimension 20 +uid 291,0 +) +*75 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 310,0 +) +*76 (MRCItem +litem &68 +pos 1 +dimension 20 +uid 311,0 +) +*77 (MRCItem +litem &69 +pos 2 +dimension 20 +uid 565,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 285,0 +optionalChildren [ +*78 (MRCItem +litem &59 +pos 0 +dimension 20 +uid 293,0 +) +*79 (MRCItem +litem &61 +pos 1 +dimension 50 +uid 297,0 +) +*80 (MRCItem +litem &62 +pos 2 +dimension 100 +uid 299,0 +) +*81 (MRCItem +litem &63 +pos 3 +dimension 100 +uid 301,0 +) +*82 (MRCItem +litem &64 +pos 4 +dimension 50 +uid 303,0 +) +*83 (MRCItem +litem &65 +pos 5 +dimension 50 +uid 305,0 +) +*84 (MRCItem +litem &66 +pos 6 +dimension 80 +uid 307,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 283,0 +vaOverrides [ +] +) +] +) +uid 327,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridge" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "fifoBridge" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:15" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../Demo/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "fifoBridge" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridge/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:15" +) +(vvPair +variable "unit" +value "fifoBridge" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*85 (SymbolBody +uid 8,0 +optionalChildren [ +*86 (CptPort +uid 173,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 174,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,32625,40000,33375" +) +tg (CPTG +uid 175,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 176,0 +va (VaSet +font "courier,9,0" +) +xt "41000,32400,44400,33600" +st "clock" +blo "41000,33400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 177,0 +va (VaSet +font "courier,8,0" +) +xt "2000,7800,18000,8700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*87 (CptPort +uid 178,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 179,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,34625,40000,35375" +) +tg (CPTG +uid 180,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 181,0 +va (VaSet +font "courier,9,0" +) +xt "41000,34400,44300,35600" +st "reset" +blo "41000,35400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 182,0 +va (VaSet +font "courier,8,0" +) +xt "2000,8700,18000,9600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*88 (CptPort +uid 215,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 216,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,6625,40000,7375" +) +tg (CPTG +uid 217,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 218,0 +va (VaSet +font "courier,9,0" +) +xt "41000,6400,46600,7600" +st "rxEmpty1" +blo "41000,7400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 219,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11400,18000,12300" +st "rxEmpty1 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "rxEmpty1" +t "std_ulogic" +o 5 +suid 8,0 +) +) +) +*89 (CptPort +uid 220,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 448,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,4625,40000,5375" +) +tg (CPTG +uid 222,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 223,0 +va (VaSet +font "courier,9,0" +) +xt "41000,4400,45800,5600" +st "rxData1" +blo "41000,5400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 224,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9600,32500,10500" +st "rxData1 : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "rxData1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 9,0 +) +) +) +*90 (CptPort +uid 225,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 226,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,12625,40000,13375" +) +tg (CPTG +uid 227,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 228,0 +va (VaSet +font "courier,9,0" +) +xt "41000,12400,45200,13600" +st "txFull1" +blo "41000,13400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 229,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13200,18000,14100" +st "txFull1 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "txFull1" +t "std_ulogic" +o 7 +suid 10,0 +) +) +) +*91 (CptPort +uid 230,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 231,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,8625,40000,9375" +) +tg (CPTG +uid 232,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 233,0 +va (VaSet +font "courier,9,0" +) +xt "41000,8400,44700,9600" +st "rxRd1" +blo "41000,9400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 234,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15000,18000,15900" +st "rxRd1 : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "rxRd1" +t "std_ulogic" +o 9 +suid 11,0 +) +) +) +*92 (CptPort +uid 235,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 236,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,14625,40000,15375" +) +tg (CPTG +uid 237,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 238,0 +va (VaSet +font "courier,9,0" +) +xt "41000,14400,44700,15600" +st "txWr1" +blo "41000,15400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 239,0 +va (VaSet +font "courier,8,0" +) +xt "2000,18600,18000,19500" +st "txWr1 : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "txWr1" +t "std_ulogic" +o 13 +suid 12,0 +) +) +) +*93 (CptPort +uid 376,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 449,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,10625,40000,11375" +) +tg (CPTG +uid 378,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 379,0 +va (VaSet +font "courier,9,0" +) +xt "41000,10400,45800,11600" +st "txData1" +blo "41000,11400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 380,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16800,32500,17700" +st "txData1 : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "txData1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 2013,0 +) +) +) +*94 (CptPort +uid 381,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 382,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,22625,40000,23375" +) +tg (CPTG +uid 383,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 384,0 +va (VaSet +font "courier,9,0" +) +xt "41000,22400,44700,23600" +st "rxRd2" +blo "41000,23400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 385,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15900,18000,16800" +st "rxRd2 : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "rxRd2" +t "std_ulogic" +o 10 +suid 2014,0 +) +) +) +*95 (CptPort +uid 386,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 387,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,18625,40000,19375" +) +tg (CPTG +uid 388,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 389,0 +va (VaSet +font "courier,9,0" +) +xt "41000,18400,45800,19600" +st "rxData2" +blo "41000,19400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 390,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10500,32500,11400" +st "rxData2 : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "rxData2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 2015,0 +) +) +) +*96 (CptPort +uid 391,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 392,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,24625,40000,25375" +) +tg (CPTG +uid 393,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 394,0 +va (VaSet +font "courier,9,0" +) +xt "41000,24400,45800,25600" +st "txData2" +blo "41000,25400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 395,0 +va (VaSet +font "courier,8,0" +) +xt "2000,17700,32500,18600" +st "txData2 : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "txData2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 2016,0 +) +) +) +*97 (CptPort +uid 396,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 397,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,26625,40000,27375" +) +tg (CPTG +uid 398,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 399,0 +va (VaSet +font "courier,9,0" +) +xt "41000,26400,45200,27600" +st "txFull2" +blo "41000,27400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 400,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14100,18000,15000" +st "txFull2 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "txFull2" +t "std_ulogic" +o 8 +suid 2017,0 +) +) +) +*98 (CptPort +uid 401,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 402,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,20625,40000,21375" +) +tg (CPTG +uid 403,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 404,0 +va (VaSet +font "courier,9,0" +) +xt "41000,20400,46600,21600" +st "rxEmpty2" +blo "41000,21400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 405,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12300,18000,13200" +st "rxEmpty2 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "rxEmpty2" +t "std_ulogic" +o 6 +suid 2018,0 +) +) +) +*99 (CptPort +uid 406,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 407,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,28625,40000,29375" +) +tg (CPTG +uid 408,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 409,0 +va (VaSet +font "courier,9,0" +) +xt "41000,28400,44700,29600" +st "txWr2" +blo "41000,29400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 410,0 +va (VaSet +font "courier,8,0" +) +xt "2000,19500,17000,20400" +st "txWr2 : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "txWr2" +t "std_ulogic" +o 14 +suid 2019,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "40000,1000,56000,37000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "40600,36800,43600,37700" +st "Memory" +blo "40600,37500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "40600,37700,46100,38600" +st "fifoBridge" +blo "40600,38400" +) +) +gi *100 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "40000,39800,93000,44300" +st "Generic Declarations + +dataBitNb positive 8 +fifoDepth positive 8 +firstWordFallThrough boolean false --first byte written into the FIFO immediately appears on the output " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "fifoDepth" +type "positive" +value "8" +) +(GiElement +name "firstWordFallThrough" +type "boolean" +value "false" +e "first byte written into the FIFO immediately appears on the output" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*101 (Grouping +uid 16,0 +optionalChildren [ +*102 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*103 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*104 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*105 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*106 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*107 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*108 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*109 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*110 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*111 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *112 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*113 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*114 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "165,83,1343,971" +viewArea "-1071,-1071,74572,59980" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *115 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *116 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,5800,5400,6800" +st "Declarations" +blo "0,6600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,6800,2700,7800" +st "Ports:" +blo "0,7600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,20400,2500,21300" +st "User:" +blo "0,21100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,5800,5800,6800" +st "Internal User:" +blo "0,6600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,21300,2000,21300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,5800,0,5800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 589,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/Memory/hds/fifo@bridge@bus@width@adaption/struct.bd b/Libs/Memory/hds/fifo@bridge@bus@width@adaption/struct.bd new file mode 100644 index 0000000..ede687a --- /dev/null +++ b/Libs/Memory/hds/fifo@bridge@bus@width@adaption/struct.bd @@ -0,0 +1,3912 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +frameInstances [ +(FrameInstance +name "g_txbigger0" +style 1 +insts [ +(Instance +name "Txbigger0" +duLibraryName "Memory" +duName "fifoBridgeRxToTxBusWidthAdaptionTxbigger" +elements [ +(GiElement +name "dataBitNbRx" +type "positive" +value "dataBitNbRx" +) +(GiElement +name "dataBitNbTx" +type "positive" +value "dataBitNbTx" +) +] +mwi 0 +uid 251,0 +) +] +) +(FrameInstance +name "g_rxbigger0" +style 1 +insts [ +(Instance +name "Rxbigger0" +duLibraryName "Memory" +duName "fifoBridgeRxToTxBusWidthAdaptionRxBigger" +elements [ +(GiElement +name "dataBitNbRx" +type "positive" +value "dataBitNbRx" +) +(GiElement +name "dataBitNbTx" +type "positive" +value "dataBitNbTx" +) +] +mwi 0 +uid 241,0 +) +] +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@bus@width@adaption/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@bus@width@adaption/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@bus@width@adaption" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridgeBusWidthAdaption" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "fifoBridgeBusWidthAdaption" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:15" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_LIBS_DIR/Memory/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "fifoBridgeBusWidthAdaption" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@bus@width@adaption/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridgeBusWidthAdaption/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$DESIGN_SCRATCH_DIR\\$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:45:15" +) +(vvPair +variable "unit" +value "fifoBridgeBusWidthAdaption" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 184,0 +optionalChildren [ +*1 (PortIoOut +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "60500,15625,62000,16375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "60000,16000,60500,16000" +pts [ +"60000,16000" +"60500,16000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +) +xt "63000,15500,65600,16500" +st "dataTx" +blo "63000,16300" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +decl (Decl +n "dataTx" +t "std_ulogic_vector" +b "(dataBitNbTx-1 DOWNTO 0)" +o 1 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "courier,8,0" +) +xt "22000,2000,48000,2900" +st "dataTx : std_ulogic_vector(dataBitNbTx-1 DOWNTO 0)" +) +) +*3 (PortIoIn +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "-2000,15625,-500,16375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "-500,16000,0,16000" +pts [ +"-500,16000" +"0,16000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +) +xt "-5700,15500,-3000,16500" +st "dataRx" +ju 2 +blo "-3000,16300" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 35,0 +decl (Decl +n "dataRx" +t "std_ulogic_vector" +b "(dataBitNbRx-1 DOWNTO 0)" +o 2 +suid 2,0 +) +declText (MLText +uid 36,0 +va (VaSet +font "courier,8,0" +) +xt "22000,2800,48000,3700" +st "dataRx : std_ulogic_vector(dataBitNbRx-1 DOWNTO 0)" +) +) +*5 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 90 +xt "60500,17625,62000,18375" +) +(Line +uid 40,0 +sl 0 +ro 90 +xt "60000,18000,60500,18000" +pts [ +"60500,18000" +"60000,18000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +) +xt "63000,17500,65200,18500" +st "fullTx" +blo "63000,18300" +tm "WireNameMgr" +) +) +) +*6 (Net +uid 49,0 +decl (Decl +n "fullTx" +t "std_ulogic" +o 3 +suid 3,0 +) +declText (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "22000,3600,32500,4500" +st "fullTx : std_ulogic" +) +) +*7 (PortIoOut +uid 51,0 +shape (CompositeShape +uid 52,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 53,0 +sl 0 +ro 270 +xt "60500,19625,62000,20375" +) +(Line +uid 54,0 +sl 0 +ro 270 +xt "60000,20000,60500,20000" +pts [ +"60000,20000" +"60500,20000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 55,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 56,0 +va (VaSet +) +xt "63000,19500,65800,20500" +st "writeTx" +blo "63000,20300" +tm "WireNameMgr" +) +) +) +*8 (Net +uid 63,0 +decl (Decl +n "writeTx" +t "std_ulogic" +o 4 +suid 4,0 +) +declText (MLText +uid 64,0 +va (VaSet +font "courier,8,0" +) +xt "22000,4400,32500,5300" +st "writeTx : std_ulogic" +) +) +*9 (PortIoIn +uid 65,0 +shape (CompositeShape +uid 66,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 67,0 +sl 0 +ro 270 +xt "-2000,17625,-500,18375" +) +(Line +uid 68,0 +sl 0 +ro 270 +xt "-500,18000,0,18000" +pts [ +"-500,18000" +"0,18000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 69,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 70,0 +va (VaSet +) +xt "-6200,17500,-3000,18500" +st "emptyRx" +ju 2 +blo "-3000,18300" +tm "WireNameMgr" +) +) +) +*10 (Net +uid 77,0 +decl (Decl +n "emptyRx" +t "std_ulogic" +o 5 +suid 5,0 +) +declText (MLText +uid 78,0 +va (VaSet +font "courier,8,0" +) +xt "22000,5200,32500,6100" +st "emptyRx : std_ulogic" +) +) +*11 (PortIoIn +uid 79,0 +shape (CompositeShape +uid 80,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 81,0 +sl 0 +ro 270 +xt "-2000,47625,-500,48375" +) +(Line +uid 82,0 +sl 0 +ro 270 +xt "-500,48000,0,48000" +pts [ +"-500,48000" +"0,48000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 83,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 84,0 +va (VaSet +) +xt "-5100,47500,-3000,48500" +st "reset" +ju 2 +blo "-3000,48300" +tm "WireNameMgr" +) +) +) +*12 (Net +uid 91,0 +decl (Decl +n "reset" +t "std_uLogic" +o 6 +suid 6,0 +) +declText (MLText +uid 92,0 +va (VaSet +font "courier,8,0" +) +xt "22000,6000,32500,6900" +st "reset : std_uLogic" +) +) +*13 (PortIoOut +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 95,0 +sl 0 +ro 90 +xt "-2000,19625,-500,20375" +) +(Line +uid 96,0 +sl 0 +ro 90 +xt "-500,20000,0,20000" +pts [ +"0,20000" +"-500,20000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 97,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 98,0 +va (VaSet +) +xt "-5800,19500,-3000,20500" +st "readRx" +ju 2 +blo "-3000,20300" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 105,0 +decl (Decl +n "readRx" +t "std_ulogic" +o 7 +suid 7,0 +) +declText (MLText +uid 106,0 +va (VaSet +font "courier,8,0" +) +xt "22000,6800,32500,7700" +st "readRx : std_ulogic" +) +) +*15 (PortIoIn +uid 107,0 +shape (CompositeShape +uid 108,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 109,0 +sl 0 +ro 270 +xt "-2000,46625,-500,47375" +) +(Line +uid 110,0 +sl 0 +ro 270 +xt "-500,47000,0,47000" +pts [ +"-500,47000" +"0,47000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 111,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 112,0 +va (VaSet +) +xt "-5100,46500,-3000,47500" +st "clock" +ju 2 +blo "-3000,47300" +tm "WireNameMgr" +) +) +) +*16 (Net +uid 119,0 +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 8,0 +) +declText (MLText +uid 120,0 +va (VaSet +font "courier,8,0" +) +xt "22000,7600,32500,8500" +st "clock : std_ulogic" +) +) +*17 (Grouping +uid 141,0 +optionalChildren [ +*18 (CommentText +uid 143,0 +shape (Rectangle +uid 144,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-3000,-1000,14000,0" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 145,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-2800,-1000,14000,0" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*19 (CommentText +uid 146,0 +shape (Rectangle +uid 147,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,-5000,18000,-4000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 148,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "14200,-5000,17800,-4000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*20 (CommentText +uid 149,0 +shape (Rectangle +uid 150,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-3000,-3000,14000,-2000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 151,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-2800,-3000,13400,-2000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*21 (CommentText +uid 152,0 +shape (Rectangle +uid 153,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-7000,-3000,-3000,-2000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 154,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-6800,-3000,-3200,-2000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*22 (CommentText +uid 155,0 +shape (Rectangle +uid 156,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,-4000,34000,0" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 157,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "14200,-3800,27400,-2800" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*23 (CommentText +uid 158,0 +shape (Rectangle +uid 159,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,-5000,34000,-4000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 160,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "18200,-5000,20000,-4000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*24 (CommentText +uid 161,0 +shape (Rectangle +uid 162,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-7000,-5000,14000,-3000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 163,0 +va (VaSet +fg "32768,0,0" +) +xt "-1000,-4500,8000,-3500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*25 (CommentText +uid 164,0 +shape (Rectangle +uid 165,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-7000,-2000,-3000,-1000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 166,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-6800,-2000,-3800,-1000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*26 (CommentText +uid 167,0 +shape (Rectangle +uid 168,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-7000,-1000,-3000,0" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 169,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-6800,-1000,-3200,0" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*27 (CommentText +uid 170,0 +shape (Rectangle +uid 171,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-3000,-2000,14000,-1000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 172,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-2800,-2000,7400,-1000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 142,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "-7000,-5000,34000,0" +) +oxt "14000,66000,55000,71000" +) +*28 (Blk +uid 241,0 +shape (Rectangle +uid 242,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "29000,15000,37000,26000" +) +ttg (MlTextGroup +uid 243,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*29 (Text +uid 244,0 +va (VaSet +font "courier,8,1" +) +xt "29300,20500,32600,21500" +st "Memory" +blo "29300,21300" +tm "BdLibraryNameMgr" +) +*30 (Text +uid 245,0 +va (VaSet +font "courier,8,1" +) +xt "29300,21500,48400,22500" +st "fifoBridgeRxToTxBusWidthAdaptionRxBigger" +blo "29300,22300" +tm "BlkNameMgr" +) +*31 (Text +uid 246,0 +va (VaSet +font "courier,8,1" +) +xt "29300,22500,33500,23500" +st "Rxbigger0" +blo "29300,23300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 247,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 248,0 +text (MLText +uid 249,0 +va (VaSet +font "courier,8,0" +) +xt "29000,13400,51000,15200" +st "dataBitNbRx = dataBitNbRx ( positive ) +dataBitNbTx = dataBitNbTx ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNbRx" +type "positive" +value "dataBitNbRx" +) +(GiElement +name "dataBitNbTx" +type "positive" +value "dataBitNbTx" +) +] +) +viewicon (ZoomableIcon +uid 250,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "29250,24250,30750,25750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*32 (Blk +uid 251,0 +shape (Rectangle +uid 252,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "29000,33000,37000,44000" +) +oxt "29000,30000,37000,41000" +ttg (MlTextGroup +uid 253,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 254,0 +va (VaSet +font "courier,8,1" +) +xt "29300,38500,32600,39500" +st "Memory" +blo "29300,39300" +tm "BdLibraryNameMgr" +) +*34 (Text +uid 255,0 +va (VaSet +font "courier,8,1" +) +xt "29300,39500,48200,40500" +st "fifoBridgeRxToTxBusWidthAdaptionTxbigger" +blo "29300,40300" +tm "BlkNameMgr" +) +*35 (Text +uid 256,0 +va (VaSet +font "courier,8,1" +) +xt "29300,40500,33400,41500" +st "Txbigger0" +blo "29300,41300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 257,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 258,0 +text (MLText +uid 259,0 +va (VaSet +font "courier,8,0" +) +xt "29000,31400,51000,33200" +st "dataBitNbRx = dataBitNbRx ( positive ) +dataBitNbTx = dataBitNbTx ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNbRx" +type "positive" +value "dataBitNbRx" +) +(GiElement +name "dataBitNbTx" +type "positive" +value "dataBitNbTx" +) +] +) +viewicon (ZoomableIcon +uid 260,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "29250,42250,30750,43750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*36 (Frame +uid 416,0 +shape (RectFrame +uid 417,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "5000,30000,59000,45000" +) +title (TextAssociate +uid 418,0 +ps "TopLeftStrategy" +text (MLText +uid 419,0 +va (VaSet +) +xt "5350,28500,35950,29500" +st "g_txbigger0: IF dataBitNbTx > dataBitNbRx GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +uid 420,0 +ps "TopLeftStrategy" +shape (Rectangle +uid 421,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "5400,30300,6600,31700" +) +num (Text +uid 422,0 +va (VaSet +) +xt "5600,30500,6400,31500" +st "2" +blo "5600,31300" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +uid 423,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*37 (Text +uid 424,0 +va (VaSet +font "courier,8,1" +) +xt "53000,45000,60900,46000" +st "Frame Declarations" +blo "53000,45800" +) +*38 (MLText +uid 425,0 +va (VaSet +) +xt "53000,46000,53000,46000" +tm "BdFrameDeclTextMgr" +) +] +) +style 1 +) +*39 (Frame +uid 426,0 +shape (RectFrame +uid 427,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "5000,13000,59000,27000" +) +title (TextAssociate +uid 428,0 +ps "TopLeftStrategy" +text (MLText +uid 429,0 +va (VaSet +) +xt "5350,11500,36550,12500" +st "g_rxbigger0: IF dataBitNbRx >= dataBitNbTx GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +uid 430,0 +ps "TopLeftStrategy" +shape (Rectangle +uid 431,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "5400,13300,6600,14700" +) +num (Text +uid 432,0 +va (VaSet +) +xt "5600,13500,6400,14500" +st "1" +blo "5600,14300" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +uid 433,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*40 (Text +uid 434,0 +va (VaSet +font "courier,8,1" +) +xt "53000,27000,60900,28000" +st "Frame Declarations" +blo "53000,27800" +) +*41 (MLText +uid 435,0 +va (VaSet +) +xt "53000,28000,53000,28000" +tm "BdFrameDeclTextMgr" +) +] +) +style 1 +) +*42 (Wire +uid 15,0 +optionalChildren [ +*43 (BdJunction +uid 339,0 +ps "OnConnectorStrategy" +shape (Circle +uid 340,0 +va (VaSet +vasetType 1 +) +xt "41600,15600,42400,16400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "37000,16000,60000,16000" +pts [ +"60000,16000" +"37000,16000" +] +) +start &1 +end &28 +sat 32 +eat 2 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +isHidden 1 +) +xt "46000,15000,56000,16000" +st "dataTx : (dataBitNbTx-1:0)" +blo "46000,15800" +tm "WireNameMgr" +) +) +on &2 +) +*44 (Wire +uid 29,0 +optionalChildren [ +*45 (BdJunction +uid 331,0 +ps "OnConnectorStrategy" +shape (Circle +uid 332,0 +va (VaSet +vasetType 1 +) +xt "23600,15600,24400,16400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "0,16000,29000,16000" +pts [ +"0,16000" +"29000,16000" +] +) +start &3 +end &28 +sat 32 +eat 1 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +isHidden 1 +) +xt "2000,15000,12200,16000" +st "dataRx : (dataBitNbRx-1:0)" +blo "2000,15800" +tm "WireNameMgr" +) +) +on &4 +) +*46 (Wire +uid 43,0 +optionalChildren [ +*47 (BdJunction +uid 347,0 +ps "OnConnectorStrategy" +shape (Circle +uid 348,0 +va (VaSet +vasetType 1 +) +xt "42600,17600,43400,18400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +) +xt "37000,18000,60000,18000" +pts [ +"60000,18000" +"37000,18000" +] +) +start &5 +end &28 +sat 32 +eat 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +isHidden 1 +) +xt "49000,17000,51200,18000" +st "fullTx" +blo "49000,17800" +tm "WireNameMgr" +) +) +on &6 +) +*48 (Wire +uid 57,0 +optionalChildren [ +*49 (BdJunction +uid 355,0 +ps "OnConnectorStrategy" +shape (Circle +uid 356,0 +va (VaSet +vasetType 1 +) +xt "43600,19600,44400,20400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 58,0 +va (VaSet +vasetType 3 +) +xt "37000,20000,60000,20000" +pts [ +"60000,20000" +"37000,20000" +] +) +start &7 +end &28 +sat 32 +eat 2 +st 0 +sf 1 +si 0 +tg (WTG +uid 61,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +isHidden 1 +) +xt "46000,19000,48800,20000" +st "writeTx" +blo "46000,19800" +tm "WireNameMgr" +) +) +on &8 +) +*50 (Wire +uid 71,0 +optionalChildren [ +*51 (BdJunction +uid 323,0 +ps "OnConnectorStrategy" +shape (Circle +uid 324,0 +va (VaSet +vasetType 1 +) +xt "22600,17600,23400,18400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +) +xt "0,18000,29000,18000" +pts [ +"0,18000" +"29000,18000" +] +) +start &9 +end &28 +sat 32 +eat 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +isHidden 1 +) +xt "2000,17000,5200,18000" +st "emptyRx" +blo "2000,17800" +tm "WireNameMgr" +) +) +on &10 +) +*52 (Wire +uid 85,0 +optionalChildren [ +*53 (BdJunction +uid 283,0 +ps "OnConnectorStrategy" +shape (Circle +uid 284,0 +va (VaSet +vasetType 1 +) +xt "26600,47600,27400,48400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 86,0 +va (VaSet +vasetType 3 +) +xt "0,48000,65000,48000" +pts [ +"0,48000" +"65000,48000" +] +) +start &11 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 89,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 90,0 +va (VaSet +isHidden 1 +) +xt "2000,47000,4100,48000" +st "reset" +blo "2000,47800" +tm "WireNameMgr" +) +) +on &12 +) +*54 (Wire +uid 99,0 +optionalChildren [ +*55 (BdJunction +uid 315,0 +ps "OnConnectorStrategy" +shape (Circle +uid 316,0 +va (VaSet +vasetType 1 +) +xt "21600,19600,22400,20400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 100,0 +va (VaSet +vasetType 3 +) +xt "0,20000,29000,20000" +pts [ +"0,20000" +"29000,20000" +] +) +start &13 +end &28 +sat 32 +eat 2 +st 0 +sf 1 +si 0 +tg (WTG +uid 103,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 104,0 +va (VaSet +isHidden 1 +) +xt "19000,19000,21800,20000" +st "readRx" +blo "19000,19800" +tm "WireNameMgr" +) +) +on &14 +) +*56 (Wire +uid 113,0 +optionalChildren [ +*57 (BdJunction +uid 299,0 +ps "OnConnectorStrategy" +shape (Circle +uid 300,0 +va (VaSet +vasetType 1 +) +xt "25600,46600,26400,47400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 114,0 +va (VaSet +vasetType 3 +) +xt "0,47000,65000,47000" +pts [ +"0,47000" +"65000,47000" +] +) +start &15 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 117,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 118,0 +va (VaSet +isHidden 1 +) +xt "2000,46000,4100,47000" +st "clock" +blo "2000,46800" +tm "WireNameMgr" +) +) +on &16 +) +*58 (Wire +uid 277,0 +optionalChildren [ +*59 (BdJunction +uid 291,0 +ps "OnConnectorStrategy" +shape (Circle +uid 292,0 +va (VaSet +vasetType 1 +) +xt "26600,41600,27400,42400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 278,0 +va (VaSet +vasetType 3 +) +xt "27000,24000,29000,48000" +pts [ +"27000,48000" +"27000,24000" +"29000,24000" +] +) +start &53 +end &28 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 281,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 282,0 +va (VaSet +) +xt "26000,23000,28100,24000" +st "reset" +blo "26000,23800" +tm "WireNameMgr" +) +) +on &12 +) +*60 (Wire +uid 285,0 +shape (OrthoPolyLine +uid 286,0 +va (VaSet +vasetType 3 +) +xt "27000,42000,29000,42000" +pts [ +"27000,42000" +"29000,42000" +] +) +start &59 +end &32 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 289,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 290,0 +va (VaSet +) +xt "26000,41000,28100,42000" +st "reset" +blo "26000,41800" +tm "WireNameMgr" +) +) +on &12 +) +*61 (Wire +uid 293,0 +optionalChildren [ +*62 (BdJunction +uid 307,0 +ps "OnConnectorStrategy" +shape (Circle +uid 308,0 +va (VaSet +vasetType 1 +) +xt "25600,39600,26400,40400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 294,0 +va (VaSet +vasetType 3 +) +xt "26000,22000,29000,47000" +pts [ +"26000,47000" +"26000,22000" +"29000,22000" +] +) +start &57 +end &28 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 297,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 298,0 +va (VaSet +) +xt "26000,21000,28100,22000" +st "clock" +blo "26000,21800" +tm "WireNameMgr" +) +) +on &16 +) +*63 (Wire +uid 301,0 +shape (OrthoPolyLine +uid 302,0 +va (VaSet +vasetType 3 +) +xt "26000,40000,29000,40000" +pts [ +"26000,40000" +"29000,40000" +] +) +start &62 +end &32 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 305,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 306,0 +va (VaSet +) +xt "26000,39000,28100,40000" +st "clock" +blo "26000,39800" +tm "WireNameMgr" +) +) +on &16 +) +*64 (Wire +uid 309,0 +shape (OrthoPolyLine +uid 310,0 +va (VaSet +vasetType 3 +) +xt "22000,20000,29000,38000" +pts [ +"22000,20000" +"22000,38000" +"29000,38000" +] +) +start &55 +end &32 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 313,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 314,0 +va (VaSet +) +xt "25000,37000,27800,38000" +st "readRx" +blo "25000,37800" +tm "WireNameMgr" +) +) +on &14 +) +*65 (Wire +uid 317,0 +shape (OrthoPolyLine +uid 318,0 +va (VaSet +vasetType 3 +) +xt "23000,18000,29000,36000" +pts [ +"23000,18000" +"23000,36000" +"29000,36000" +] +) +start &51 +end &32 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 321,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 322,0 +va (VaSet +) +xt "25000,35000,28200,36000" +st "emptyRx" +blo "25000,35800" +tm "WireNameMgr" +) +) +on &10 +) +*66 (Wire +uid 325,0 +shape (OrthoPolyLine +uid 326,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "24000,16000,29000,34000" +pts [ +"24000,16000" +"24000,34000" +"29000,34000" +] +) +start &45 +end &32 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 329,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 330,0 +va (VaSet +) +xt "25000,33000,27700,34000" +st "dataRx" +blo "25000,33800" +tm "WireNameMgr" +) +) +on &4 +) +*67 (Wire +uid 333,0 +shape (OrthoPolyLine +uid 334,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "37000,16000,42000,34000" +pts [ +"42000,16000" +"42000,34000" +"37000,34000" +] +) +start &43 +end &32 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 337,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 338,0 +va (VaSet +) +xt "39000,33000,41600,34000" +st "dataTx" +blo "39000,33800" +tm "WireNameMgr" +) +) +on &2 +) +*68 (Wire +uid 341,0 +shape (OrthoPolyLine +uid 342,0 +va (VaSet +vasetType 3 +) +xt "37000,18000,43000,36000" +pts [ +"43000,18000" +"43000,36000" +"37000,36000" +] +) +start &47 +end &32 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 345,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 346,0 +va (VaSet +) +xt "39000,35000,41200,36000" +st "fullTx" +blo "39000,35800" +tm "WireNameMgr" +) +) +on &6 +) +*69 (Wire +uid 349,0 +shape (OrthoPolyLine +uid 350,0 +va (VaSet +vasetType 3 +) +xt "37000,20000,44000,38000" +pts [ +"44000,20000" +"44000,38000" +"37000,38000" +] +) +start &49 +end &32 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 353,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 354,0 +va (VaSet +) +xt "39000,37000,41800,38000" +st "writeTx" +blo "39000,37800" +tm "WireNameMgr" +) +) +on &8 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *70 (PackageList +uid 173,0 +stg "VerticalLayoutStrategy" +textVec [ +*71 (Text +uid 174,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*72 (MLText +uid 175,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 176,0 +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +uid 177,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*74 (Text +uid 178,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*75 (MLText +uid 179,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32000,4000" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*76 (Text +uid 180,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*77 (MLText +uid 181,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*78 (Text +uid 182,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*79 (MLText +uid 183,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "54,0,1681,1050" +viewArea "-19800,-14500,85125,52625" +cachedDiagramExtent "-7000,-5000,65800,48500" +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,-49000" +lastUid 917,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,3500,5800,4500" +st "" +blo "2200,4300" +tm "BdLibraryNameMgr" +) +*81 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,4500,5600,5500" +st "" +blo "2200,5300" +tm "BlkNameMgr" +) +*82 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,5500,4000,6500" +st "U_0" +blo "2200,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "2200,13500,2200,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +va (VaSet +font "courier,8,1" +) +xt "550,3500,3450,4500" +st "Library" +blo "550,4300" +) +*84 (Text +va (VaSet +font "courier,8,1" +) +xt "550,4500,7450,5500" +st "MWComponent" +blo "550,5300" +) +*85 (Text +va (VaSet +font "courier,8,1" +) +xt "550,5500,2350,6500" +st "U_0" +blo "550,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6450,1500,-6450,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*86 (Text +va (VaSet +font "courier,8,1" +) +xt "900,3500,3800,4500" +st "Library" +blo "900,4300" +tm "BdLibraryNameMgr" +) +*87 (Text +va (VaSet +font "courier,8,1" +) +xt "900,4500,7100,5500" +st "SaComponent" +blo "900,5300" +tm "CptNameMgr" +) +*88 (Text +va (VaSet +font "courier,8,1" +) +xt "900,5500,2700,6500" +st "U_0" +blo "900,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6100,1500,-6100,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*89 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3500,3400,4500" +st "Library" +blo "500,4300" +) +*90 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4500,7500,5500" +st "VhdlComponent" +blo "500,5300" +) +*91 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5500,2300,6500" +st "U_0" +blo "500,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1500,-6500,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-450,0,8450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*92 (Text +va (VaSet +font "courier,8,1" +) +xt "50,3500,2950,4500" +st "Library" +blo "50,4300" +) +*93 (Text +va (VaSet +font "courier,8,1" +) +xt "50,4500,7950,5500" +st "VerilogComponent" +blo "50,5300" +) +*94 (Text +va (VaSet +font "courier,8,1" +) +xt "50,5500,1850,6500" +st "U_0" +blo "50,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6950,1500,-6950,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*95 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,4000,4850,5000" +st "eb1" +blo "3150,4800" +tm "HdlTextNameMgr" +) +*96 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,5000,3950,6000" +st "1" +blo "3150,5800" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,2600,1200" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-500,-500,500,500" +st "G" +blo "-500,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,1900,1000" +st "sig0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2400,1000" +st "dbus0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,3000,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1200,2000" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,17400,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*97 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*98 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,10800,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*100 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "20000,0,25400,1000" +st "Declarations" +blo "20000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "20000,1000,22700,2000" +st "Ports:" +blo "20000,1800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,23800,1000" +st "Pre User:" +blo "20000,800" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "20000,8400,27100,9400" +st "Diagram Signals:" +blo "20000,9200" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,24700,1000" +st "Post User:" +blo "20000,800" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 8,0 +usingSuid 1 +emptyRow *101 (LEmptyRow +) +uid 186,0 +optionalChildren [ +*102 (RefLabelRowHdr +) +*103 (TitleRowHdr +) +*104 (FilterRowHdr +) +*105 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*106 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*107 (GroupColHdr +tm "GroupColHdrMgr" +) +*108 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*109 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*110 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*111 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*112 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*113 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*114 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "dataTx" +t "std_ulogic_vector" +b "(dataBitNbTx-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 121,0 +) +*115 (LeafLogPort +port (LogicalPort +decl (Decl +n "dataRx" +t "std_ulogic_vector" +b "(dataBitNbRx-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 123,0 +) +*116 (LeafLogPort +port (LogicalPort +decl (Decl +n "fullTx" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 125,0 +) +*117 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "writeTx" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 127,0 +) +*118 (LeafLogPort +port (LogicalPort +decl (Decl +n "emptyRx" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 129,0 +) +*119 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_uLogic" +o 6 +suid 6,0 +) +) +uid 131,0 +) +*120 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "readRx" +t "std_ulogic" +o 7 +suid 7,0 +) +) +uid 133,0 +) +*121 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 8,0 +) +) +uid 135,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 199,0 +optionalChildren [ +*122 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *123 (MRCItem +litem &101 +pos 8 +dimension 20 +) +uid 201,0 +optionalChildren [ +*124 (MRCItem +litem &102 +pos 0 +dimension 20 +uid 202,0 +) +*125 (MRCItem +litem &103 +pos 1 +dimension 23 +uid 203,0 +) +*126 (MRCItem +litem &104 +pos 2 +hidden 1 +dimension 20 +uid 204,0 +) +*127 (MRCItem +litem &114 +pos 1 +dimension 20 +uid 122,0 +) +*128 (MRCItem +litem &115 +pos 2 +dimension 20 +uid 124,0 +) +*129 (MRCItem +litem &116 +pos 4 +dimension 20 +uid 126,0 +) +*130 (MRCItem +litem &117 +pos 7 +dimension 20 +uid 128,0 +) +*131 (MRCItem +litem &118 +pos 3 +dimension 20 +uid 130,0 +) +*132 (MRCItem +litem &119 +pos 6 +dimension 20 +uid 132,0 +) +*133 (MRCItem +litem &120 +pos 5 +dimension 20 +uid 134,0 +) +*134 (MRCItem +litem &121 +pos 0 +dimension 20 +uid 136,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 205,0 +optionalChildren [ +*135 (MRCItem +litem &105 +pos 0 +dimension 20 +uid 206,0 +) +*136 (MRCItem +litem &107 +pos 1 +dimension 50 +uid 207,0 +) +*137 (MRCItem +litem &108 +pos 2 +dimension 100 +uid 208,0 +) +*138 (MRCItem +litem &109 +pos 3 +dimension 50 +uid 209,0 +) +*139 (MRCItem +litem &110 +pos 4 +dimension 100 +uid 210,0 +) +*140 (MRCItem +litem &111 +pos 5 +dimension 100 +uid 211,0 +) +*141 (MRCItem +litem &112 +pos 6 +dimension 50 +uid 212,0 +) +*142 (MRCItem +litem &113 +pos 7 +dimension 80 +uid 213,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 200,0 +vaOverrides [ +] +) +] +) +uid 185,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *143 (LEmptyRow +) +uid 215,0 +optionalChildren [ +*144 (RefLabelRowHdr +) +*145 (TitleRowHdr +) +*146 (FilterRowHdr +) +*147 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*148 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*149 (GroupColHdr +tm "GroupColHdrMgr" +) +*150 (NameColHdr +tm "GenericNameColHdrMgr" +) +*151 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*152 (InitColHdr +tm "GenericValueColHdrMgr" +) +*153 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*154 (EolColHdr +tm "GenericEolColHdrMgr" +) +*155 (LogGeneric +generic (GiElement +name "dataBitNbRx" +type "positive" +value "1" +) +uid 137,0 +) +*156 (LogGeneric +generic (GiElement +name "dataBitNbTx" +type "positive" +value "1" +) +uid 139,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 227,0 +optionalChildren [ +*157 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *158 (MRCItem +litem &143 +pos 2 +dimension 20 +) +uid 229,0 +optionalChildren [ +*159 (MRCItem +litem &144 +pos 0 +dimension 20 +uid 230,0 +) +*160 (MRCItem +litem &145 +pos 1 +dimension 23 +uid 231,0 +) +*161 (MRCItem +litem &146 +pos 2 +hidden 1 +dimension 20 +uid 232,0 +) +*162 (MRCItem +litem &155 +pos 0 +dimension 20 +uid 138,0 +) +*163 (MRCItem +litem &156 +pos 1 +dimension 20 +uid 140,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 233,0 +optionalChildren [ +*164 (MRCItem +litem &147 +pos 0 +dimension 20 +uid 234,0 +) +*165 (MRCItem +litem &149 +pos 1 +dimension 50 +uid 235,0 +) +*166 (MRCItem +litem &150 +pos 2 +dimension 100 +uid 236,0 +) +*167 (MRCItem +litem &151 +pos 3 +dimension 100 +uid 237,0 +) +*168 (MRCItem +litem &152 +pos 4 +dimension 50 +uid 238,0 +) +*169 (MRCItem +litem &153 +pos 5 +dimension 50 +uid 239,0 +) +*170 (MRCItem +litem &154 +pos 6 +dimension 80 +uid 240,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 228,0 +vaOverrides [ +] +) +] +) +uid 214,0 +type 1 +) +activeModelName "BlockDiag" +frameCount 2 +) diff --git a/Libs/Memory/hds/fifo@bridge@bus@width@adaption/symbol.sb b/Libs/Memory/hds/fifo@bridge@bus@width@adaption/symbol.sb new file mode 100644 index 0000000..344ccbd --- /dev/null +++ b/Libs/Memory/hds/fifo@bridge@bus@width@adaption/symbol.sb @@ -0,0 +1,1810 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 8,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 1,0 +) +) +uid 148,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataTx" +t "std_ulogic_vector" +b "(dataBitNbTx-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +uid 150,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "dataRx" +t "std_ulogic_vector" +b "(dataBitNbRx-1 DOWNTO 0)" +o 2 +suid 3,0 +) +) +uid 152,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "emptyRx" +t "std_ulogic" +o 5 +suid 4,0 +) +) +uid 154,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "fullTx" +t "std_ulogic" +o 3 +suid 5,0 +) +) +uid 156,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "readRx" +t "std_ulogic" +o 7 +suid 6,0 +) +) +uid 158,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_uLogic" +o 6 +suid 7,0 +) +) +uid 160,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "writeTx" +t "std_ulogic" +o 4 +suid 8,0 +) +) +uid 162,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 68,0 +optionalChildren [ +*24 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*26 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*27 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 149,0 +) +*28 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 151,0 +) +*29 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 153,0 +) +*30 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 155,0 +) +*31 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 157,0 +) +*32 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 159,0 +) +*33 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 161,0 +) +*34 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 163,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*35 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*36 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*37 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*38 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*39 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*40 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*41 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*42 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "dataBitNbRx" +type "positive" +value "1" +) +uid 188,0 +) +*56 (LogGeneric +generic (GiElement +name "dataBitNbTx" +type "positive" +value "1" +) +uid 190,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*57 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *58 (MRCItem +litem &43 +pos 2 +dimension 20 +) +uid 96,0 +optionalChildren [ +*59 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 97,0 +) +*60 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 98,0 +) +*61 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*62 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 189,0 +) +*63 (MRCItem +litem &56 +pos 1 +dimension 20 +uid 191,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*64 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 101,0 +) +*65 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 102,0 +) +*66 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 103,0 +) +*67 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 104,0 +) +*68 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 105,0 +) +*69 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 106,0 +) +*70 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@bus@width@adaption/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@bus@width@adaption/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@bus@width@adaption" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridgeBusWidthAdaption" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "fifoBridgeBusWidthAdaption" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:15" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "fifoBridgeBusWidthAdaption" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@bus@width@adaption/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridgeBusWidthAdaption/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:15" +) +(vvPair +variable "unit" +value "fifoBridgeBusWidthAdaption" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 108,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 109,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,21625,15000,22375" +) +tg (CPTG +uid 110,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 111,0 +va (VaSet +) +xt "16000,21500,18100,22500" +st "clock" +blo "16000,22300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 112,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8300,58500,9200" +st "clock : IN std_ulogic " +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 1,0 +) +) +) +*73 (CptPort +uid 113,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 114,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,15625,23750,16375" +) +tg (CPTG +uid 115,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 116,0 +va (VaSet +) +xt "19400,15500,22000,16500" +st "dataTx" +ju 2 +blo "22000,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 117,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,75000,2900" +st "dataTx : OUT std_ulogic_vector (dataBitNbTx-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataTx" +t "std_ulogic_vector" +b "(dataBitNbTx-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +*74 (CptPort +uid 118,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 119,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,15625,15000,16375" +) +tg (CPTG +uid 120,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 121,0 +va (VaSet +) +xt "16000,15500,18700,16500" +st "dataRx" +blo "16000,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 122,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,75000,3800" +st "dataRx : IN std_ulogic_vector (dataBitNbRx-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataRx" +t "std_ulogic_vector" +b "(dataBitNbRx-1 DOWNTO 0)" +o 2 +suid 3,0 +) +) +) +*75 (CptPort +uid 123,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 124,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,17625,15000,18375" +) +tg (CPTG +uid 125,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 126,0 +va (VaSet +) +xt "16000,17500,19200,18500" +st "emptyRx" +blo "16000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 127,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5600,59500,6500" +st "emptyRx : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "emptyRx" +t "std_ulogic" +o 5 +suid 4,0 +) +) +) +*76 (CptPort +uid 128,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 129,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,17625,23750,18375" +) +tg (CPTG +uid 130,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 131,0 +va (VaSet +) +xt "19800,17500,22000,18500" +st "fullTx" +ju 2 +blo "22000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 132,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,59500,4700" +st "fullTx : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "fullTx" +t "std_ulogic" +o 3 +suid 5,0 +) +) +) +*77 (CptPort +uid 133,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 134,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,19625,15000,20375" +) +tg (CPTG +uid 135,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 136,0 +va (VaSet +) +xt "16000,19500,18800,20500" +st "readRx" +blo "16000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 137,0 +va (VaSet +font "courier,8,0" +) +xt "44000,7400,59500,8300" +st "readRx : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "readRx" +t "std_ulogic" +o 7 +suid 6,0 +) +) +) +*78 (CptPort +uid 138,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 139,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,23625,15000,24375" +) +tg (CPTG +uid 140,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 141,0 +va (VaSet +) +xt "16000,23500,18100,24500" +st "reset" +blo "16000,24300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 142,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,59500,7400" +st "reset : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_uLogic" +o 6 +suid 7,0 +) +) +) +*79 (CptPort +uid 143,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 144,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,19625,23750,20375" +) +tg (CPTG +uid 145,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 146,0 +va (VaSet +) +xt "19200,19500,22000,20500" +st "writeTx" +ju 2 +blo "22000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 147,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,59500,5600" +st "writeTx : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "writeTx" +t "std_ulogic" +o 4 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 187,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,14000,23000,26000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "15600,26000,18600,26900" +st "Memory" +blo "15600,26700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "15600,26900,29100,27800" +st "fifoBridgeBusWidthAdaption" +blo "15600,27600" +) +) +gi *80 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "15000,10800,27500,14400" +st "Generic Declarations + +dataBitNbRx positive 1 +dataBitNbTx positive 1 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNbRx" +type "positive" +value "1" +) +(GiElement +name "dataBitNbTx" +type "positive" +value "1" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*81 (Grouping +uid 16,0 +optionalChildren [ +*82 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-27000,-1000,-10000,0" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-26800,-1000,-11800,0" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-10000,-5000,-6000,-4000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-9800,-5000,-6200,-4000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-27000,-3000,-10000,-2000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-26800,-3000,-10600,-2000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-31000,-3000,-27000,-2000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-30800,-3000,-27200,-2000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-10000,-4000,10000,0" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-9800,-3800,3400,-2800" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-6000,-5000,10000,-4000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-5800,-5000,-4000,-4000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-31000,-5000,-10000,-3000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "-25000,-4500,-16000,-3500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-31000,-2000,-27000,-1000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-30800,-2000,-27800,-1000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-31000,-1000,-27000,0" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-30800,-1000,-27200,0" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-27000,-2000,-10000,-1000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-26800,-2000,-16600,-1000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "-31000,-5000,10000,0" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *92 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*94 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,6000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "54,0,1681,1050" +viewArea "-39700,-13800,65825,53925" +cachedDiagramExtent "-31000,-5000,76500,28000" +hasePageBreakOrigin 1 +pageBreakOrigin "-31000,-49000" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *95 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *96 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,9200,44500,10100" +st "User:" +blo "42000,9900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,10100,44000,10100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 308,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory/hds/fifo@bridge@rx@to@tx/symbol.sb b/Libs/Memory/hds/fifo@bridge@rx@to@tx/symbol.sb new file mode 100644 index 0000000..de73111 --- /dev/null +++ b/Libs/Memory/hds/fifo@bridge@rx@to@tx/symbol.sb @@ -0,0 +1,1875 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2008,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 309,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "wr2" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 310,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 311,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "full2" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 312,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "rd1" +t "std_ulogic" +o 8 +suid 8,0 +) +) +uid 313,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "data1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 7,0 +) +) +uid 314,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 315,0 +) +*8 (LogPort +port (LogicalPort +decl (Decl +n "empty1" +t "std_ulogic" +o 7 +suid 6,0 +) +) +uid 316,0 +) +*9 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "data2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +uid 317,0 +) +*10 (RefLabelRowHdr +) +*11 (TitleRowHdr +) +*12 (FilterRowHdr +) +*13 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*14 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*15 (GroupColHdr +tm "GroupColHdrMgr" +) +*16 (NameColHdr +tm "NameColHdrMgr" +) +*17 (ModeColHdr +tm "ModeColHdrMgr" +) +*18 (TypeColHdr +tm "TypeColHdrMgr" +) +*19 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*20 (InitColHdr +tm "InitColHdrMgr" +) +*21 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 318,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 245,0 +optionalChildren [ +*24 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 248,0 +) +*25 (MRCItem +litem &11 +pos 1 +dimension 23 +uid 250,0 +) +*26 (MRCItem +litem &12 +pos 2 +hidden 1 +dimension 20 +uid 252,0 +) +*27 (MRCItem +litem &2 +pos 4 +dimension 20 +uid 271,0 +) +*28 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 272,0 +) +*29 (MRCItem +litem &4 +pos 3 +dimension 20 +uid 273,0 +) +*30 (MRCItem +litem &5 +pos 7 +dimension 20 +uid 274,0 +) +*31 (MRCItem +litem &6 +pos 5 +dimension 20 +uid 275,0 +) +*32 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 276,0 +) +*33 (MRCItem +litem &8 +pos 6 +dimension 20 +uid 277,0 +) +*34 (MRCItem +litem &9 +pos 2 +dimension 20 +uid 278,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 246,0 +optionalChildren [ +*35 (MRCItem +litem &13 +pos 0 +dimension 20 +uid 254,0 +) +*36 (MRCItem +litem &15 +pos 1 +dimension 50 +uid 258,0 +) +*37 (MRCItem +litem &16 +pos 2 +dimension 100 +uid 260,0 +) +*38 (MRCItem +litem &17 +pos 3 +dimension 50 +uid 262,0 +) +*39 (MRCItem +litem &18 +pos 4 +dimension 100 +uid 264,0 +) +*40 (MRCItem +litem &19 +pos 5 +dimension 100 +uid 266,0 +) +*41 (MRCItem +litem &20 +pos 6 +dimension 50 +uid 268,0 +) +*42 (MRCItem +litem &21 +pos 7 +dimension 80 +uid 270,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 244,0 +vaOverrides [ +] +) +] +) +uid 308,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 320,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 304,0 +) +*56 (LogGeneric +generic (GiElement +name "fifoDepth" +type "positive" +value "8" +) +uid 305,0 +) +*57 (LogGeneric +generic (GiElement +name "firstWordFallThrough" +type "boolean" +value "false" +e "first byte written into the FIFO immediately appears on the output" +) +uid 370,0 +) +] +) +pdm (PhysicalDM +uid 321,0 +optionalChildren [ +*58 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *59 (MRCItem +litem &43 +pos 3 +dimension 20 +) +uid 280,0 +optionalChildren [ +*60 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 283,0 +) +*61 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 285,0 +) +*62 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 287,0 +) +*63 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 306,0 +) +*64 (MRCItem +litem &56 +pos 1 +dimension 20 +uid 307,0 +) +*65 (MRCItem +litem &57 +pos 2 +dimension 20 +uid 371,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 281,0 +optionalChildren [ +*66 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 289,0 +) +*67 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 293,0 +) +*68 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 295,0 +) +*69 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 297,0 +) +*70 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 299,0 +) +*71 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 301,0 +) +*72 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 303,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 279,0 +vaOverrides [ +] +) +] +) +uid 319,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridgeRxToTx" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "fifoBridgeRxToTx" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:14" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../Demo/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "fifoBridgeRxToTx" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridgeRxToTx/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:14" +) +(vvPair +variable "unit" +value "fifoBridgeRxToTx" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*73 (SymbolBody +uid 8,0 +optionalChildren [ +*74 (CptPort +uid 173,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 174,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,21625,35000,22375" +) +tg (CPTG +uid 175,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 176,0 +va (VaSet +font "courier,9,0" +) +xt "36000,21400,39400,22600" +st "clock" +blo "36000,22400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 177,0 +va (VaSet +font "courier,8,0" +) +xt "2000,7800,17000,8700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*75 (CptPort +uid 178,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 179,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,23625,35000,24375" +) +tg (CPTG +uid 180,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 181,0 +va (VaSet +font "courier,9,0" +) +xt "36000,23400,39300,24600" +st "reset" +blo "36000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 182,0 +va (VaSet +font "courier,8,0" +) +xt "2000,8700,17000,9600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*76 (CptPort +uid 188,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 322,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,13625,51750,14375" +) +tg (CPTG +uid 190,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 191,0 +va (VaSet +font "courier,9,0" +) +xt "46400,13400,50000,14600" +st "data2" +ju 2 +blo "50000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 192,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9600,31500,10500" +st "data2 : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "data2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*77 (CptPort +uid 198,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 241,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,15625,51750,16375" +) +tg (CPTG +uid 200,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 201,0 +va (VaSet +font "courier,9,0" +) +xt "47100,15400,50000,16600" +st "full2" +ju 2 +blo "50000,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 202,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10500,17000,11400" +st "full2 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "full2" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*78 (CptPort +uid 208,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 243,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,17625,51750,18375" +) +tg (CPTG +uid 210,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 211,0 +va (VaSet +font "courier,9,0" +) +xt "47500,17400,50000,18600" +st "wr2" +ju 2 +blo "50000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 212,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11400,17000,12300" +st "wr2 : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "wr2" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*79 (CptPort +uid 215,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 216,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,15625,35000,16375" +) +tg (CPTG +uid 217,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 218,0 +va (VaSet +font "courier,9,0" +) +xt "36000,15400,40500,16600" +st "empty1" +blo "36000,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 219,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13200,17000,14100" +st "empty1 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "empty1" +t "std_ulogic" +o 7 +suid 6,0 +) +) +) +*80 (CptPort +uid 220,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 323,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,13625,35000,14375" +) +tg (CPTG +uid 222,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 223,0 +va (VaSet +font "courier,9,0" +) +xt "36000,13400,39600,14600" +st "data1" +blo "36000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 224,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12300,31500,13200" +st "data1 : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "data1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 7,0 +) +) +) +*81 (CptPort +uid 230,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 231,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,17625,35000,18375" +) +tg (CPTG +uid 232,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 233,0 +va (VaSet +font "courier,9,0" +) +xt "36000,17400,38400,18600" +st "rd1" +blo "36000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 234,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14100,16000,15000" +st "rd1 : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "rd1" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,10000,51000,26000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "35600,25800,38600,26700" +st "Memory" +blo "35600,26500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "35600,26700,44100,27600" +st "fifoBridgeRxToTx" +blo "35600,27400" +) +) +gi *82 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "35000,28800,88000,33300" +st "Generic Declarations + +dataBitNb positive 8 +fifoDepth positive 8 +firstWordFallThrough boolean false --first byte written into the FIFO immediately appears on the output " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "fifoDepth" +type "positive" +value "8" +) +(GiElement +name "firstWordFallThrough" +type "boolean" +value "false" +e "first byte written into the FIFO immediately appears on the output" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*83 (Grouping +uid 16,0 +optionalChildren [ +*84 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*85 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*86 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*87 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*89 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*90 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*91 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*92 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*93 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *94 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*95 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*96 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "-1428,42,-414,976" +viewArea "-1000,-1000,72284,71447" +cachedDiagramExtent "0,0,89500,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *97 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *98 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,5800,5400,6800" +st "Declarations" +blo "0,6600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,6800,2700,7800" +st "Ports:" +blo "0,7600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,15000,2500,15900" +st "User:" +blo "0,15700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,5800,5800,6800" +st "Internal User:" +blo "0,6600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15900,2000,15900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,5800,0,5800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 394,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@rx@bigger/interface b/Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@rx@bigger/interface new file mode 100644 index 0000000..841657b --- /dev/null +++ b/Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@rx@bigger/interface @@ -0,0 +1,1823 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 32,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 109,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 25,0 +) +) +uid 504,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "dataRx" +t "std_ulogic_vector" +b "(dataBitNbRx-1 DOWNTO 0)" +o 2 +suid 26,0 +) +) +uid 506,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataTx" +t "std_ulogic_vector" +b "(dataBitNbTx-1 DOWNTO 0)" +o 1 +suid 27,0 +) +) +uid 508,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "emptyRx" +t "std_ulogic" +o 5 +suid 28,0 +) +) +uid 510,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "fullTx" +t "std_ulogic" +o 3 +suid 29,0 +) +) +uid 512,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "readRx" +t "std_ulogic" +o 7 +suid 30,0 +) +) +uid 514,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_uLogic" +o 6 +suid 31,0 +) +) +uid 516,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "writeTx" +t "std_ulogic" +o 4 +suid 32,0 +) +) +uid 518,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 124,0 +optionalChildren [ +*24 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 125,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 126,0 +) +*26 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*27 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 505,0 +) +*28 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 507,0 +) +*29 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 509,0 +) +*30 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 511,0 +) +*31 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 513,0 +) +*32 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 515,0 +) +*33 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 517,0 +) +*34 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 519,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*35 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 129,0 +) +*36 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 130,0 +) +*37 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 131,0 +) +*38 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 132,0 +) +*39 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 133,0 +) +*40 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 134,0 +) +*41 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 135,0 +) +*42 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 136,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 108,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 138,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "dataBitNbRx" +type "positive" +value "1" +) +uid 187,0 +) +*56 (LogGeneric +generic (GiElement +name "dataBitNbTx" +type "positive" +value "1" +) +uid 189,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 150,0 +optionalChildren [ +*57 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *58 (MRCItem +litem &43 +pos 2 +dimension 20 +) +uid 152,0 +optionalChildren [ +*59 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 153,0 +) +*60 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 154,0 +) +*61 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 155,0 +) +*62 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 188,0 +) +*63 (MRCItem +litem &56 +pos 1 +dimension 20 +uid 190,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 156,0 +optionalChildren [ +*64 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 157,0 +) +*65 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 158,0 +) +*66 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 159,0 +) +*67 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 160,0 +) +*68 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 161,0 +) +*69 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 162,0 +) +*70 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 163,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 151,0 +vaOverrides [ +] +) +] +) +uid 137,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@rx@bigger/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@rx@bigger/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@rx@bigger" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridgeRxToTxBusWidthAdaptionRxBigger" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "fifoBridgeRxToTxBusWidthAdaptionRxBigger" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:15" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_LIBS_DIR/Memory/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "fifoBridgeRxToTxBusWidthAdaptionRxBigger" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@rx@bigger/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridgeRxToTxBusWidthAdaptionRxBigger/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$DESIGN_SCRATCH_DIR\\$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:45:15" +) +(vvPair +variable "unit" +value "fifoBridgeRxToTxBusWidthAdaptionRxBigger" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 107,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 464,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 465,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,12625,15000,13375" +) +tg (CPTG +uid 466,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 467,0 +va (VaSet +font "courier,8,0" +) +xt "16000,12550,18500,13450" +st "clock" +blo "16000,13250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 468,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,59500,2900" +st "clock : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 25,0 +) +) +) +*73 (CptPort +uid 469,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 470,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,6625,15000,7375" +) +tg (CPTG +uid 471,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 472,0 +va (VaSet +font "courier,8,0" +) +xt "16000,6550,19000,7450" +st "dataRx" +blo "16000,7250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 473,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,75000,3800" +st "dataRx : IN std_ulogic_vector (dataBitNbRx-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "dataRx" +t "std_ulogic_vector" +b "(dataBitNbRx-1 DOWNTO 0)" +o 2 +suid 26,0 +) +) +) +*74 (CptPort +uid 474,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 475,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,6625,23750,7375" +) +tg (CPTG +uid 476,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 477,0 +va (VaSet +font "courier,8,0" +) +xt "19000,6550,22000,7450" +st "dataTx" +ju 2 +blo "22000,7250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 478,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,75000,7400" +st "dataTx : OUT std_ulogic_vector (dataBitNbTx-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataTx" +t "std_ulogic_vector" +b "(dataBitNbTx-1 DOWNTO 0)" +o 1 +suid 27,0 +) +) +) +*75 (CptPort +uid 479,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 480,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,8625,15000,9375" +) +tg (CPTG +uid 481,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 482,0 +va (VaSet +font "courier,8,0" +) +xt "16000,8550,19500,9450" +st "emptyRx" +blo "16000,9250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 483,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,59500,4700" +st "emptyRx : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "emptyRx" +t "std_ulogic" +o 5 +suid 28,0 +) +) +) +*76 (CptPort +uid 484,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 485,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,8625,23750,9375" +) +tg (CPTG +uid 486,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 487,0 +va (VaSet +font "courier,8,0" +) +xt "19000,8550,22000,9450" +st "fullTx" +ju 2 +blo "22000,9250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 488,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,59500,5600" +st "fullTx : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "fullTx" +t "std_ulogic" +o 3 +suid 29,0 +) +) +) +*77 (CptPort +uid 489,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 490,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,10625,15000,11375" +) +tg (CPTG +uid 491,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 492,0 +va (VaSet +font "courier,8,0" +) +xt "16000,10550,19000,11450" +st "readRx" +blo "16000,11250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 493,0 +va (VaSet +font "courier,8,0" +) +xt "44000,7400,59500,8300" +st "readRx : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "readRx" +t "std_ulogic" +o 7 +suid 30,0 +) +) +) +*78 (CptPort +uid 494,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 495,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,14625,15000,15375" +) +tg (CPTG +uid 496,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 497,0 +va (VaSet +font "courier,8,0" +) +xt "16000,14550,18500,15450" +st "reset" +blo "16000,15250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 498,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5600,59500,6500" +st "reset : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_uLogic" +o 6 +suid 31,0 +) +) +) +*79 (CptPort +uid 499,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 500,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,10625,23750,11375" +) +tg (CPTG +uid 501,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 502,0 +va (VaSet +font "courier,8,0" +) +xt "18500,10550,22000,11450" +st "writeTx" +ju 2 +blo "22000,11250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 503,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8300,58500,9200" +st "writeTx : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "writeTx" +t "std_ulogic" +o 4 +suid 32,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,23000,17000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "8750,10600,11750,11500" +st "Memory" +blo "8750,11300" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "8750,11500,29250,12400" +st "fifoBridgeRxToTxBusWidthAdaptionRxBigger" +blo "8750,12200" +) +) +gi *80 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "-5000,7500,7500,11100" +st "Generic Declarations + +dataBitNbRx positive 1 +dataBitNbTx positive 1 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNbRx" +type "positive" +value "1" +) +(GiElement +name "dataBitNbTx" +type "positive" +value "1" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*81 (Grouping +uid 16,0 +optionalChildren [ +*82 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *92 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*94 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "-1680,156,-663,846" +viewArea "-500,-500,71230,48370" +cachedDiagramExtent "-5000,0,76500,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-6000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Memory" +entityName "fifoBridgeBusWidthAdaption" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *95 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *96 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,9200,44500,10100" +st "User:" +blo "42000,9900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,10100,44000,10100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 519,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@txbigger/interface b/Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@txbigger/interface new file mode 100644 index 0000000..e2805d9 --- /dev/null +++ b/Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@txbigger/interface @@ -0,0 +1,1823 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 40,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 109,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 33,0 +) +) +uid 583,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "dataRx" +t "std_ulogic_vector" +b "(dataBitNbRx-1 DOWNTO 0)" +o 2 +suid 34,0 +) +) +uid 585,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataTx" +t "std_ulogic_vector" +b "(dataBitNbTx-1 DOWNTO 0)" +o 1 +suid 35,0 +) +) +uid 587,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "emptyRx" +t "std_ulogic" +o 5 +suid 36,0 +) +) +uid 589,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "fullTx" +t "std_ulogic" +o 3 +suid 37,0 +) +) +uid 591,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "readRx" +t "std_ulogic" +o 7 +suid 38,0 +) +) +uid 593,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_uLogic" +o 6 +suid 39,0 +) +) +uid 595,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "writeTx" +t "std_ulogic" +o 4 +suid 40,0 +) +) +uid 597,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 124,0 +optionalChildren [ +*24 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 125,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 126,0 +) +*26 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*27 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 584,0 +) +*28 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 586,0 +) +*29 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 588,0 +) +*30 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 590,0 +) +*31 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 592,0 +) +*32 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 594,0 +) +*33 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 596,0 +) +*34 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 598,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*35 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 129,0 +) +*36 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 130,0 +) +*37 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 131,0 +) +*38 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 132,0 +) +*39 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 133,0 +) +*40 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 134,0 +) +*41 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 135,0 +) +*42 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 136,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 108,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 138,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "dataBitNbRx" +type "positive" +value "1" +) +uid 187,0 +) +*56 (LogGeneric +generic (GiElement +name "dataBitNbTx" +type "positive" +value "1" +) +uid 189,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 150,0 +optionalChildren [ +*57 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *58 (MRCItem +litem &43 +pos 2 +dimension 20 +) +uid 152,0 +optionalChildren [ +*59 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 153,0 +) +*60 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 154,0 +) +*61 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 155,0 +) +*62 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 188,0 +) +*63 (MRCItem +litem &56 +pos 1 +dimension 20 +uid 190,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 156,0 +optionalChildren [ +*64 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 157,0 +) +*65 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 158,0 +) +*66 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 159,0 +) +*67 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 160,0 +) +*68 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 161,0 +) +*69 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 162,0 +) +*70 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 163,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 151,0 +vaOverrides [ +] +) +] +) +uid 137,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@txbigger/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@txbigger/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@txbigger" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridgeRxToTxBusWidthAdaptionTxbigger" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "fifoBridgeRxToTxBusWidthAdaptionTxbigger" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:15" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_LIBS_DIR/Memory/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "fifoBridgeRxToTxBusWidthAdaptionTxbigger" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@txbigger/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridgeRxToTxBusWidthAdaptionTxbigger/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$DESIGN_SCRATCH_DIR\\$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:45:15" +) +(vvPair +variable "unit" +value "fifoBridgeRxToTxBusWidthAdaptionTxbigger" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 107,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 543,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 544,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,12625,15000,13375" +) +tg (CPTG +uid 545,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 546,0 +va (VaSet +font "courier,8,0" +) +xt "16000,12550,18500,13450" +st "clock" +blo "16000,13250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 547,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,59500,2900" +st "clock : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 33,0 +) +) +) +*73 (CptPort +uid 548,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 549,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,6625,15000,7375" +) +tg (CPTG +uid 550,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 551,0 +va (VaSet +font "courier,8,0" +) +xt "16000,6550,19000,7450" +st "dataRx" +blo "16000,7250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 552,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,75000,3800" +st "dataRx : IN std_ulogic_vector (dataBitNbRx-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "dataRx" +t "std_ulogic_vector" +b "(dataBitNbRx-1 DOWNTO 0)" +o 2 +suid 34,0 +) +) +) +*74 (CptPort +uid 553,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 554,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,6625,23750,7375" +) +tg (CPTG +uid 555,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 556,0 +va (VaSet +font "courier,8,0" +) +xt "19000,6550,22000,7450" +st "dataTx" +ju 2 +blo "22000,7250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 557,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,75000,7400" +st "dataTx : OUT std_ulogic_vector (dataBitNbTx-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataTx" +t "std_ulogic_vector" +b "(dataBitNbTx-1 DOWNTO 0)" +o 1 +suid 35,0 +) +) +) +*75 (CptPort +uid 558,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 559,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,8625,15000,9375" +) +tg (CPTG +uid 560,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 561,0 +va (VaSet +font "courier,8,0" +) +xt "16000,8550,19500,9450" +st "emptyRx" +blo "16000,9250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 562,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,59500,4700" +st "emptyRx : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "emptyRx" +t "std_ulogic" +o 5 +suid 36,0 +) +) +) +*76 (CptPort +uid 563,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 564,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,8625,23750,9375" +) +tg (CPTG +uid 565,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 566,0 +va (VaSet +font "courier,8,0" +) +xt "19000,8550,22000,9450" +st "fullTx" +ju 2 +blo "22000,9250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 567,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,59500,5600" +st "fullTx : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "fullTx" +t "std_ulogic" +o 3 +suid 37,0 +) +) +) +*77 (CptPort +uid 568,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 569,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,10625,15000,11375" +) +tg (CPTG +uid 570,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 571,0 +va (VaSet +font "courier,8,0" +) +xt "16000,10550,19000,11450" +st "readRx" +blo "16000,11250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 572,0 +va (VaSet +font "courier,8,0" +) +xt "44000,7400,59500,8300" +st "readRx : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "readRx" +t "std_ulogic" +o 7 +suid 38,0 +) +) +) +*78 (CptPort +uid 573,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 574,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,14625,15000,15375" +) +tg (CPTG +uid 575,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 576,0 +va (VaSet +font "courier,8,0" +) +xt "16000,14550,18500,15450" +st "reset" +blo "16000,15250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 577,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5600,59500,6500" +st "reset : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_uLogic" +o 6 +suid 39,0 +) +) +) +*79 (CptPort +uid 578,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 579,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,10625,23750,11375" +) +tg (CPTG +uid 580,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 581,0 +va (VaSet +font "courier,8,0" +) +xt "18500,10550,22000,11450" +st "writeTx" +ju 2 +blo "22000,11250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 582,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8300,58500,9200" +st "writeTx : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "writeTx" +t "std_ulogic" +o 4 +suid 40,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,23000,17000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "8750,10600,11750,11500" +st "Memory" +blo "8750,11300" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "8750,11500,29250,12400" +st "fifoBridgeRxToTxBusWidthAdaptionTxbigger" +blo "8750,12200" +) +) +gi *80 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "-5000,7500,7500,11100" +st "Generic Declarations + +dataBitNbRx positive 1 +dataBitNbTx positive 1 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNbRx" +type "positive" +value "1" +) +(GiElement +name "dataBitNbTx" +type "positive" +value "1" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*81 (Grouping +uid 16,0 +optionalChildren [ +*82 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *92 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*94 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "200,185,1217,875" +viewArea "-500,-500,71230,48370" +cachedDiagramExtent "-5000,0,76500,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-6000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Memory" +entityName "fifoBridgeBusWidthAdaption" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *95 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *96 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,9200,44500,10100" +st "User:" +blo "42000,9900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,10100,44000,10100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 598,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory/hds/flash@controller/symbol.sb b/Libs/Memory/hds/flash@controller/symbol.sb new file mode 100644 index 0000000..2795c5f --- /dev/null +++ b/Libs/Memory/hds/flash@controller/symbol.sb @@ -0,0 +1,2518 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 44,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 186,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 20,0 +) +) +uid 397,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "flashDataValid" +t "std_ulogic" +o 14 +suid 21,0 +) +) +uid 399,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "flashAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 3 +suid 22,0 +) +) +uid 401,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "( chipAddressBitNb-1 DOWNTO 0 )" +o 10 +suid 23,0 +) +) +uid 403,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "flashDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 24,0 +) +) +uid 405,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 25,0 +) +) +uid 407,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 26,0 +) +) +uid 409,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 12 +suid 27,0 +) +) +uid 411,0 +) +*22 (LogPort +port (LogicalPort +decl (Decl +n "flashEn" +t "std_ulogic" +o 5 +suid 28,0 +) +) +uid 413,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "flashRd" +t "std_ulogic" +o 6 +suid 29,0 +) +) +uid 415,0 +) +*24 (LogPort +port (LogicalPort +decl (Decl +n "flashWr" +t "std_ulogic" +o 7 +suid 30,0 +) +) +uid 417,0 +) +*25 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 31,0 +) +) +uid 419,0 +) +*26 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memRst_n" +t "std_ulogic" +o 15 +suid 33,0 +) +) +uid 423,0 +) +*27 (LogPort +port (LogicalPort +decl (Decl +n "flashSTS" +t "std_ulogic" +o 16 +suid 34,0 +) +) +uid 425,0 +) +*28 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "flashCE_n" +t "std_ulogic" +o 9 +suid 35,0 +) +) +uid 427,0 +) +*29 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memOE_n" +t "std_ulogic" +o 17 +suid 36,0 +) +) +uid 429,0 +) +*30 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "flashDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 13 +suid 42,0 +) +) +uid 492,0 +) +*31 (LogPort +port (LogicalPort +decl (Decl +n "memBusEn_n" +t "std_ulogic" +o 18 +suid 44,0 +) +) +uid 726,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 199,0 +optionalChildren [ +*32 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *33 (MRCItem +litem &1 +pos 18 +dimension 20 +) +uid 201,0 +optionalChildren [ +*34 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 202,0 +) +*35 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 203,0 +) +*36 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 204,0 +) +*37 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 398,0 +) +*38 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 400,0 +) +*39 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 402,0 +) +*40 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 404,0 +) +*41 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 406,0 +) +*42 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 408,0 +) +*43 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 410,0 +) +*44 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 412,0 +) +*45 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 414,0 +) +*46 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 416,0 +) +*47 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 418,0 +) +*48 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 420,0 +) +*49 (MRCItem +litem &26 +pos 12 +dimension 20 +uid 424,0 +) +*50 (MRCItem +litem &27 +pos 13 +dimension 20 +uid 426,0 +) +*51 (MRCItem +litem &28 +pos 14 +dimension 20 +uid 428,0 +) +*52 (MRCItem +litem &29 +pos 15 +dimension 20 +uid 430,0 +) +*53 (MRCItem +litem &30 +pos 16 +dimension 20 +uid 493,0 +) +*54 (MRCItem +litem &31 +pos 17 +dimension 20 +uid 727,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 205,0 +optionalChildren [ +*55 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 206,0 +) +*56 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 207,0 +) +*57 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 208,0 +) +*58 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 209,0 +) +*59 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 210,0 +) +*60 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 211,0 +) +*61 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 212,0 +) +*62 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 213,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 200,0 +vaOverrides [ +] +) +] +) +uid 185,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *63 (LEmptyRow +) +uid 215,0 +optionalChildren [ +*64 (RefLabelRowHdr +) +*65 (TitleRowHdr +) +*66 (FilterRowHdr +) +*67 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*68 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*69 (GroupColHdr +tm "GroupColHdrMgr" +) +*70 (NameColHdr +tm "GenericNameColHdrMgr" +) +*71 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*72 (InitColHdr +tm "GenericValueColHdrMgr" +) +*73 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*74 (EolColHdr +tm "GenericEolColHdrMgr" +) +*75 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "24" +) +uid 542,0 +) +*76 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "16" +) +uid 544,0 +) +*77 (LogGeneric +generic (GiElement +name "chipAddressBitNb" +type "positive" +value "24" +) +uid 649,0 +) +*78 (LogGeneric +generic (GiElement +name "rdWaitState" +type "positive" +value "10" +e "< 66MHz * 160ns = 10.56" +) +uid 751,0 +) +*79 (LogGeneric +generic (GiElement +name "wrWaitState" +type "positive" +value "6" +e "> 66MHz * 90ns = 5.94" +) +uid 753,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 227,0 +optionalChildren [ +*80 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *81 (MRCItem +litem &63 +pos 5 +dimension 20 +) +uid 229,0 +optionalChildren [ +*82 (MRCItem +litem &64 +pos 0 +dimension 20 +uid 230,0 +) +*83 (MRCItem +litem &65 +pos 1 +dimension 23 +uid 231,0 +) +*84 (MRCItem +litem &66 +pos 2 +hidden 1 +dimension 20 +uid 232,0 +) +*85 (MRCItem +litem &75 +pos 0 +dimension 20 +uid 543,0 +) +*86 (MRCItem +litem &76 +pos 1 +dimension 20 +uid 545,0 +) +*87 (MRCItem +litem &77 +pos 2 +dimension 20 +uid 650,0 +) +*88 (MRCItem +litem &78 +pos 3 +dimension 20 +uid 752,0 +) +*89 (MRCItem +litem &79 +pos 4 +dimension 20 +uid 754,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 233,0 +optionalChildren [ +*90 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 234,0 +) +*91 (MRCItem +litem &69 +pos 1 +dimension 50 +uid 235,0 +) +*92 (MRCItem +litem &70 +pos 2 +dimension 100 +uid 236,0 +) +*93 (MRCItem +litem &71 +pos 3 +dimension 100 +uid 237,0 +) +*94 (MRCItem +litem &72 +pos 4 +dimension 50 +uid 238,0 +) +*95 (MRCItem +litem &73 +pos 5 +dimension 50 +uid 239,0 +) +*96 (MRCItem +litem &74 +pos 6 +dimension 157 +uid 240,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 228,0 +vaOverrides [ +] +) +] +) +uid 214,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/flash@controller/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/flash@controller/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/flash@controller" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/flashController" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "flashController" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:14" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../Demo/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "flashController" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/flash@controller/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/flashController/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:14" +) +(vvPair +variable "unit" +value "flashController" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 184,0 +optionalChildren [ +*97 (SymbolBody +uid 8,0 +optionalChildren [ +*98 (CptPort +uid 287,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 288,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,26625,37000,27375" +) +tg (CPTG +uid 289,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 290,0 +va (VaSet +) +xt "38000,26500,40100,27500" +st "clock" +blo "38000,27300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 291,0 +va (VaSet +font "courier,8,0" +) +xt "0,12400,19000,13300" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 20,0 +) +) +) +*99 (CptPort +uid 292,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 517,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,18625,37000,19375" +) +tg (CPTG +uid 294,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 295,0 +va (VaSet +) +xt "38000,18500,43700,19500" +st "flashDataValid" +blo "38000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 296,0 +va (VaSet +font "courier,8,0" +) +xt "0,23200,19000,24100" +st "flashDataValid : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "flashDataValid" +t "std_ulogic" +o 14 +suid 21,0 +) +) +) +*100 (CptPort +uid 297,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 298,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,8625,37000,9375" +) +tg (CPTG +uid 299,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 300,0 +va (VaSet +) +xt "38000,8500,41600,9500" +st "flashAddr" +blo "38000,9300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 301,0 +va (VaSet +font "courier,8,0" +) +xt "0,13300,30500,14200" +st "flashAddr : IN unsigned (addressBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "flashAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 3 +suid 22,0 +) +) +) +*101 (CptPort +uid 302,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 303,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,8625,53750,9375" +) +tg (CPTG +uid 304,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 305,0 +va (VaSet +) +xt "46800,8500,52000,9500" +st "memAddress" +ju 2 +blo "52000,9300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 306,0 +va (VaSet +font "courier,8,0" +) +xt "0,24100,38000,25000" +st "memAddress : OUT std_ulogic_vector ( chipAddressBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "( chipAddressBitNb-1 DOWNTO 0 )" +o 10 +suid 23,0 +) +) +) +*102 (CptPort +uid 307,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 308,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,12625,37000,13375" +) +tg (CPTG +uid 309,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 310,0 +va (VaSet +) +xt "38000,12500,43200,13500" +st "flashDataOut" +blo "38000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 311,0 +va (VaSet +font "courier,8,0" +) +xt "0,14200,33500,15100" +st "flashDataOut : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "flashDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 24,0 +) +) +) +*103 (CptPort +uid 312,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 313,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,10625,53750,11375" +) +tg (CPTG +uid 314,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 315,0 +va (VaSet +) +xt "47800,10500,52000,11500" +st "memDataIn" +ju 2 +blo "52000,11300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 316,0 +va (VaSet +font "courier,8,0" +) +xt "0,19600,33500,20500" +st "memDataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 25,0 +) +) +) +*104 (CptPort +uid 317,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 318,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,12625,53750,13375" +) +tg (CPTG +uid 319,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 320,0 +va (VaSet +) +xt "46800,12500,52000,13500" +st "memDataOut" +ju 2 +blo "52000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 321,0 +va (VaSet +font "courier,8,0" +) +xt "0,25000,33500,25900" +st "memDataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 26,0 +) +) +) +*105 (CptPort +uid 322,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 323,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,16625,53750,17375" +) +tg (CPTG +uid 324,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 325,0 +va (VaSet +) +xt "48200,16500,52000,17500" +st "memWr_n" +ju 2 +blo "52000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 326,0 +va (VaSet +font "courier,8,0" +) +xt "0,27700,18000,28600" +st "memWr_n : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 12 +suid 27,0 +) +) +) +*106 (CptPort +uid 327,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 328,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,24625,37000,25375" +) +tg (CPTG +uid 329,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 330,0 +va (VaSet +) +xt "38000,24500,40900,25500" +st "flashEn" +blo "38000,25300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 331,0 +va (VaSet +font "courier,8,0" +) +xt "0,15100,19000,16000" +st "flashEn : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "flashEn" +t "std_ulogic" +o 5 +suid 28,0 +) +) +) +*107 (CptPort +uid 332,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 333,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,14625,37000,15375" +) +tg (CPTG +uid 334,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 335,0 +va (VaSet +) +xt "38000,14500,41000,15500" +st "flashRd" +blo "38000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 336,0 +va (VaSet +font "courier,8,0" +) +xt "0,16000,19000,16900" +st "flashRd : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "flashRd" +t "std_ulogic" +o 6 +suid 29,0 +) +) +) +*108 (CptPort +uid 337,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 338,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,16625,37000,17375" +) +tg (CPTG +uid 339,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 340,0 +va (VaSet +) +xt "38000,16500,41000,17500" +st "flashWr" +blo "38000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 341,0 +va (VaSet +font "courier,8,0" +) +xt "0,17800,19000,18700" +st "flashWr : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "flashWr" +t "std_ulogic" +o 7 +suid 30,0 +) +) +) +*109 (CptPort +uid 342,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 343,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,28625,37000,29375" +) +tg (CPTG +uid 344,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 345,0 +va (VaSet +) +xt "38000,28500,40100,29500" +st "reset" +blo "38000,29300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 346,0 +va (VaSet +font "courier,8,0" +) +xt "0,20500,19000,21400" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 31,0 +) +) +) +*110 (CptPort +uid 352,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 353,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,20625,53750,21375" +) +tg (CPTG +uid 354,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 355,0 +va (VaSet +) +xt "48000,20500,52000,21500" +st "memRst_n" +ju 2 +blo "52000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 356,0 +va (VaSet +font "courier,8,0" +) +xt "0,26800,19000,27700" +st "memRst_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memRst_n" +t "std_ulogic" +o 15 +suid 33,0 +) +) +) +*111 (CptPort +uid 357,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 674,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,22625,53750,23375" +) +tg (CPTG +uid 359,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 360,0 +va (VaSet +) +xt "48500,22500,52000,23500" +st "flashSTS" +ju 2 +blo "52000,23300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 361,0 +va (VaSet +font "courier,8,0" +) +xt "0,16900,19000,17800" +st "flashSTS : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "flashSTS" +t "std_ulogic" +o 16 +suid 34,0 +) +) +) +*112 (CptPort +uid 362,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 363,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,14625,53750,15375" +) +tg (CPTG +uid 364,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 365,0 +va (VaSet +) +xt "48100,14500,52000,15500" +st "flashCE_n" +ju 2 +blo "52000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 366,0 +va (VaSet +font "courier,8,0" +) +xt "0,21400,19000,22300" +st "flashCE_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "flashCE_n" +t "std_ulogic" +o 9 +suid 35,0 +) +) +) +*113 (CptPort +uid 367,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 368,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,18625,53750,19375" +) +tg (CPTG +uid 369,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 370,0 +va (VaSet +) +xt "48100,18500,52000,19500" +st "memOE_n" +ju 2 +blo "52000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 371,0 +va (VaSet +font "courier,8,0" +) +xt "0,25900,19000,26800" +st "memOE_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memOE_n" +t "std_ulogic" +o 17 +suid 36,0 +) +) +) +*114 (CptPort +uid 487,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 518,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,10625,37000,11375" +) +tg (CPTG +uid 489,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 490,0 +va (VaSet +) +xt "38000,10500,42600,11500" +st "flashDataIn" +blo "38000,11300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 491,0 +va (VaSet +font "courier,8,0" +) +xt "0,22300,33500,23200" +st "flashDataIn : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "flashDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 13 +suid 42,0 +) +) +) +*115 (CptPort +uid 721,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 722,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,22625,37000,23375" +) +tg (CPTG +uid 723,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 724,0 +va (VaSet +) +xt "38000,22500,43400,23500" +st "memBusEn_n" +blo "38000,23300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 725,0 +va (VaSet +font "courier,8,0" +) +xt "0,18700,19000,19600" +st "memBusEn_n : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "memBusEn_n" +t "std_ulogic" +o 18 +suid 44,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "37000,5000,53000,31000" +) +oxt "15000,6000,31000,34000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "37550,31500,40550,32400" +st "Memory" +blo "37550,32200" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "37550,32400,45550,33300" +st "flashController" +blo "37550,33100" +) +) +gi *116 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "37000,35200,65000,41500" +st "Generic Declarations + +addressBitNb positive 24 +dataBitNb positive 16 +chipAddressBitNb positive 24 +rdWaitState positive 10 --< 66MHz * 160ns = 10.56 +wrWaitState positive 6 --> 66MHz * 90ns = 5.94 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "24" +) +(GiElement +name "dataBitNb" +type "positive" +value "16" +) +(GiElement +name "chipAddressBitNb" +type "positive" +value "24" +) +(GiElement +name "rdWaitState" +type "positive" +value "10" +e "< 66MHz * 160ns = 10.56" +) +(GiElement +name "wrWaitState" +type "positive" +value "6" +e "> 66MHz * 90ns = 5.94" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*117 (Grouping +uid 16,0 +optionalChildren [ +*118 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,48000,51000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,48000,49200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*119 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,55000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,54800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*120 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,51000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46000,50400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*121 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,34000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,33800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*122 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,45000,71000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,45200,64400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*123 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,44000,71000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,44000,57000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*124 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,44000,51000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "36000,44500,45000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*125 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,34000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,33200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*126 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,34000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,33800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*127 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,51000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47000,48600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "30000,44000,71000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *128 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*129 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*130 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,16600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "38,97,1401,992" +viewArea "-3000,-1000,73045,48580" +cachedDiagramExtent "-2000,0,71000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *131 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *132 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,10400,3400,11400" +st "Declarations" +blo "-2000,11200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,11400,700,12400" +st "Ports:" +blo "-2000,12200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,28600,500,29500" +st "User:" +blo "-2000,29300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2000,10400,3800,11400" +st "Internal User:" +blo "-2000,11200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "0,29500,0,29500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2000,10400,-2000,10400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 800,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory/hds/sdram@controller/struct.bd b/Libs/Memory/hds/sdram@controller/struct.bd new file mode 100644 index 0000000..d8adef0 --- /dev/null +++ b/Libs/Memory/hds/sdram@controller/struct.bd @@ -0,0 +1,9775 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "U_5" +duLibraryName "memory" +duName "sdramControllerSR" +elements [ +] +mwi 0 +uid 2332,0 +) +(Instance +name "U_6" +duLibraryName "memory" +duName "sdramControllerSR" +elements [ +] +mwi 0 +uid 2398,0 +) +(Instance +name "U_2" +duLibraryName "memory" +duName "sdramControllerTimingsShiftRegister" +elements [ +(GiElement +name "maxDelayPeriodNb" +type "positive" +value "maxDelayPeriodNb" +) +] +mwi 0 +uid 3238,0 +) +(Instance +name "U_4" +duLibraryName "memory" +duName "sdramControllerStoreData" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 3306,0 +) +(Instance +name "U_7" +duLibraryName "memory" +duName "sdramControllerSampleDataIn" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 3336,0 +) +(Instance +name "U_1" +duLibraryName "memory" +duName "sdramControllerRefreshCounter" +elements [ +(GiElement +name "delayCounterBitNb" +type "positive" +value "delayCounterBitNb" +) +(GiElement +name "refreshPeriodNb" +type "positive" +value "refreshPeriodNb" +) +] +mwi 0 +uid 3568,0 +) +(Instance +name "U_3" +duLibraryName "memory" +duName "sdramControllerBuildAddress" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "chipAddressBitNb" +type "positive" +value "chipAddressBitNb" +) +(GiElement +name "chipBankAddressBitNb" +type "positive" +value "chipBankAddressBitNb" +) +(GiElement +name "rowAddressBitNb" +type "positive" +value "rowAddressBitNb" +) +(GiElement +name "colAddressBitNb" +type "positive" +value "colAddressBitNb" +) +] +mwi 0 +uid 3925,0 +) +(Instance +name "U_0" +duLibraryName "memory" +duName "sdramControllerFsm" +elements [ +(GiElement +name "commandBusBitNb" +type "positive" +value "commandBusBitNb" +) +(GiElement +name "maxDelayPeriodNb" +type "positive" +value "maxDelayPeriodNb" +) +(GiElement +name "prechargeToRefreshPeriodNb" +type "positive" +value "2" +e "66MHz * 20 ns = 1.32" +) +(GiElement +name "refreshDelayPeriodNb" +type "positive" +value "5" +e "66MHz * 66ns = 4.356" +) +(GiElement +name "loadModeToActivePeriodNb" +type "positive" +value "1" +e "1 CK" +) +(GiElement +name "activeToWritePeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +(GiElement +name "writeToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +(GiElement +name "activeToReadPeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +(GiElement +name "readToSamplePeriodNb" +type "positive" +value "2" +e "2 CK with latency = 2" +) +(GiElement +name "readToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +] +mwi 0 +uid 4230,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +(EmbeddedInstance +name "eb2" +number "2" +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramController" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sdramController" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:15" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../Demo/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramController" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramController/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:45:15" +) +(vvPair +variable "unit" +value "sdramController" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 356,0 +optionalChildren [ +*1 (PortIoOut +uid 51,0 +shape (CompositeShape +uid 52,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 53,0 +sl 0 +ro 270 +xt "162500,36625,164000,37375" +) +(Line +uid 54,0 +sl 0 +ro 270 +xt "162000,37000,162500,37000" +pts [ +"162000,37000" +"162500,37000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 55,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 56,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "165000,36300,174700,37700" +st "memAddress" +blo "165000,37500" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 63,0 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "( chipAddressBitNb-1 DOWNTO 0 )" +o 9 +suid 4,0 +) +declText (MLText +uid 64,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,82200,26500,83100" +st "memAddress : std_ulogic_vector( chipAddressBitNb-1 DOWNTO 0 )" +) +) +*3 (PortIoIn +uid 79,0 +shape (CompositeShape +uid 80,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 81,0 +sl 0 +ro 90 +xt "83500,75625,85000,76375" +) +(Line +uid 82,0 +sl 0 +ro 90 +xt "83000,76000,83500,76000" +pts [ +"83500,76000" +"83000,76000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 83,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 84,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "86000,75300,94100,76700" +st "memDataIn" +blo "86000,76500" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 91,0 +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 6,0 +) +declText (MLText +uid 92,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,73800,22000,74700" +st "memDataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*5 (PortIoOut +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 95,0 +sl 0 +ro 270 +xt "162500,60625,164000,61375" +) +(Line +uid 96,0 +sl 0 +ro 270 +xt "162000,61000,162500,61000" +pts [ +"162000,61000" +"162500,61000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 97,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 98,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "165000,60300,174900,61700" +st "memDataOut" +blo "165000,61500" +tm "WireNameMgr" +) +) +) +*6 (Net +uid 105,0 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 7,0 +) +declText (MLText +uid 106,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,84600,22000,85500" +st "memDataOut : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*7 (PortIoOut +uid 107,0 +shape (CompositeShape +uid 108,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 109,0 +sl 0 +ro 270 +xt "122500,82625,124000,83375" +) +(Line +uid 110,0 +sl 0 +ro 270 +xt "122000,83000,122500,83000" +pts [ +"122000,83000" +"122500,83000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 111,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 112,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "125000,82300,132200,83700" +st "memWr_n" +blo "125000,83500" +tm "WireNameMgr" +) +) +) +*8 (Net +uid 119,0 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 12 +suid 8,0 +) +declText (MLText +uid 120,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,85800,7500,86700" +st "memWr_n : std_ulogic" +) +) +*9 (PortIoIn +uid 121,0 +shape (CompositeShape +uid 122,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 123,0 +sl 0 +ro 270 +xt "48000,52625,49500,53375" +) +(Line +uid 124,0 +sl 0 +ro 270 +xt "49500,53000,50000,53000" +pts [ +"49500,53000" +"50000,53000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 125,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 126,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "42200,52300,47000,53700" +st "ramEn" +ju 2 +blo "47000,53500" +tm "WireNameMgr" +) +) +) +*10 (Net +uid 133,0 +decl (Decl +n "ramEn" +t "std_ulogic" +o 5 +suid 9,0 +) +declText (MLText +uid 134,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,77400,7500,78300" +st "ramEn : std_ulogic" +) +) +*11 (PortIoIn +uid 135,0 +shape (CompositeShape +uid 136,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 137,0 +sl 0 +ro 270 +xt "8000,48625,9500,49375" +) +(Line +uid 138,0 +sl 0 +ro 270 +xt "9500,49000,10000,49000" +pts [ +"9500,49000" +"10000,49000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 139,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 140,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "2200,48300,7000,49700" +st "ramRd" +ju 2 +blo "7000,49500" +tm "WireNameMgr" +) +) +) +*12 (Net +uid 147,0 +decl (Decl +n "ramRd" +t "std_ulogic" +o 6 +suid 10,0 +) +declText (MLText +uid 148,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,78600,7500,79500" +st "ramRd : std_ulogic" +) +) +*13 (PortIoIn +uid 149,0 +shape (CompositeShape +uid 150,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 151,0 +sl 0 +ro 270 +xt "8000,26625,9500,27375" +) +(Line +uid 152,0 +sl 0 +ro 270 +xt "9500,27000,10000,27000" +pts [ +"9500,27000" +"10000,27000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 153,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 154,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "2000,26300,7000,27700" +st "ramWr" +ju 2 +blo "7000,27500" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 161,0 +decl (Decl +n "ramWr" +t "std_ulogic" +o 7 +suid 11,0 +) +declText (MLText +uid 162,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,79800,7500,80700" +st "ramWr : std_ulogic" +) +) +*15 (PortIoIn +uid 163,0 +shape (CompositeShape +uid 164,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 165,0 +sl 0 +ro 270 +xt "52000,56625,53500,57375" +) +(Line +uid 166,0 +sl 0 +ro 270 +xt "53500,57000,54000,57000" +pts [ +"53500,57000" +"54000,57000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 167,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 168,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "46900,56300,51000,57700" +st "reset" +ju 2 +blo "51000,57500" +tm "WireNameMgr" +) +) +) +*16 (Net +uid 175,0 +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 12,0 +) +declText (MLText +uid 176,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,81000,7500,81900" +st "reset : std_ulogic" +) +) +*17 (PortIoOut +uid 177,0 +shape (CompositeShape +uid 178,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 179,0 +sl 0 +ro 270 +xt "122500,80625,124000,81375" +) +(Line +uid 180,0 +sl 0 +ro 270 +xt "122000,81000,122500,81000" +pts [ +"122000,81000" +"122500,81000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 181,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 182,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "125000,80300,131300,81700" +st "sdCas_n" +blo "125000,81500" +tm "WireNameMgr" +) +) +) +*18 (Net +uid 189,0 +decl (Decl +n "sdCas_n" +t "std_ulogic" +o 15 +suid 13,0 +) +declText (MLText +uid 190,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,89400,7500,90300" +st "sdCas_n : std_ulogic" +) +) +*19 (PortIoOut +uid 191,0 +shape (CompositeShape +uid 192,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 193,0 +sl 0 +ro 270 +xt "162500,18625,164000,19375" +) +(Line +uid 194,0 +sl 0 +ro 270 +xt "162000,19000,162500,19000" +pts [ +"162000,19000" +"162500,19000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 195,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 196,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "165000,18300,169700,19700" +st "sdCke" +blo "165000,19500" +tm "WireNameMgr" +) +) +) +*20 (Net +uid 203,0 +decl (Decl +n "sdCke" +t "std_ulogic" +o 16 +suid 14,0 +) +declText (MLText +uid 204,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,90600,7500,91500" +st "sdCke : std_ulogic" +) +) +*21 (PortIoOut +uid 205,0 +shape (CompositeShape +uid 206,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 207,0 +sl 0 +ro 270 +xt "162500,20625,164000,21375" +) +(Line +uid 208,0 +sl 0 +ro 270 +xt "162000,21000,162500,21000" +pts [ +"162000,21000" +"162500,21000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 209,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 210,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "165000,20300,169200,21700" +st "sdClk" +blo "165000,21500" +tm "WireNameMgr" +) +) +) +*22 (Net +uid 217,0 +decl (Decl +n "sdClk" +t "std_ulogic" +o 17 +suid 15,0 +) +declText (MLText +uid 218,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,91800,7500,92700" +st "sdClk : std_ulogic" +) +) +*23 (PortIoOut +uid 219,0 +shape (CompositeShape +uid 220,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 221,0 +sl 0 +ro 270 +xt "122500,76625,124000,77375" +) +(Line +uid 222,0 +sl 0 +ro 270 +xt "122000,77000,122500,77000" +pts [ +"122000,77000" +"122500,77000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 223,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 224,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "125000,76300,130500,77700" +st "sdCs_n" +blo "125000,77500" +tm "WireNameMgr" +) +) +) +*24 (Net +uid 231,0 +decl (Decl +n "sdCs_n" +t "std_ulogic" +o 18 +suid 16,0 +) +declText (MLText +uid 232,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,93000,7500,93900" +st "sdCs_n : std_ulogic" +) +) +*25 (PortIoOut +uid 261,0 +shape (CompositeShape +uid 262,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 263,0 +sl 0 +ro 270 +xt "122500,78625,124000,79375" +) +(Line +uid 264,0 +sl 0 +ro 270 +xt "122000,79000,122500,79000" +pts [ +"122000,79000" +"122500,79000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 265,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 266,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "125000,78300,131200,79700" +st "sdRas_n" +blo "125000,79500" +tm "WireNameMgr" +) +) +) +*26 (Net +uid 273,0 +decl (Decl +n "sdRas_n" +t "std_ulogic" +o 20 +suid 19,0 +) +declText (MLText +uid 274,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,95400,7500,96300" +st "sdRas_n : std_ulogic" +) +) +*27 (Grouping +uid 313,0 +optionalChildren [ +*28 (CommentText +uid 315,0 +shape (Rectangle +uid 316,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "136000,123000,153000,124000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 317,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "136200,123000,151200,124000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*29 (CommentText +uid 318,0 +shape (Rectangle +uid 319,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "153000,119000,157000,120000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 320,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "153200,119000,156800,120000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*30 (CommentText +uid 321,0 +shape (Rectangle +uid 322,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "136000,121000,153000,122000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 323,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "136200,121000,152400,122000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*31 (CommentText +uid 324,0 +shape (Rectangle +uid 325,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "132000,121000,136000,122000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 326,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "132200,121000,135800,122000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*32 (CommentText +uid 327,0 +shape (Rectangle +uid 328,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "153000,120000,173000,124000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 329,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "153200,120200,166400,121200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*33 (CommentText +uid 330,0 +shape (Rectangle +uid 331,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "157000,119000,173000,120000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 332,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "157200,119000,159000,120000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*34 (CommentText +uid 333,0 +shape (Rectangle +uid 334,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "132000,119000,153000,121000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 335,0 +va (VaSet +fg "32768,0,0" +) +xt "138000,119500,147000,120500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*35 (CommentText +uid 336,0 +shape (Rectangle +uid 337,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "132000,122000,136000,123000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 338,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "132200,122000,135200,123000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*36 (CommentText +uid 339,0 +shape (Rectangle +uid 340,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "132000,123000,136000,124000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 341,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "132200,123000,135800,124000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*37 (CommentText +uid 342,0 +shape (Rectangle +uid 343,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "136000,122000,153000,123000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 344,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "136200,122000,150600,123000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 314,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "132000,119000,173000,124000" +) +oxt "14000,66000,55000,71000" +) +*38 (PortIoIn +uid 599,0 +shape (CompositeShape +uid 600,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 601,0 +sl 0 +ro 270 +xt "52000,54625,53500,55375" +) +(Line +uid 602,0 +sl 0 +ro 270 +xt "53500,55000,54000,55000" +pts [ +"53500,55000" +"54000,55000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 603,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 604,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "47200,54300,51000,55700" +st "clock" +ju 2 +blo "51000,55500" +tm "WireNameMgr" +) +) +) +*39 (Net +uid 611,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 23,0 +) +declText (MLText +uid 612,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,72600,7500,73500" +st "clock : std_ulogic" +) +) +*40 (PortIoOut +uid 613,0 +shape (CompositeShape +uid 614,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 615,0 +sl 0 +ro 270 +xt "82500,52625,84000,53375" +) +(Line +uid 616,0 +sl 0 +ro 270 +xt "82000,53000,82500,53000" +pts [ +"82000,53000" +"82500,53000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 617,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 618,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "85000,52300,95000,53700" +st "ramDataValid" +blo "85000,53500" +tm "WireNameMgr" +) +) +) +*41 (Net +uid 625,0 +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 14 +suid 24,0 +) +declText (MLText +uid 626,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,88200,7500,89100" +st "ramDataValid : std_ulogic" +) +) +*42 (PortIoIn +uid 627,0 +shape (CompositeShape +uid 628,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 629,0 +sl 0 +ro 270 +xt "128000,36625,129500,37375" +) +(Line +uid 630,0 +sl 0 +ro 270 +xt "129500,37000,130000,37000" +pts [ +"129500,37000" +"130000,37000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 631,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 632,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "120900,36300,127000,37700" +st "ramAddr" +ju 2 +blo "127000,37500" +tm "WireNameMgr" +) +) +) +*43 (Net +uid 639,0 +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 3 +suid 25,0 +) +declText (MLText +uid 640,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,75000,19000,75900" +st "ramAddr : unsigned(addressBitNb-1 DOWNTO 0)" +) +) +*44 (PortIoIn +uid 641,0 +shape (CompositeShape +uid 642,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 643,0 +sl 0 +ro 270 +xt "128000,60625,129500,61375" +) +(Line +uid 644,0 +sl 0 +ro 270 +xt "129500,61000,130000,61000" +pts [ +"129500,61000" +"130000,61000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 645,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 646,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "117700,60300,127000,61700" +st "ramDataOut" +ju 2 +blo "127000,61500" +tm "WireNameMgr" +) +) +) +*45 (Net +uid 653,0 +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 26,0 +) +declText (MLText +uid 654,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,76200,22000,77100" +st "ramDataOut : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*46 (PortIoOut +uid 655,0 +shape (CompositeShape +uid 656,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 657,0 +sl 0 +ro 90 +xt "48000,75625,49500,76375" +) +(Line +uid 658,0 +sl 0 +ro 90 +xt "49500,76000,50000,76000" +pts [ +"50000,76000" +"49500,76000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 659,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 660,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "39500,75300,47000,76700" +st "ramDataIn" +ju 2 +blo "47000,76500" +tm "WireNameMgr" +) +) +) +*47 (Net +uid 667,0 +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 13 +suid 27,0 +) +declText (MLText +uid 668,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,87000,22000,87900" +st "ramDataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*48 (PortIoOut +uid 1316,0 +shape (CompositeShape +uid 1317,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1318,0 +sl 0 +ro 270 +xt "122500,84625,124000,85375" +) +(Line +uid 1319,0 +sl 0 +ro 270 +xt "122000,85000,122500,85000" +pts [ +"122000,85000" +"122500,85000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 1320,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1321,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "125000,84300,130100,85700" +st "sdDqm" +blo "125000,85500" +tm "WireNameMgr" +) +) +) +*49 (Net +uid 1328,0 +decl (Decl +n "sdDqm" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 19 +suid 28,0 +) +declText (MLText +uid 1329,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,94200,17000,95100" +st "sdDqm : std_ulogic_vector(1 DOWNTO 0)" +) +) +*50 (PortIoOut +uid 1330,0 +shape (CompositeShape +uid 1331,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1332,0 +sl 0 +ro 270 +xt "162500,38625,164000,39375" +) +(Line +uid 1333,0 +sl 0 +ro 270 +xt "162000,39000,162500,39000" +pts [ +"162000,39000" +"162500,39000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 1334,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1335,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "165000,38300,177800,39700" +st "memBankAddress" +blo "165000,39500" +tm "WireNameMgr" +) +) +) +*51 (Net +uid 1342,0 +decl (Decl +n "memBankAddress" +t "std_ulogic_vector" +b "( chipBankAddressBitNb-1 DOWNTO 0 )" +o 10 +suid 29,0 +) +declText (MLText +uid 1343,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,83400,28500,84300" +st "memBankAddress : std_ulogic_vector( chipBankAddressBitNb-1 DOWNTO 0 )" +) +) +*52 (HdlText +uid 1607,0 +optionalChildren [ +*53 (EmbeddedText +uid 1613,0 +commentText (CommentText +uid 1614,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1615,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "138000,18000,154000,24000" +) +oxt "0,0,18000,5000" +text (MLText +uid 1616,0 +va (VaSet +font "courier,9,0" +) +xt "138200,18200,148200,20000" +st " +sdCke <= '1'; +sdClk <= not clock; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 6000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 1608,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "138000,17000,154000,25000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1609,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +uid 1610,0 +va (VaSet +font "courier,9,0" +) +xt "138150,25000,140150,26200" +st "eb1" +blo "138150,25900" +tm "HdlTextNameMgr" +) +*55 (Text +uid 1611,0 +va (VaSet +font "courier,9,0" +) +xt "138150,26200,139150,27400" +st "1" +blo "138150,27100" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1612,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "138250,23250,139750,24750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*56 (Net +uid 1665,0 +decl (Decl +n "powerUpDone" +t "std_ulogic" +o 28 +suid 32,0 +) +declText (MLText +uid 1666,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,112600,11000,113500" +st "SIGNAL powerUpDone : std_ulogic" +) +) +*57 (Net +uid 1681,0 +decl (Decl +n "endOfRefreshCount" +t "std_ulogic" +o 27 +suid 35,0 +) +declText (MLText +uid 1682,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,111400,11000,112300" +st "SIGNAL endOfRefreshCount : std_ulogic" +) +) +*58 (Net +uid 1695,0 +decl (Decl +n "commandBus" +t "std_ulogic_vector" +b "(commandBusBitNb-1 DOWNTO 0)" +o 26 +suid 37,0 +) +declText (MLText +uid 1696,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,110200,28500,111100" +st "SIGNAL commandBus : std_ulogic_vector(commandBusBitNb-1 DOWNTO 0)" +) +) +*59 (HdlText +uid 1697,0 +optionalChildren [ +*60 (EmbeddedText +uid 1703,0 +commentText (CommentText +uid 1704,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1705,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "98000,74000,114000,82000" +) +oxt "0,0,18000,5000" +text (MLText +uid 1706,0 +va (VaSet +font "courier,9,0" +) +xt "98200,74200,114200,79600" +st " +sdCs_n <= commandBus(5); +sdRas_n <= commandBus(4); +sdCas_n <= commandBus(3); +memWr_n <= commandBus(2); +sdDqm <= commandBus(1 downto 0); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 8000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 1698,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "98000,73000,114000,89000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1699,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +uid 1700,0 +va (VaSet +font "courier,9,0" +) +xt "98150,89000,100150,90200" +st "eb2" +blo "98150,89900" +tm "HdlTextNameMgr" +) +*62 (Text +uid 1701,0 +va (VaSet +font "courier,9,0" +) +xt "98150,90200,99150,91400" +st "2" +blo "98150,91100" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1702,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "98250,87250,99750,88750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*63 (Net +uid 1785,0 +decl (Decl +n "timerStart" +t "std_ulogic" +o 33 +suid 39,0 +) +declText (MLText +uid 1786,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,118600,11000,119500" +st "SIGNAL timerStart : std_ulogic" +) +) +*64 (Net +uid 1801,0 +decl (Decl +n "timerDone" +t "std_ulogic_vector" +b "(1 TO maxDelayPeriodNb)" +o 32 +suid 41,0 +) +declText (MLText +uid 1802,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,117400,26000,118300" +st "SIGNAL timerDone : std_ulogic_vector(1 TO maxDelayPeriodNb)" +) +) +*65 (Net +uid 1924,0 +decl (Decl +n "addrSelPrecharge" +t "std_ulogic" +o 24 +suid 43,0 +) +declText (MLText +uid 1925,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,107800,11000,108700" +st "SIGNAL addrSelPrecharge : std_ulogic" +) +) +*66 (Net +uid 2051,0 +decl (Decl +n "addrSelModeReg" +t "std_ulogic" +o 23 +suid 44,0 +) +declText (MLText +uid 2052,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,106600,11000,107500" +st "SIGNAL addrSelModeReg : std_ulogic" +) +) +*67 (Net +uid 2266,0 +decl (Decl +n "writeRequest" +t "std_ulogic" +o 35 +suid 45,0 +) +declText (MLText +uid 2267,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,121000,11000,121900" +st "SIGNAL writeRequest : std_ulogic" +) +) +*68 (Net +uid 2276,0 +decl (Decl +n "writeAck" +t "std_ulogic" +o 34 +suid 46,0 +) +declText (MLText +uid 2277,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,119800,11000,120700" +st "SIGNAL writeAck : std_ulogic" +) +) +*69 (SaComponent +uid 2332,0 +optionalChildren [ +*70 (CptPort +uid 2312,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2313,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17250,32625,18000,33375" +) +tg (CPTG +uid 2314,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2315,0 +va (VaSet +) +xt "19000,32500,21100,33500" +st "clock" +blo "19000,33300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*71 (CptPort +uid 2316,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2317,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17250,26625,18000,27375" +) +tg (CPTG +uid 2318,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2319,0 +va (VaSet +) +xt "19000,26500,22000,27500" +st "setFlag" +blo "19000,27300" +) +) +thePort (LogicalPort +decl (Decl +n "setFlag" +t "std_ulogic" +o 7 +suid 2,0 +) +) +) +*72 (CptPort +uid 2320,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2321,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17250,34625,18000,35375" +) +tg (CPTG +uid 2322,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2323,0 +va (VaSet +) +xt "19000,34500,21100,35500" +st "reset" +blo "19000,35300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 3,0 +) +) +) +*73 (CptPort +uid 2324,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2325,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17250,28625,18000,29375" +) +tg (CPTG +uid 2326,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2327,0 +va (VaSet +) +xt "19000,28500,22700,29500" +st "resetFlag" +blo "19000,29300" +) +) +thePort (LogicalPort +decl (Decl +n "resetFlag" +t "std_ulogic" +o 7 +suid 4,0 +) +) +) +*74 (CptPort +uid 2328,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2329,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34000,26625,34750,27375" +) +tg (CPTG +uid 2330,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2331,0 +va (VaSet +) +xt "31300,26500,33000,27500" +st "flag" +ju 2 +blo "33000,27300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "flag" +t "std_ulogic" +o 7 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 2333,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "18000,23000,34000,37000" +) +oxt "29000,12000,45000,26000" +ttg (MlTextGroup +uid 2334,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 2335,0 +va (VaSet +font "courier,8,1" +) +xt "18000,37000,21300,38000" +st "memory" +blo "18000,37800" +tm "BdLibraryNameMgr" +) +*76 (Text +uid 2336,0 +va (VaSet +font "courier,8,1" +) +xt "18000,38000,26000,39000" +st "sdramControllerSR" +blo "18000,38800" +tm "CptNameMgr" +) +*77 (Text +uid 2337,0 +va (VaSet +font "courier,8,1" +) +xt "18000,39000,19800,40000" +st "U_5" +blo "18000,39800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2338,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2339,0 +text (MLText +uid 2340,0 +va (VaSet +font "courier,8,0" +) +xt "18000,40200,18000,40200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 2341,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "18250,35250,19750,36750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*78 (Net +uid 2366,0 +decl (Decl +n "addrSelRow" +t "std_ulogic" +o 25 +suid 47,0 +) +declText (MLText +uid 2367,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,109000,11000,109900" +st "SIGNAL addrSelRow : std_ulogic" +) +) +*79 (Net +uid 2384,0 +decl (Decl +n "addrSelCol" +t "std_ulogic" +o 22 +suid 48,0 +) +declText (MLText +uid 2385,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,105400,11000,106300" +st "SIGNAL addrSelCol : std_ulogic" +) +) +*80 (SaComponent +uid 2398,0 +optionalChildren [ +*81 (CptPort +uid 2408,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2409,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17250,54625,18000,55375" +) +tg (CPTG +uid 2410,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2411,0 +va (VaSet +) +xt "19000,54500,21100,55500" +st "clock" +blo "19000,55300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +) +) +) +*82 (CptPort +uid 2412,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2413,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17250,48625,18000,49375" +) +tg (CPTG +uid 2414,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2415,0 +va (VaSet +) +xt "19000,48500,22000,49500" +st "setFlag" +blo "19000,49300" +) +) +thePort (LogicalPort +decl (Decl +n "setFlag" +t "std_ulogic" +o 7 +) +) +) +*83 (CptPort +uid 2416,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2417,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17250,56625,18000,57375" +) +tg (CPTG +uid 2418,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2419,0 +va (VaSet +) +xt "19000,56500,21100,57500" +st "reset" +blo "19000,57300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +) +) +) +*84 (CptPort +uid 2420,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2421,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17250,50625,18000,51375" +) +tg (CPTG +uid 2422,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2423,0 +va (VaSet +) +xt "19000,50500,22700,51500" +st "resetFlag" +blo "19000,51300" +) +) +thePort (LogicalPort +decl (Decl +n "resetFlag" +t "std_ulogic" +o 7 +) +) +) +*85 (CptPort +uid 2424,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2425,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34000,48625,34750,49375" +) +tg (CPTG +uid 2426,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2427,0 +va (VaSet +) +xt "31300,48500,33000,49500" +st "flag" +ju 2 +blo "33000,49300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "flag" +t "std_ulogic" +o 7 +) +) +) +] +shape (Rectangle +uid 2399,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "18000,45000,34000,59000" +) +oxt "29000,12000,45000,26000" +ttg (MlTextGroup +uid 2400,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*86 (Text +uid 2401,0 +va (VaSet +font "courier,8,1" +) +xt "18000,59000,21300,60000" +st "memory" +blo "18000,59800" +tm "BdLibraryNameMgr" +) +*87 (Text +uid 2402,0 +va (VaSet +font "courier,8,1" +) +xt "18000,60000,26000,61000" +st "sdramControllerSR" +blo "18000,60800" +tm "CptNameMgr" +) +*88 (Text +uid 2403,0 +va (VaSet +font "courier,8,1" +) +xt "18000,61000,19800,62000" +st "U_6" +blo "18000,61800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2404,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2405,0 +text (MLText +uid 2406,0 +va (VaSet +font "courier,8,0" +) +xt "18000,62200,18000,62200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 2407,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "18250,57250,19750,58750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*89 (Net +uid 2456,0 +decl (Decl +n "readAck" +t "std_ulogic" +o 29 +suid 49,0 +) +declText (MLText +uid 2457,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,113800,11000,114700" +st "SIGNAL readAck : std_ulogic" +) +) +*90 (Net +uid 2458,0 +decl (Decl +n "readRequest" +t "std_ulogic" +o 30 +suid 50,0 +) +declText (MLText +uid 2459,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,115000,11000,115900" +st "SIGNAL readRequest : std_ulogic" +) +) +*91 (Net +uid 2516,0 +decl (Decl +n "sampleData" +t "std_ulogic" +o 31 +suid 52,0 +) +declText (MLText +uid 2517,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,116200,11000,117100" +st "SIGNAL sampleData : std_ulogic" +) +) +*92 (SaComponent +uid 3238,0 +optionalChildren [ +*93 (CptPort +uid 3222,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3223,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,60625,98000,61375" +) +tg (CPTG +uid 3224,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3225,0 +va (VaSet +) +xt "99000,60500,101100,61500" +st "clock" +blo "99000,61300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 5,0 +) +) +) +*94 (CptPort +uid 3226,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3227,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,62625,98000,63375" +) +tg (CPTG +uid 3228,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3229,0 +va (VaSet +) +xt "99000,62500,101100,63500" +st "reset" +blo "99000,63300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 6,0 +) +) +) +*95 (CptPort +uid 3230,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3231,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "114000,56625,114750,57375" +) +tg (CPTG +uid 3232,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3233,0 +va (VaSet +) +xt "109100,56500,113000,57500" +st "timerDone" +ju 2 +blo "113000,57300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "timerDone" +t "std_ulogic_vector" +b "(1 TO maxDelayPeriodNb)" +o 25 +suid 7,0 +) +) +) +*96 (CptPort +uid 3234,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3235,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,56625,98000,57375" +) +tg (CPTG +uid 3236,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3237,0 +va (VaSet +) +xt "99000,56500,103100,57500" +st "timerStart" +blo "99000,57300" +) +) +thePort (LogicalPort +decl (Decl +n "timerStart" +t "std_ulogic" +o 24 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 3239,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "98000,53000,114000,65000" +) +oxt "32000,16000,48000,28000" +ttg (MlTextGroup +uid 3240,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*97 (Text +uid 3241,0 +va (VaSet +font "courier,8,1" +) +xt "98400,65000,101700,66000" +st "memory" +blo "98400,65800" +tm "BdLibraryNameMgr" +) +*98 (Text +uid 3242,0 +va (VaSet +font "courier,8,1" +) +xt "98400,66000,113600,67000" +st "sdramControllerTimingsShiftRegister" +blo "98400,66800" +tm "CptNameMgr" +) +*99 (Text +uid 3243,0 +va (VaSet +font "courier,8,1" +) +xt "98400,67000,100200,68000" +st "U_2" +blo "98400,67800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3244,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3245,0 +text (MLText +uid 3246,0 +va (VaSet +font "courier,8,0" +) +xt "98000,68200,125000,69100" +st "maxDelayPeriodNb = maxDelayPeriodNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "maxDelayPeriodNb" +type "positive" +value "maxDelayPeriodNb" +) +] +) +viewicon (ZoomableIcon +uid 3247,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "98250,63250,99750,64750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*100 (SaComponent +uid 3306,0 +optionalChildren [ +*101 (CptPort +uid 3286,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3287,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "137250,66625,138000,67375" +) +tg (CPTG +uid 3288,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3289,0 +va (VaSet +) +xt "139000,66500,141100,67500" +st "clock" +blo "139000,67300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 11,0 +) +) +) +*102 (CptPort +uid 3290,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3291,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "154000,60625,154750,61375" +) +tg (CPTG +uid 3292,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3293,0 +va (VaSet +) +xt "147800,60500,153000,61500" +st "memDataOut" +ju 2 +blo "153000,61300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 12,0 +) +) +) +*103 (CptPort +uid 3294,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3295,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "137250,60625,138000,61375" +) +tg (CPTG +uid 3296,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3297,0 +va (VaSet +) +xt "139000,60500,143900,61500" +st "ramDataOut" +blo "139000,61300" +) +) +thePort (LogicalPort +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 13,0 +) +) +) +*104 (CptPort +uid 3298,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3299,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "137250,62625,138000,63375" +) +tg (CPTG +uid 3300,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3301,0 +va (VaSet +) +xt "139000,62500,141700,63500" +st "ramWr" +blo "139000,63300" +) +) +thePort (LogicalPort +decl (Decl +n "ramWr" +t "std_ulogic" +o 7 +suid 14,0 +) +) +) +*105 (CptPort +uid 3302,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3303,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "137250,68625,138000,69375" +) +tg (CPTG +uid 3304,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3305,0 +va (VaSet +) +xt "139000,68500,141100,69500" +st "reset" +blo "139000,69300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 15,0 +) +) +) +] +shape (Rectangle +uid 3307,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "138000,57000,154000,71000" +) +oxt "40000,11000,56000,25000" +ttg (MlTextGroup +uid 3308,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*106 (Text +uid 3309,0 +va (VaSet +font "courier,8,1" +) +xt "138500,71000,141800,72000" +st "memory" +blo "138500,71800" +tm "BdLibraryNameMgr" +) +*107 (Text +uid 3310,0 +va (VaSet +font "courier,8,1" +) +xt "138500,72000,149500,73000" +st "sdramControllerStoreData" +blo "138500,72800" +tm "CptNameMgr" +) +*108 (Text +uid 3311,0 +va (VaSet +font "courier,8,1" +) +xt "138500,73000,140300,74000" +st "U_4" +blo "138500,73800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3312,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3313,0 +text (MLText +uid 3314,0 +va (VaSet +font "courier,8,0" +) +xt "138000,74600,158000,75500" +st "dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +viewicon (ZoomableIcon +uid 3315,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "138250,69250,139750,70750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*109 (SaComponent +uid 3336,0 +optionalChildren [ +*110 (CptPort +uid 3316,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3317,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,81625,58000,82375" +) +tg (CPTG +uid 3318,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3319,0 +va (VaSet +) +xt "59000,81500,61100,82500" +st "clock" +blo "59000,82300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 9,0 +) +) +) +*111 (CptPort +uid 3320,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3321,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,75625,74750,76375" +) +tg (CPTG +uid 3322,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3323,0 +va (VaSet +) +xt "68800,75500,73000,76500" +st "memDataIn" +ju 2 +blo "73000,76300" +) +) +thePort (LogicalPort +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 10,0 +) +) +) +*112 (CptPort +uid 3324,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3325,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,75625,58000,76375" +) +tg (CPTG +uid 3326,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3327,0 +va (VaSet +) +xt "59000,75500,62900,76500" +st "ramDataIn" +blo "59000,76300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 13 +suid 11,0 +) +) +) +*113 (CptPort +uid 3328,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3329,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,83625,58000,84375" +) +tg (CPTG +uid 3330,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3331,0 +va (VaSet +) +xt "59000,83500,61100,84500" +st "reset" +blo "59000,84300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 12,0 +) +) +) +*114 (CptPort +uid 3332,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3333,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,79625,58000,80375" +) +tg (CPTG +uid 3334,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3335,0 +va (VaSet +) +xt "59000,79500,63800,80500" +st "sampleData" +blo "59000,80300" +) +) +thePort (LogicalPort +decl (Decl +n "sampleData" +t "std_ulogic" +o 34 +suid 13,0 +) +) +) +] +shape (Rectangle +uid 3337,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "58000,72000,74000,86000" +) +oxt "38000,15000,54000,29000" +ttg (MlTextGroup +uid 3338,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*115 (Text +uid 3339,0 +va (VaSet +font "courier,8,1" +) +xt "58800,86000,62100,87000" +st "memory" +blo "58800,86800" +tm "BdLibraryNameMgr" +) +*116 (Text +uid 3340,0 +va (VaSet +font "courier,8,1" +) +xt "58800,87000,71200,88000" +st "sdramControllerSampleDataIn" +blo "58800,87800" +tm "CptNameMgr" +) +*117 (Text +uid 3341,0 +va (VaSet +font "courier,8,1" +) +xt "58800,88000,60600,89000" +st "U_7" +blo "58800,88800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3342,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3343,0 +text (MLText +uid 3344,0 +va (VaSet +font "courier,8,0" +) +xt "58000,89600,78000,90500" +st "dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +viewicon (ZoomableIcon +uid 3345,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "58250,84250,59750,85750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*118 (SaComponent +uid 3568,0 +optionalChildren [ +*119 (CptPort +uid 3548,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3549,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,40625,98000,41375" +) +tg (CPTG +uid 3550,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3551,0 +va (VaSet +) +xt "99000,40500,101100,41500" +st "clock" +blo "99000,41300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 6,0 +) +) +) +*120 (CptPort +uid 3552,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3553,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "114000,36625,114750,37375" +) +tg (CPTG +uid 3554,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3555,0 +va (VaSet +) +xt "105500,36500,113000,37500" +st "endOfRefreshCount" +ju 2 +blo "113000,37300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "endOfRefreshCount" +t "std_ulogic" +o 22 +suid 7,0 +) +) +) +*121 (CptPort +uid 3556,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3557,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,36625,98000,37375" +) +tg (CPTG +uid 3558,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3559,0 +va (VaSet +) +xt "99000,36500,104600,37500" +st "powerUpDone" +blo "99000,37300" +) +) +thePort (LogicalPort +decl (Decl +n "powerUpDone" +t "std_ulogic" +o 21 +suid 8,0 +) +) +) +*122 (CptPort +uid 3560,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3561,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,42625,98000,43375" +) +tg (CPTG +uid 3562,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3563,0 +va (VaSet +) +xt "99000,42500,101100,43500" +st "reset" +blo "99000,43300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 9,0 +) +) +) +*123 (CptPort +uid 3564,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3565,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "114000,38625,114750,39375" +) +tg (CPTG +uid 3566,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3567,0 +va (VaSet +) +xt "107500,38500,113000,39500" +st "selectRefresh" +ju 2 +blo "113000,39300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 5 +suid 10,0 +) +) +) +] +shape (Rectangle +uid 3569,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "98000,33000,114000,45000" +) +oxt "32000,12000,48000,24000" +ttg (MlTextGroup +uid 3570,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*124 (Text +uid 3571,0 +va (VaSet +font "courier,8,1" +) +xt "98350,45000,101650,46000" +st "memory" +blo "98350,45800" +tm "BdLibraryNameMgr" +) +*125 (Text +uid 3572,0 +va (VaSet +font "courier,8,1" +) +xt "98350,46000,111650,47000" +st "sdramControllerRefreshCounter" +blo "98350,46800" +tm "CptNameMgr" +) +*126 (Text +uid 3573,0 +va (VaSet +font "courier,8,1" +) +xt "98350,47000,100150,48000" +st "U_1" +blo "98350,47800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3574,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3575,0 +text (MLText +uid 3576,0 +va (VaSet +font "courier,8,0" +) +xt "98000,48200,126000,50000" +st "delayCounterBitNb = delayCounterBitNb ( positive ) +refreshPeriodNb = refreshPeriodNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "delayCounterBitNb" +type "positive" +value "delayCounterBitNb" +) +(GiElement +name "refreshPeriodNb" +type "positive" +value "refreshPeriodNb" +) +] +) +viewicon (ZoomableIcon +uid 3577,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "98250,43250,99750,44750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*127 (Net +uid 3578,0 +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 21 +suid 53,0 +) +declText (MLText +uid 3579,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,96600,7500,97500" +st "selectRefresh : std_ulogic" +) +) +*128 (PortIoOut +uid 3586,0 +shape (CompositeShape +uid 3587,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 3588,0 +sl 0 +ro 270 +xt "122500,38625,124000,39375" +) +(Line +uid 3589,0 +sl 0 +ro 270 +xt "122000,39000,122500,39000" +pts [ +"122000,39000" +"122500,39000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 3590,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3591,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "125000,38300,135100,39700" +st "selectRefresh" +blo "125000,39500" +tm "WireNameMgr" +) +) +) +*129 (SaComponent +uid 3925,0 +optionalChildren [ +*130 (CptPort +uid 3897,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3898,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "137250,44625,138000,45375" +) +tg (CPTG +uid 3899,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3900,0 +va (VaSet +) +xt "139000,44500,143600,45500" +st "addrSelCol" +blo "139000,45300" +) +) +thePort (LogicalPort +decl (Decl +n "addrSelCol" +t "std_ulogic" +o 26 +suid 18,0 +) +) +) +*131 (CptPort +uid 3901,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3902,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "137250,40625,138000,41375" +) +tg (CPTG +uid 3903,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3904,0 +va (VaSet +) +xt "139000,40500,145800,41500" +st "addrSelModeReg" +blo "139000,41300" +) +) +thePort (LogicalPort +decl (Decl +n "addrSelModeReg" +t "std_ulogic" +o 26 +suid 19,0 +) +) +) +*132 (CptPort +uid 3905,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3906,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "137250,38625,138000,39375" +) +tg (CPTG +uid 3907,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3908,0 +va (VaSet +) +xt "139000,38500,146000,39500" +st "addrSelPrecharge" +blo "139000,39300" +) +) +thePort (LogicalPort +decl (Decl +n "addrSelPrecharge" +t "std_ulogic" +o 26 +suid 20,0 +) +) +) +*133 (CptPort +uid 3909,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3910,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "137250,42625,138000,43375" +) +tg (CPTG +uid 3911,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3912,0 +va (VaSet +) +xt "139000,42500,143900,43500" +st "addrSelRow" +blo "139000,43300" +) +) +thePort (LogicalPort +decl (Decl +n "addrSelRow" +t "std_ulogic" +o 26 +suid 21,0 +) +) +) +*134 (CptPort +uid 3913,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3914,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "154000,36625,154750,37375" +) +tg (CPTG +uid 3915,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3916,0 +va (VaSet +) +xt "147800,36500,153000,37500" +st "memAddress" +ju 2 +blo "153000,37300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "( chipAddressBitNb-1 DOWNTO 0 )" +o 9 +suid 22,0 +) +) +) +*135 (CptPort +uid 3917,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3918,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "154000,38625,154750,39375" +) +tg (CPTG +uid 3919,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3920,0 +va (VaSet +) +xt "146200,38500,153000,39500" +st "memBankAddress" +ju 2 +blo "153000,39300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memBankAddress" +t "std_ulogic_vector" +b "( chipBankAddressBitNb-1 DOWNTO 0 )" +o 10 +suid 23,0 +) +) +) +*136 (CptPort +uid 3921,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3922,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "137250,36625,138000,37375" +) +tg (CPTG +uid 3923,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3924,0 +va (VaSet +) +xt "139000,36500,142300,37500" +st "ramAddr" +blo "139000,37300" +) +) +thePort (LogicalPort +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 3 +suid 24,0 +) +) +) +] +shape (Rectangle +uid 3926,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "138000,33000,154000,49000" +) +oxt "34000,6000,50000,22000" +ttg (MlTextGroup +uid 3927,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*137 (Text +uid 3928,0 +va (VaSet +font "courier,8,1" +) +xt "137850,49500,141150,50500" +st "memory" +blo "137850,50300" +tm "BdLibraryNameMgr" +) +*138 (Text +uid 3929,0 +va (VaSet +font "courier,8,1" +) +xt "137850,50500,150150,51500" +st "sdramControllerBuildAddress" +blo "137850,51300" +tm "CptNameMgr" +) +*139 (Text +uid 3930,0 +va (VaSet +font "courier,8,1" +) +xt "137850,51500,139650,52500" +st "U_3" +blo "137850,52300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3931,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3932,0 +text (MLText +uid 3933,0 +va (VaSet +font "courier,8,0" +) +xt "138000,53000,169000,57500" +st "addressBitNb = addressBitNb ( positive ) +chipAddressBitNb = chipAddressBitNb ( positive ) +chipBankAddressBitNb = chipBankAddressBitNb ( positive ) +rowAddressBitNb = rowAddressBitNb ( positive ) +colAddressBitNb = colAddressBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "chipAddressBitNb" +type "positive" +value "chipAddressBitNb" +) +(GiElement +name "chipBankAddressBitNb" +type "positive" +value "chipBankAddressBitNb" +) +(GiElement +name "rowAddressBitNb" +type "positive" +value "rowAddressBitNb" +) +(GiElement +name "colAddressBitNb" +type "positive" +value "colAddressBitNb" +) +] +) +viewicon (ZoomableIcon +uid 3934,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "138250,47250,139750,48750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*140 (SaComponent +uid 4230,0 +optionalChildren [ +*141 (CptPort +uid 4158,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4159,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,46625,74750,47375" +) +tg (CPTG +uid 4160,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4161,0 +va (VaSet +) +xt "68400,46500,73000,47500" +st "addrSelCol" +ju 2 +blo "73000,47300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "addrSelCol" +t "std_ulogic" +o 8 +suid 149,0 +) +) +) +*142 (CptPort +uid 4162,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4163,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,42625,74750,43375" +) +tg (CPTG +uid 4164,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4165,0 +va (VaSet +) +xt "66200,42500,73000,43500" +st "addrSelModeReg" +ju 2 +blo "73000,43300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "addrSelModeReg" +t "std_ulogic" +o 9 +suid 150,0 +) +) +) +*143 (CptPort +uid 4166,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4167,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,40625,74750,41375" +) +tg (CPTG +uid 4168,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4169,0 +va (VaSet +) +xt "66000,40500,73000,41500" +st "addrSelPrecharge" +ju 2 +blo "73000,41300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "addrSelPrecharge" +t "std_ulogic" +o 10 +suid 151,0 +) +) +) +*144 (CptPort +uid 4170,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4171,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,44625,74750,45375" +) +tg (CPTG +uid 4172,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4173,0 +va (VaSet +) +xt "68100,44500,73000,45500" +st "addrSelRow" +ju 2 +blo "73000,45300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "addrSelRow" +t "std_ulogic" +o 11 +suid 152,0 +) +) +) +*145 (CptPort +uid 4174,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4175,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,54625,58000,55375" +) +tg (CPTG +uid 4176,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4177,0 +va (VaSet +) +xt "59000,54500,61100,55500" +st "clock" +blo "59000,55300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 153,0 +) +) +) +*146 (CptPort +uid 4178,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4179,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,54625,74750,55375" +) +tg (CPTG +uid 4180,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4181,0 +va (VaSet +) +xt "67700,54500,73000,55500" +st "commandBus" +ju 2 +blo "73000,55300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "commandBus" +t "std_ulogic_vector" +b "( commandBusBitNb-1 DOWNTO 0 )" +o 12 +suid 154,0 +) +) +) +*147 (CptPort +uid 4182,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4183,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,36625,58000,37375" +) +tg (CPTG +uid 4184,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4185,0 +va (VaSet +) +xt "59000,36500,66500,37500" +st "endOfRefreshCount" +blo "59000,37300" +) +) +thePort (LogicalPort +decl (Decl +n "endOfRefreshCount" +t "std_ulogic" +o 2 +suid 155,0 +) +) +) +*148 (CptPort +uid 4186,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4187,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,36625,74750,37375" +) +tg (CPTG +uid 4188,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4189,0 +va (VaSet +) +xt "67400,36500,73000,37500" +st "powerUpDone" +ju 2 +blo "73000,37300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "powerUpDone" +t "std_ulogic" +o 13 +suid 156,0 +) +) +) +*149 (CptPort +uid 4190,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4191,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,52625,74750,53375" +) +tg (CPTG +uid 4192,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4193,0 +va (VaSet +) +xt "67600,52500,73000,53500" +st "ramDataValid" +ju 2 +blo "73000,53300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 14 +suid 157,0 +) +) +) +*150 (CptPort +uid 4194,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4195,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,52625,58000,53375" +) +tg (CPTG +uid 4196,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4197,0 +va (VaSet +) +xt "59000,52500,61600,53500" +st "ramEn" +blo "59000,53300" +) +) +thePort (LogicalPort +decl (Decl +n "ramEn" +t "std_ulogic" +o 3 +suid 158,0 +) +) +) +*151 (CptPort +uid 4198,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4199,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,48625,58000,49375" +) +tg (CPTG +uid 4200,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4201,0 +va (VaSet +) +xt "59000,48500,62100,49500" +st "readAck" +blo "59000,49300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "readAck" +t "std_ulogic" +o 15 +suid 159,0 +) +) +) +*152 (CptPort +uid 4202,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4203,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,46625,58000,47375" +) +tg (CPTG +uid 4204,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4205,0 +va (VaSet +) +xt "59000,46500,64200,47500" +st "readRequest" +blo "59000,47300" +) +) +thePort (LogicalPort +decl (Decl +n "readRequest" +t "std_ulogic" +o 4 +suid 160,0 +) +) +) +*153 (CptPort +uid 4206,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4207,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,56625,58000,57375" +) +tg (CPTG +uid 4208,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4209,0 +va (VaSet +) +xt "59000,56500,61100,57500" +st "reset" +blo "59000,57300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 161,0 +) +) +) +*154 (CptPort +uid 4210,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4211,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,48625,74750,49375" +) +tg (CPTG +uid 4212,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4213,0 +va (VaSet +) +xt "68200,48500,73000,49500" +st "sampleData" +ju 2 +blo "73000,49300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sampleData" +t "std_ulogic" +o 16 +suid 162,0 +) +) +) +*155 (CptPort +uid 4214,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4215,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,38625,58000,39375" +) +tg (CPTG +uid 4216,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4217,0 +va (VaSet +) +xt "59000,38500,62900,39500" +st "timerDone" +blo "59000,39300" +) +) +thePort (LogicalPort +decl (Decl +n "timerDone" +t "std_ulogic_vector" +b "( 1 TO maxDelayPeriodNb )" +o 6 +suid 163,0 +) +) +) +*156 (CptPort +uid 4218,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4219,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,38625,74750,39375" +) +tg (CPTG +uid 4220,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4221,0 +va (VaSet +) +xt "68900,38500,73000,39500" +st "timerStart" +ju 2 +blo "73000,39300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "timerStart" +t "std_ulogic" +o 17 +suid 164,0 +) +) +) +*157 (CptPort +uid 4222,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4223,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,44625,58000,45375" +) +tg (CPTG +uid 4224,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4225,0 +va (VaSet +) +xt "59000,44500,62200,45500" +st "writeAck" +blo "59000,45300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "writeAck" +t "std_ulogic" +o 18 +suid 165,0 +) +) +) +*158 (CptPort +uid 4226,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4227,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,42625,58000,43375" +) +tg (CPTG +uid 4228,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4229,0 +va (VaSet +) +xt "59000,42500,64300,43500" +st "writeRequest" +blo "59000,43300" +) +) +thePort (LogicalPort +decl (Decl +n "writeRequest" +t "std_ulogic" +o 7 +suid 166,0 +) +) +) +] +shape (Rectangle +uid 4231,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "58000,33000,74000,59000" +) +oxt "35000,6000,51000,32000" +ttg (MlTextGroup +uid 4232,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*159 (Text +uid 4233,0 +va (VaSet +font "courier,8,1" +) +xt "58750,59000,62050,60000" +st "memory" +blo "58750,59800" +tm "BdLibraryNameMgr" +) +*160 (Text +uid 4234,0 +va (VaSet +font "courier,8,1" +) +xt "58750,60000,67250,61000" +st "sdramControllerFsm" +blo "58750,60800" +tm "CptNameMgr" +) +*161 (Text +uid 4235,0 +va (VaSet +font "courier,8,1" +) +xt "58750,61000,60550,62000" +st "U_0" +blo "58750,61800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 4236,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 4237,0 +text (MLText +uid 4238,0 +va (VaSet +font "courier,8,0" +) +xt "39000,62000,85000,71000" +st "commandBusBitNb = commandBusBitNb ( positive ) +maxDelayPeriodNb = maxDelayPeriodNb ( positive ) +prechargeToRefreshPeriodNb = 2 ( positive ) --66MHz * 20 ns = 1.32 +refreshDelayPeriodNb = 5 ( positive ) --66MHz * 66ns = 4.356 +loadModeToActivePeriodNb = 1 ( positive ) --1 CK +activeToWritePeriodNb = 2 ( positive ) --66MHz * 20ns = 1.32 +writeToActivePeriodNb = 3 ( positive ) --1 CK + 66MHz * 20ns = 2.32 +activeToReadPeriodNb = 2 ( positive ) --66MHz * 20ns = 1.32 +readToSamplePeriodNb = 2 ( positive ) --2 CK with latency = 2 +readToActivePeriodNb = 3 ( positive ) --1 CK + 66MHz * 20ns = 2.32 " +) +header "" +) +elements [ +(GiElement +name "commandBusBitNb" +type "positive" +value "commandBusBitNb" +) +(GiElement +name "maxDelayPeriodNb" +type "positive" +value "maxDelayPeriodNb" +) +(GiElement +name "prechargeToRefreshPeriodNb" +type "positive" +value "2" +e "66MHz * 20 ns = 1.32" +) +(GiElement +name "refreshDelayPeriodNb" +type "positive" +value "5" +e "66MHz * 66ns = 4.356" +) +(GiElement +name "loadModeToActivePeriodNb" +type "positive" +value "1" +e "1 CK" +) +(GiElement +name "activeToWritePeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +(GiElement +name "writeToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +(GiElement +name "activeToReadPeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +(GiElement +name "readToSamplePeriodNb" +type "positive" +value "2" +e "2 CK with latency = 2" +) +(GiElement +name "readToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +] +) +viewicon (ZoomableIcon +uid 4239,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "58250,57250,59750,58750" +iconName "StateMachineViewIcon.png" +iconMaskName "StateMachineViewIcon.msk" +ftype 3 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*162 (Wire +uid 57,0 +shape (OrthoPolyLine +uid 58,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "154750,37000,162000,37000" +pts [ +"162000,37000" +"154750,37000" +] +) +start &1 +end &134 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 61,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +font "courier,12,0" +) +xt "156000,35600,165700,37000" +st "memAddress" +blo "156000,36800" +tm "WireNameMgr" +) +) +on &2 +) +*163 (Wire +uid 85,0 +shape (OrthoPolyLine +uid 86,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "74750,76000,83000,76000" +pts [ +"83000,76000" +"74750,76000" +] +) +start &3 +end &111 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 89,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 90,0 +va (VaSet +font "courier,12,0" +) +xt "76000,74600,84100,76000" +st "memDataIn" +blo "76000,75800" +tm "WireNameMgr" +) +) +on &4 +) +*164 (Wire +uid 99,0 +shape (OrthoPolyLine +uid 100,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "154750,61000,162000,61000" +pts [ +"162000,61000" +"154750,61000" +] +) +start &5 +end &102 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 103,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 104,0 +va (VaSet +font "courier,12,0" +) +xt "161000,59600,170900,61000" +st "memDataOut" +blo "161000,60800" +tm "WireNameMgr" +) +) +on &6 +) +*165 (Wire +uid 113,0 +shape (OrthoPolyLine +uid 114,0 +va (VaSet +vasetType 3 +) +xt "114000,83000,122000,83000" +pts [ +"122000,83000" +"114000,83000" +] +) +start &7 +end &59 +sat 32 +eat 2 +st 0 +sf 1 +si 0 +tg (WTG +uid 117,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 118,0 +va (VaSet +font "courier,12,0" +) +xt "116000,81600,123200,83000" +st "memWr_n" +blo "116000,82800" +tm "WireNameMgr" +) +) +on &8 +) +*166 (Wire +uid 127,0 +shape (OrthoPolyLine +uid 128,0 +va (VaSet +vasetType 3 +) +xt "50000,53000,57250,53000" +pts [ +"50000,53000" +"57250,53000" +] +) +start &9 +end &150 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 131,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 132,0 +va (VaSet +font "courier,12,0" +) +xt "50000,51600,54800,53000" +st "ramEn" +blo "50000,52800" +tm "WireNameMgr" +) +) +on &10 +) +*167 (Wire +uid 141,0 +shape (OrthoPolyLine +uid 142,0 +va (VaSet +vasetType 3 +) +xt "10000,49000,17250,49000" +pts [ +"10000,49000" +"17250,49000" +] +) +start &11 +end &82 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 145,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 146,0 +va (VaSet +font "courier,12,0" +) +xt "10000,47600,14800,49000" +st "ramRd" +blo "10000,48800" +tm "WireNameMgr" +) +) +on &12 +) +*168 (Wire +uid 169,0 +shape (OrthoPolyLine +uid 170,0 +va (VaSet +vasetType 3 +) +xt "54000,57000,57250,57000" +pts [ +"54000,57000" +"57250,57000" +] +) +start &15 +end &153 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 173,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 174,0 +va (VaSet +font "courier,12,0" +) +xt "53000,55600,57100,57000" +st "reset" +blo "53000,56800" +tm "WireNameMgr" +) +) +on &16 +) +*169 (Wire +uid 183,0 +shape (OrthoPolyLine +uid 184,0 +va (VaSet +vasetType 3 +) +xt "114000,81000,122000,81000" +pts [ +"122000,81000" +"114000,81000" +] +) +start &17 +end &59 +sat 32 +eat 2 +st 0 +sf 1 +si 0 +tg (WTG +uid 187,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 188,0 +va (VaSet +font "courier,12,0" +) +xt "117000,79600,123300,81000" +st "sdCas_n" +blo "117000,80800" +tm "WireNameMgr" +) +) +on &18 +) +*170 (Wire +uid 197,0 +shape (OrthoPolyLine +uid 198,0 +va (VaSet +vasetType 3 +) +xt "154000,19000,162000,19000" +pts [ +"162000,19000" +"154000,19000" +] +) +start &19 +end &52 +sat 32 +eat 2 +st 0 +sf 1 +si 0 +tg (WTG +uid 201,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 202,0 +va (VaSet +font "courier,12,0" +) +xt "159000,17600,163700,19000" +st "sdCke" +blo "159000,18800" +tm "WireNameMgr" +) +) +on &20 +) +*171 (Wire +uid 211,0 +shape (OrthoPolyLine +uid 212,0 +va (VaSet +vasetType 3 +) +xt "154000,21000,162000,21000" +pts [ +"162000,21000" +"154000,21000" +] +) +start &21 +end &52 +sat 32 +eat 2 +st 0 +sf 1 +si 0 +tg (WTG +uid 215,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 216,0 +va (VaSet +font "courier,12,0" +) +xt "159000,19600,163200,21000" +st "sdClk" +blo "159000,20800" +tm "WireNameMgr" +) +) +on &22 +) +*172 (Wire +uid 225,0 +shape (OrthoPolyLine +uid 226,0 +va (VaSet +vasetType 3 +) +xt "114000,77000,122000,77000" +pts [ +"122000,77000" +"114000,77000" +] +) +start &23 +end &59 +sat 32 +eat 2 +st 0 +sf 1 +si 0 +tg (WTG +uid 229,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 230,0 +va (VaSet +font "courier,12,0" +) +xt "118000,75600,123500,77000" +st "sdCs_n" +blo "118000,76800" +tm "WireNameMgr" +) +) +on &24 +) +*173 (Wire +uid 267,0 +shape (OrthoPolyLine +uid 268,0 +va (VaSet +vasetType 3 +) +xt "114000,79000,122000,79000" +pts [ +"122000,79000" +"114000,79000" +] +) +start &25 +end &59 +sat 32 +eat 2 +st 0 +sf 1 +si 0 +tg (WTG +uid 271,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 272,0 +va (VaSet +font "courier,12,0" +) +xt "117000,77600,123200,79000" +st "sdRas_n" +blo "117000,78800" +tm "WireNameMgr" +) +) +on &26 +) +*174 (Wire +uid 605,0 +shape (OrthoPolyLine +uid 606,0 +va (VaSet +vasetType 3 +) +xt "54000,55000,57250,55000" +pts [ +"54000,55000" +"57250,55000" +] +) +start &38 +end &145 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 609,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 610,0 +va (VaSet +font "courier,12,0" +) +xt "54000,53600,57800,55000" +st "clock" +blo "54000,54800" +tm "WireNameMgr" +) +) +on &39 +) +*175 (Wire +uid 619,0 +shape (OrthoPolyLine +uid 620,0 +va (VaSet +vasetType 3 +) +xt "74750,53000,82000,53000" +pts [ +"74750,53000" +"82000,53000" +] +) +start &149 +end &40 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 623,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 624,0 +va (VaSet +font "courier,12,0" +) +xt "76000,51600,86000,53000" +st "ramDataValid" +blo "76000,52800" +tm "WireNameMgr" +) +) +on &41 +) +*176 (Wire +uid 633,0 +shape (OrthoPolyLine +uid 634,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "130000,37000,137250,37000" +pts [ +"130000,37000" +"137250,37000" +] +) +start &42 +end &136 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 637,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 638,0 +va (VaSet +font "courier,12,0" +) +xt "130000,35600,136100,37000" +st "ramAddr" +blo "130000,36800" +tm "WireNameMgr" +) +) +on &43 +) +*177 (Wire +uid 647,0 +shape (OrthoPolyLine +uid 648,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "130000,61000,137250,61000" +pts [ +"130000,61000" +"137250,61000" +] +) +start &44 +end &103 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 651,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 652,0 +va (VaSet +font "courier,12,0" +) +xt "129000,59600,138300,61000" +st "ramDataOut" +blo "129000,60800" +tm "WireNameMgr" +) +) +on &45 +) +*178 (Wire +uid 661,0 +shape (OrthoPolyLine +uid 662,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "50000,76000,57250,76000" +pts [ +"57250,76000" +"50000,76000" +] +) +start &112 +end &46 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 665,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 666,0 +va (VaSet +font "courier,12,0" +) +xt "50000,74600,57500,76000" +st "ramDataIn" +blo "50000,75800" +tm "WireNameMgr" +) +) +on &47 +) +*179 (Wire +uid 1322,0 +shape (OrthoPolyLine +uid 1323,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "114000,85000,122000,85000" +pts [ +"114000,85000" +"122000,85000" +] +) +start &59 +end &48 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1326,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1327,0 +va (VaSet +font "courier,12,0" +) +xt "117000,83600,122100,85000" +st "sdDqm" +blo "117000,84800" +tm "WireNameMgr" +) +) +on &49 +) +*180 (Wire +uid 1336,0 +shape (OrthoPolyLine +uid 1337,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "154750,39000,162000,39000" +pts [ +"154750,39000" +"162000,39000" +] +) +start &135 +end &50 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1340,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1341,0 +va (VaSet +font "courier,12,0" +) +xt "156000,37600,168800,39000" +st "memBankAddress" +blo "156000,38800" +tm "WireNameMgr" +) +) +on &51 +) +*181 (Wire +uid 1637,0 +shape (OrthoPolyLine +uid 1638,0 +va (VaSet +vasetType 3 +) +xt "94000,43000,97250,43000" +pts [ +"94000,43000" +"97250,43000" +] +) +end &122 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1643,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1644,0 +va (VaSet +font "courier,12,0" +) +xt "93000,41600,97100,43000" +st "reset" +blo "93000,42800" +tm "WireNameMgr" +) +) +on &16 +) +*182 (Wire +uid 1645,0 +shape (OrthoPolyLine +uid 1646,0 +va (VaSet +vasetType 3 +) +xt "94000,41000,97250,41000" +pts [ +"94000,41000" +"97250,41000" +] +) +end &119 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1651,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1652,0 +va (VaSet +font "courier,12,0" +) +xt "94000,39600,97800,41000" +st "clock" +blo "94000,40800" +tm "WireNameMgr" +) +) +on &39 +) +*183 (Wire +uid 1655,0 +shape (OrthoPolyLine +uid 1656,0 +va (VaSet +vasetType 3 +) +xt "74750,37000,97250,37000" +pts [ +"74750,37000" +"97250,37000" +] +) +start &148 +end &121 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1661,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1662,0 +va (VaSet +font "courier,12,0" +) +xt "76000,35600,86600,37000" +st "powerUpDone" +blo "76000,36800" +tm "WireNameMgr" +) +) +on &56 +) +*184 (Wire +uid 1671,0 +shape (OrthoPolyLine +uid 1672,0 +va (VaSet +vasetType 3 +) +xt "54000,29000,118000,37000" +pts [ +"114750,37000" +"118000,37000" +"118000,29000" +"54000,29000" +"54000,37000" +"57250,37000" +] +) +start &120 +end &147 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1677,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1678,0 +va (VaSet +font "courier,12,0" +) +xt "117000,35600,131000,37000" +st "endOfRefreshCount" +blo "117000,36800" +tm "WireNameMgr" +) +) +on &57 +) +*185 (Wire +uid 1687,0 +shape (OrthoPolyLine +uid 1688,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "74750,55000,98000,77000" +pts [ +"74750,55000" +"86000,55000" +"86000,77000" +"98000,77000" +] +) +start &146 +end &59 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1693,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1694,0 +va (VaSet +font "courier,12,0" +) +xt "88000,75600,97900,77000" +st "commandBus" +blo "88000,76800" +tm "WireNameMgr" +) +) +on &58 +) +*186 (Wire +uid 1761,0 +shape (OrthoPolyLine +uid 1762,0 +va (VaSet +vasetType 3 +) +xt "74750,39000,97250,57000" +pts [ +"74750,39000" +"90000,39000" +"90000,57000" +"97250,57000" +] +) +start &156 +end &96 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1767,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1768,0 +va (VaSet +font "courier,12,0" +) +xt "76000,37600,83900,39000" +st "timerStart" +blo "76000,38800" +tm "WireNameMgr" +) +) +on &63 +) +*187 (Wire +uid 1769,0 +shape (OrthoPolyLine +uid 1770,0 +va (VaSet +vasetType 3 +) +xt "94000,61000,97250,61000" +pts [ +"94000,61000" +"97250,61000" +] +) +end &93 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1775,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1776,0 +va (VaSet +font "courier,12,0" +) +xt "94000,59600,97800,61000" +st "clock" +blo "94000,60800" +tm "WireNameMgr" +) +) +on &39 +) +*188 (Wire +uid 1777,0 +shape (OrthoPolyLine +uid 1778,0 +va (VaSet +vasetType 3 +) +xt "94000,63000,97250,63000" +pts [ +"94000,63000" +"97250,63000" +] +) +end &94 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1783,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1784,0 +va (VaSet +font "courier,12,0" +) +xt "93000,61600,97100,63000" +st "reset" +blo "93000,62800" +tm "WireNameMgr" +) +) +on &16 +) +*189 (Wire +uid 1789,0 +shape (OrthoPolyLine +uid 1790,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "52000,27000,120000,57000" +pts [ +"114750,57000" +"120000,57000" +"120000,27000" +"52000,27000" +"52000,39000" +"57250,39000" +] +) +start &95 +end &155 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1795,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1796,0 +va (VaSet +font "courier,12,0" +) +xt "117000,55600,124300,57000" +st "timerDone" +blo "117000,56800" +tm "WireNameMgr" +) +) +on &64 +) +*190 (Wire +uid 1916,0 +shape (OrthoPolyLine +uid 1917,0 +va (VaSet +vasetType 3 +) +xt "130000,39000,137250,39000" +pts [ +"130000,39000" +"137250,39000" +] +) +end &132 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1922,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1923,0 +va (VaSet +font "courier,12,0" +) +xt "127000,37600,139800,39000" +st "addrSelPrecharge" +blo "127000,38800" +tm "WireNameMgr" +) +) +on &65 +) +*191 (Wire +uid 1926,0 +shape (OrthoPolyLine +uid 1927,0 +va (VaSet +vasetType 3 +) +xt "74750,41000,82000,41000" +pts [ +"74750,41000" +"82000,41000" +] +) +start &143 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 1932,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1933,0 +va (VaSet +font "courier,12,0" +) +xt "76000,39600,88800,41000" +st "addrSelPrecharge" +blo "76000,40800" +tm "WireNameMgr" +) +) +on &65 +) +*192 (Wire +uid 2043,0 +shape (OrthoPolyLine +uid 2044,0 +va (VaSet +vasetType 3 +) +xt "74750,43000,82000,43000" +pts [ +"74750,43000" +"82000,43000" +] +) +start &142 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 2049,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2050,0 +va (VaSet +font "courier,12,0" +) +xt "76000,41600,88200,43000" +st "addrSelModeReg" +blo "76000,42800" +tm "WireNameMgr" +) +) +on &66 +) +*193 (Wire +uid 2053,0 +shape (OrthoPolyLine +uid 2054,0 +va (VaSet +vasetType 3 +) +xt "130000,41000,137250,41000" +pts [ +"130000,41000" +"137250,41000" +] +) +end &131 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2059,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2060,0 +va (VaSet +font "courier,12,0" +) +xt "127000,39600,139200,41000" +st "addrSelModeReg" +blo "127000,40800" +tm "WireNameMgr" +) +) +on &66 +) +*194 (Wire +uid 2063,0 +shape (OrthoPolyLine +uid 2064,0 +va (VaSet +vasetType 3 +) +xt "130000,63000,137250,63000" +pts [ +"130000,63000" +"137250,63000" +] +) +end &104 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2069,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2070,0 +va (VaSet +font "courier,12,0" +) +xt "131000,61600,136000,63000" +st "ramWr" +blo "131000,62800" +tm "WireNameMgr" +) +) +on &14 +) +*195 (Wire +uid 2071,0 +shape (OrthoPolyLine +uid 2072,0 +va (VaSet +vasetType 3 +) +xt "134000,67000,137250,67000" +pts [ +"134000,67000" +"137250,67000" +] +) +end &101 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2077,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2078,0 +va (VaSet +font "courier,12,0" +) +xt "134000,65600,137800,67000" +st "clock" +blo "134000,66800" +tm "WireNameMgr" +) +) +on &39 +) +*196 (Wire +uid 2079,0 +shape (OrthoPolyLine +uid 2080,0 +va (VaSet +vasetType 3 +) +xt "134000,69000,137250,69000" +pts [ +"134000,69000" +"137250,69000" +] +) +end &105 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2085,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2086,0 +va (VaSet +font "courier,12,0" +) +xt "133000,67600,137100,69000" +st "reset" +blo "133000,68800" +tm "WireNameMgr" +) +) +on &16 +) +*197 (Wire +uid 2234,0 +shape (OrthoPolyLine +uid 2235,0 +va (VaSet +vasetType 3 +) +xt "10000,27000,17250,27000" +pts [ +"10000,27000" +"17250,27000" +] +) +start &13 +end &71 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2240,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2241,0 +va (VaSet +font "courier,12,0" +) +xt "10000,25600,15000,27000" +st "ramWr" +blo "10000,26800" +tm "WireNameMgr" +) +) +on &14 +) +*198 (Wire +uid 2242,0 +shape (OrthoPolyLine +uid 2243,0 +va (VaSet +vasetType 3 +) +xt "14000,33000,17250,33000" +pts [ +"14000,33000" +"17250,33000" +] +) +end &70 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2248,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2249,0 +va (VaSet +font "courier,12,0" +) +xt "14000,31600,17800,33000" +st "clock" +blo "14000,32800" +tm "WireNameMgr" +) +) +on &39 +) +*199 (Wire +uid 2250,0 +shape (OrthoPolyLine +uid 2251,0 +va (VaSet +vasetType 3 +) +xt "14000,35000,17250,35000" +pts [ +"14000,35000" +"17250,35000" +] +) +end &72 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2256,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2257,0 +va (VaSet +font "courier,12,0" +) +xt "13000,33600,17100,35000" +st "reset" +blo "13000,34800" +tm "WireNameMgr" +) +) +on &16 +) +*200 (Wire +uid 2258,0 +shape (OrthoPolyLine +uid 2259,0 +va (VaSet +vasetType 3 +) +xt "34750,27000,42000,27000" +pts [ +"34750,27000" +"42000,27000" +] +) +start &74 +ss 0 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2264,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2265,0 +va (VaSet +font "courier,12,0" +) +xt "36000,25600,46000,27000" +st "writeRequest" +blo "36000,26800" +tm "WireNameMgr" +) +) +on &67 +) +*201 (Wire +uid 2268,0 +shape (OrthoPolyLine +uid 2269,0 +va (VaSet +vasetType 3 +) +xt "10000,29000,17250,29000" +pts [ +"17250,29000" +"10000,29000" +] +) +start &73 +ss 0 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2274,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2275,0 +va (VaSet +font "courier,12,0" +) +xt "11000,27600,17100,29000" +st "writeAck" +blo "11000,28800" +tm "WireNameMgr" +) +) +on &68 +) +*202 (Wire +uid 2342,0 +shape (OrthoPolyLine +uid 2343,0 +va (VaSet +vasetType 3 +) +xt "50000,45000,57250,45000" +pts [ +"57250,45000" +"50000,45000" +] +) +start &157 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2348,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2349,0 +va (VaSet +font "courier,12,0" +) +xt "49000,43600,55100,45000" +st "writeAck" +blo "49000,44800" +tm "WireNameMgr" +) +) +on &68 +) +*203 (Wire +uid 2350,0 +shape (OrthoPolyLine +uid 2351,0 +va (VaSet +vasetType 3 +) +xt "50000,43000,57250,43000" +pts [ +"57250,43000" +"50000,43000" +] +) +start &158 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2356,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2357,0 +va (VaSet +font "courier,12,0" +) +xt "48000,41600,58000,43000" +st "writeRequest" +blo "48000,42800" +tm "WireNameMgr" +) +) +on &67 +) +*204 (Wire +uid 2358,0 +shape (OrthoPolyLine +uid 2359,0 +va (VaSet +vasetType 3 +) +xt "74750,45000,82000,45000" +pts [ +"74750,45000" +"82000,45000" +] +) +start &144 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 2364,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2365,0 +va (VaSet +font "courier,12,0" +) +xt "76000,43600,85100,45000" +st "addrSelRow" +blo "76000,44800" +tm "WireNameMgr" +) +) +on &78 +) +*205 (Wire +uid 2368,0 +shape (OrthoPolyLine +uid 2369,0 +va (VaSet +vasetType 3 +) +xt "130000,43000,137250,43000" +pts [ +"130000,43000" +"137250,43000" +] +) +end &133 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2374,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2375,0 +va (VaSet +font "courier,12,0" +) +xt "128000,41600,137100,43000" +st "addrSelRow" +blo "128000,42800" +tm "WireNameMgr" +) +) +on &78 +) +*206 (Wire +uid 2376,0 +shape (OrthoPolyLine +uid 2377,0 +va (VaSet +vasetType 3 +) +xt "74750,47000,82000,47000" +pts [ +"74750,47000" +"82000,47000" +] +) +start &141 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 2382,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2383,0 +va (VaSet +font "courier,12,0" +) +xt "76000,45600,84400,47000" +st "addrSelCol" +blo "76000,46800" +tm "WireNameMgr" +) +) +on &79 +) +*207 (Wire +uid 2386,0 +shape (OrthoPolyLine +uid 2387,0 +va (VaSet +vasetType 3 +) +xt "130000,45000,137250,45000" +pts [ +"130000,45000" +"137250,45000" +] +) +end &130 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2392,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2393,0 +va (VaSet +font "courier,12,0" +) +xt "128000,43600,136400,45000" +st "addrSelCol" +blo "128000,44800" +tm "WireNameMgr" +) +) +on &79 +) +*208 (Wire +uid 2428,0 +shape (OrthoPolyLine +uid 2429,0 +va (VaSet +vasetType 3 +) +xt "14000,57000,17250,57000" +pts [ +"14000,57000" +"17250,57000" +] +) +end &83 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2432,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2433,0 +va (VaSet +font "courier,12,0" +) +xt "13000,55600,17100,57000" +st "reset" +blo "13000,56800" +tm "WireNameMgr" +) +) +on &16 +) +*209 (Wire +uid 2434,0 +shape (OrthoPolyLine +uid 2435,0 +va (VaSet +vasetType 3 +) +xt "14000,55000,17250,55000" +pts [ +"14000,55000" +"17250,55000" +] +) +end &81 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2438,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2439,0 +va (VaSet +font "courier,12,0" +) +xt "14000,53600,17800,55000" +st "clock" +blo "14000,54800" +tm "WireNameMgr" +) +) +on &39 +) +*210 (Wire +uid 2440,0 +shape (OrthoPolyLine +uid 2441,0 +va (VaSet +vasetType 3 +) +xt "10000,51000,17250,51000" +pts [ +"17250,51000" +"10000,51000" +] +) +start &84 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2446,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2447,0 +va (VaSet +font "courier,12,0" +) +xt "11000,49600,16800,51000" +st "readAck" +blo "11000,50800" +tm "WireNameMgr" +) +) +on &89 +) +*211 (Wire +uid 2448,0 +shape (OrthoPolyLine +uid 2449,0 +va (VaSet +vasetType 3 +) +xt "34750,49000,42000,49000" +pts [ +"34750,49000" +"42000,49000" +] +) +start &85 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2454,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2455,0 +va (VaSet +font "courier,12,0" +) +xt "36000,47600,45700,49000" +st "readRequest" +blo "36000,48800" +tm "WireNameMgr" +) +) +on &90 +) +*212 (Wire +uid 2460,0 +shape (OrthoPolyLine +uid 2461,0 +va (VaSet +vasetType 3 +) +xt "50000,47000,57250,47000" +pts [ +"57250,47000" +"50000,47000" +] +) +start &152 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2466,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2467,0 +va (VaSet +font "courier,12,0" +) +xt "49000,45600,58700,47000" +st "readRequest" +blo "49000,46800" +tm "WireNameMgr" +) +) +on &90 +) +*213 (Wire +uid 2468,0 +shape (OrthoPolyLine +uid 2469,0 +va (VaSet +vasetType 3 +) +xt "50000,49000,57250,49000" +pts [ +"57250,49000" +"50000,49000" +] +) +start &151 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2474,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2475,0 +va (VaSet +font "courier,12,0" +) +xt "49000,47600,54800,49000" +st "readAck" +blo "49000,48800" +tm "WireNameMgr" +) +) +on &89 +) +*214 (Wire +uid 2490,0 +shape (OrthoPolyLine +uid 2491,0 +va (VaSet +vasetType 3 +) +xt "54000,82000,57250,82000" +pts [ +"54000,82000" +"57250,82000" +] +) +end &110 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2496,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2497,0 +va (VaSet +font "courier,12,0" +) +xt "54000,80600,57800,82000" +st "clock" +blo "54000,81800" +tm "WireNameMgr" +) +) +on &39 +) +*215 (Wire +uid 2498,0 +shape (OrthoPolyLine +uid 2499,0 +va (VaSet +vasetType 3 +) +xt "54000,84000,57250,84000" +pts [ +"54000,84000" +"57250,84000" +] +) +end &113 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2504,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2505,0 +va (VaSet +font "courier,12,0" +) +xt "53000,82600,57100,84000" +st "reset" +blo "53000,83800" +tm "WireNameMgr" +) +) +on &16 +) +*216 (Wire +uid 2508,0 +shape (OrthoPolyLine +uid 2509,0 +va (VaSet +vasetType 3 +) +xt "74750,49000,82000,49000" +pts [ +"74750,49000" +"82000,49000" +] +) +start &154 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 2514,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2515,0 +va (VaSet +font "courier,12,0" +) +xt "76000,47600,85100,49000" +st "sampleData" +blo "76000,48800" +tm "WireNameMgr" +) +) +on &91 +) +*217 (Wire +uid 2518,0 +shape (OrthoPolyLine +uid 2519,0 +va (VaSet +vasetType 3 +) +xt "50000,80000,57250,80000" +pts [ +"57250,80000" +"50000,80000" +] +) +start &114 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 2524,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2525,0 +va (VaSet +font "courier,12,0" +) +xt "48000,78600,57100,80000" +st "sampleData" +blo "48000,79800" +tm "WireNameMgr" +) +) +on &91 +) +*218 (Wire +uid 3580,0 +shape (OrthoPolyLine +uid 3581,0 +va (VaSet +vasetType 3 +) +xt "114750,39000,122000,39000" +pts [ +"114750,39000" +"122000,39000" +] +) +start &123 +end &128 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 3584,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3585,0 +va (VaSet +font "courier,12,0" +) +xt "116750,37600,126850,39000" +st "selectRefresh" +blo "116750,38800" +tm "WireNameMgr" +) +) +on &127 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *219 (PackageList +uid 345,0 +stg "VerticalLayoutStrategy" +textVec [ +*220 (Text +uid 346,0 +va (VaSet +font "courier,8,1" +) +xt "-10000,0,-4600,1000" +st "Package List" +blo "-10000,800" +) +*221 (MLText +uid 347,0 +va (VaSet +) +xt "-10000,1000,8600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 348,0 +stg "VerticalLayoutStrategy" +textVec [ +*222 (Text +uid 349,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*223 (Text +uid 350,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*224 (MLText +uid 351,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32000,4000" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*225 (Text +uid 352,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*226 (MLText +uid 353,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*227 (Text +uid 354,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*228 (MLText +uid 355,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "193,111,1505,984" +viewArea "-11177,81929,31991,110521" +cachedDiagramExtent "-10000,0,177800,124000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +windowsPaperType 9 +scale 40 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-10000,0" +lastUid 4544,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*229 (Text +va (VaSet +font "courier,12,0" +) +xt "2200,3500,8700,4900" +st "" +blo "2200,4700" +tm "BdLibraryNameMgr" +) +*230 (Text +va (VaSet +font "courier,12,0" +) +xt "2200,4900,8000,6300" +st "" +blo "2200,6100" +tm "BlkNameMgr" +) +*231 (Text +va (VaSet +font "courier,12,0" +) +xt "2200,6300,5500,7700" +st "U_0" +blo "2200,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "2200,13500,2200,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*232 (Text +va (VaSet +font "courier,8,1" +) +xt "550,3500,3450,4500" +st "Library" +blo "550,4300" +) +*233 (Text +va (VaSet +font "courier,8,1" +) +xt "550,4500,7450,5500" +st "MWComponent" +blo "550,5300" +) +*234 (Text +va (VaSet +font "courier,8,1" +) +xt "550,5500,2350,6500" +st "U_0" +blo "550,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6450,1500,-6450,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*235 (Text +va (VaSet +) +xt "900,3500,5300,4700" +st "Library" +blo "900,4500" +tm "BdLibraryNameMgr" +) +*236 (Text +va (VaSet +) +xt "900,4700,10200,5900" +st "SaComponent" +blo "900,5700" +tm "CptNameMgr" +) +*237 (Text +va (VaSet +) +xt "900,5900,3700,7100" +st "U_0" +blo "900,6900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6100,1500,-6100,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*238 (Text +va (VaSet +) +xt "500,3500,4900,4700" +st "Library" +blo "500,4500" +) +*239 (Text +va (VaSet +) +xt "500,4700,10800,5900" +st "VhdlComponent" +blo "500,5700" +) +*240 (Text +va (VaSet +) +xt "500,5900,3300,7100" +st "U_0" +blo "500,6900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1500,-6500,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-450,0,8450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*241 (Text +va (VaSet +) +xt "50,3500,4450,4700" +st "Library" +blo "50,4500" +) +*242 (Text +va (VaSet +) +xt "50,4700,11750,5900" +st "VerilogComponent" +blo "50,5700" +) +*243 (Text +va (VaSet +) +xt "50,5900,2850,7100" +st "U_0" +blo "50,6900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6950,1500,-6950,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*244 (Text +va (VaSet +font "courier,9,0" +) +xt "3150,4000,5150,5200" +st "eb1" +blo "3150,4900" +tm "HdlTextNameMgr" +) +*245 (Text +va (VaSet +font "courier,9,0" +) +xt "3150,5200,4150,6400" +st "1" +blo "3150,6100" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,9,0" +) +xt "-750,-600,750,600" +st "G" +blo "-750,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,-400,3400,1000" +st "sig0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,-400,4700,1000" +st "dbus0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,3000,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1200,2000" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,9,0" +) +) +second (MLText +va (VaSet +font "courier,9,0" +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,17400,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*246 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*247 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,10800,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*248 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*249 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,9,0" +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,9,0" +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,9,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-10000,70600,-4600,71600" +st "Declarations" +blo "-10000,71400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-10000,71600,-7300,72600" +st "Ports:" +blo "-10000,72400" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-10000,97800,-6200,98800" +st "Pre User:" +blo "-10000,98600" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-8000,98800,29500,105100" +st "constant commandBusBitNb: positive := 6; +--constant maxDelayPeriodNb: positive := 5; + +-- delay counter: +-- 20120621 -- zas -- added to generics +--constant delayCounterBitNb: positive := 13; -- 66MHz * 100us = 6600 < 8K +--constant refreshPeriodNb: positive := 1031; -- 66MHz * 64ms / 4096" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "-10000,104400,-2900,105400" +st "Diagram Signals:" +blo "-10000,105200" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-10000,70600,-5300,71600" +st "Post User:" +blo "-10000,71400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-10000,70600,-10000,70600" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 53,0 +usingSuid 1 +emptyRow *250 (LEmptyRow +) +uid 358,0 +optionalChildren [ +*251 (RefLabelRowHdr +) +*252 (TitleRowHdr +) +*253 (FilterRowHdr +) +*254 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*255 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*256 (GroupColHdr +tm "GroupColHdrMgr" +) +*257 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*258 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*259 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*260 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*261 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*262 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*263 (LeafLogPort +port (LogicalPort +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 6,0 +) +) +uid 283,0 +) +*264 (LeafLogPort +port (LogicalPort +decl (Decl +n "ramEn" +t "std_ulogic" +o 5 +suid 9,0 +) +) +uid 285,0 +) +*265 (LeafLogPort +port (LogicalPort +decl (Decl +n "ramRd" +t "std_ulogic" +o 6 +suid 10,0 +) +) +uid 287,0 +) +*266 (LeafLogPort +port (LogicalPort +decl (Decl +n "ramWr" +t "std_ulogic" +o 7 +suid 11,0 +) +) +uid 289,0 +) +*267 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 12,0 +) +) +uid 291,0 +) +*268 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "( chipAddressBitNb-1 DOWNTO 0 )" +o 9 +suid 4,0 +) +) +uid 293,0 +) +*269 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 7,0 +) +) +uid 295,0 +) +*270 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 12 +suid 8,0 +) +) +uid 297,0 +) +*271 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "sdCas_n" +t "std_ulogic" +o 15 +suid 13,0 +) +) +uid 299,0 +) +*272 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "sdCke" +t "std_ulogic" +o 16 +suid 14,0 +) +) +uid 301,0 +) +*273 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "sdClk" +t "std_ulogic" +o 17 +suid 15,0 +) +) +uid 303,0 +) +*274 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "sdCs_n" +t "std_ulogic" +o 18 +suid 16,0 +) +) +uid 305,0 +) +*275 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "sdRas_n" +t "std_ulogic" +o 20 +suid 19,0 +) +) +uid 311,0 +) +*276 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 23,0 +) +) +uid 590,0 +) +*277 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 14 +suid 24,0 +) +) +uid 592,0 +) +*278 (LeafLogPort +port (LogicalPort +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 3 +suid 25,0 +) +) +uid 594,0 +) +*279 (LeafLogPort +port (LogicalPort +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 26,0 +) +) +uid 596,0 +) +*280 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 13 +suid 27,0 +) +) +uid 598,0 +) +*281 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "sdDqm" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 19 +suid 28,0 +) +) +uid 1313,0 +) +*282 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "memBankAddress" +t "std_ulogic_vector" +b "( chipBankAddressBitNb-1 DOWNTO 0 )" +o 10 +suid 29,0 +) +) +uid 1315,0 +) +*283 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "powerUpDone" +t "std_ulogic" +o 28 +suid 32,0 +) +) +uid 1667,0 +) +*284 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "endOfRefreshCount" +t "std_ulogic" +o 27 +suid 35,0 +) +) +uid 1683,0 +) +*285 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "commandBus" +t "std_ulogic_vector" +b "(commandBusBitNb-1 DOWNTO 0)" +o 26 +suid 37,0 +) +) +uid 1707,0 +) +*286 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "timerStart" +t "std_ulogic" +o 33 +suid 39,0 +) +) +uid 1797,0 +) +*287 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "timerDone" +t "std_ulogic_vector" +b "(1 TO maxDelayPeriodNb)" +o 32 +suid 41,0 +) +) +uid 1803,0 +) +*288 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "addrSelPrecharge" +t "std_ulogic" +o 24 +suid 43,0 +) +) +uid 1934,0 +) +*289 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "addrSelModeReg" +t "std_ulogic" +o 23 +suid 44,0 +) +) +uid 2061,0 +) +*290 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "writeRequest" +t "std_ulogic" +o 35 +suid 45,0 +) +) +uid 2278,0 +) +*291 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "writeAck" +t "std_ulogic" +o 34 +suid 46,0 +) +) +uid 2280,0 +) +*292 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "addrSelRow" +t "std_ulogic" +o 25 +suid 47,0 +) +) +uid 2394,0 +) +*293 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "addrSelCol" +t "std_ulogic" +o 22 +suid 48,0 +) +) +uid 2396,0 +) +*294 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "readAck" +t "std_ulogic" +o 29 +suid 49,0 +) +) +uid 2476,0 +) +*295 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "readRequest" +t "std_ulogic" +o 30 +suid 50,0 +) +) +uid 2478,0 +) +*296 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleData" +t "std_ulogic" +o 31 +suid 52,0 +) +) +uid 2526,0 +) +*297 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 21 +suid 53,0 +) +) +uid 3592,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 371,0 +optionalChildren [ +*298 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *299 (MRCItem +litem &250 +pos 35 +dimension 20 +) +uid 373,0 +optionalChildren [ +*300 (MRCItem +litem &251 +pos 0 +dimension 20 +uid 374,0 +) +*301 (MRCItem +litem &252 +pos 1 +dimension 23 +uid 375,0 +) +*302 (MRCItem +litem &253 +pos 2 +hidden 1 +dimension 20 +uid 376,0 +) +*303 (MRCItem +litem &263 +pos 5 +dimension 20 +uid 284,0 +) +*304 (MRCItem +litem &264 +pos 8 +dimension 20 +uid 286,0 +) +*305 (MRCItem +litem &265 +pos 9 +dimension 20 +uid 288,0 +) +*306 (MRCItem +litem &266 +pos 10 +dimension 20 +uid 290,0 +) +*307 (MRCItem +litem &267 +pos 11 +dimension 20 +uid 292,0 +) +*308 (MRCItem +litem &268 +pos 3 +dimension 20 +uid 294,0 +) +*309 (MRCItem +litem &269 +pos 6 +dimension 20 +uid 296,0 +) +*310 (MRCItem +litem &270 +pos 7 +dimension 20 +uid 298,0 +) +*311 (MRCItem +litem &271 +pos 12 +dimension 20 +uid 300,0 +) +*312 (MRCItem +litem &272 +pos 13 +dimension 20 +uid 302,0 +) +*313 (MRCItem +litem &273 +pos 14 +dimension 20 +uid 304,0 +) +*314 (MRCItem +litem &274 +pos 15 +dimension 20 +uid 306,0 +) +*315 (MRCItem +litem &275 +pos 17 +dimension 20 +uid 312,0 +) +*316 (MRCItem +litem &276 +pos 0 +dimension 20 +uid 589,0 +) +*317 (MRCItem +litem &277 +pos 1 +dimension 20 +uid 591,0 +) +*318 (MRCItem +litem &278 +pos 2 +dimension 20 +uid 593,0 +) +*319 (MRCItem +litem &279 +pos 4 +dimension 20 +uid 595,0 +) +*320 (MRCItem +litem &280 +pos 18 +dimension 20 +uid 597,0 +) +*321 (MRCItem +litem &281 +pos 16 +dimension 20 +uid 1312,0 +) +*322 (MRCItem +litem &282 +pos 19 +dimension 20 +uid 1314,0 +) +*323 (MRCItem +litem &283 +pos 21 +dimension 20 +uid 1668,0 +) +*324 (MRCItem +litem &284 +pos 22 +dimension 20 +uid 1684,0 +) +*325 (MRCItem +litem &285 +pos 23 +dimension 20 +uid 1708,0 +) +*326 (MRCItem +litem &286 +pos 24 +dimension 20 +uid 1798,0 +) +*327 (MRCItem +litem &287 +pos 25 +dimension 20 +uid 1804,0 +) +*328 (MRCItem +litem &288 +pos 26 +dimension 20 +uid 1935,0 +) +*329 (MRCItem +litem &289 +pos 27 +dimension 20 +uid 2062,0 +) +*330 (MRCItem +litem &290 +pos 28 +dimension 20 +uid 2279,0 +) +*331 (MRCItem +litem &291 +pos 29 +dimension 20 +uid 2281,0 +) +*332 (MRCItem +litem &292 +pos 30 +dimension 20 +uid 2395,0 +) +*333 (MRCItem +litem &293 +pos 31 +dimension 20 +uid 2397,0 +) +*334 (MRCItem +litem &294 +pos 32 +dimension 20 +uid 2477,0 +) +*335 (MRCItem +litem &295 +pos 33 +dimension 20 +uid 2479,0 +) +*336 (MRCItem +litem &296 +pos 34 +dimension 20 +uid 2527,0 +) +*337 (MRCItem +litem &297 +pos 20 +dimension 20 +uid 3593,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 377,0 +optionalChildren [ +*338 (MRCItem +litem &254 +pos 0 +dimension 20 +uid 378,0 +) +*339 (MRCItem +litem &256 +pos 1 +dimension 50 +uid 379,0 +) +*340 (MRCItem +litem &257 +pos 2 +dimension 100 +uid 380,0 +) +*341 (MRCItem +litem &258 +pos 3 +dimension 50 +uid 381,0 +) +*342 (MRCItem +litem &259 +pos 4 +dimension 100 +uid 382,0 +) +*343 (MRCItem +litem &260 +pos 5 +dimension 100 +uid 383,0 +) +*344 (MRCItem +litem &261 +pos 6 +dimension 50 +uid 384,0 +) +*345 (MRCItem +litem &262 +pos 7 +dimension 80 +uid 385,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 372,0 +vaOverrides [ +] +) +] +) +uid 357,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *346 (LEmptyRow +) +uid 387,0 +optionalChildren [ +*347 (RefLabelRowHdr +) +*348 (TitleRowHdr +) +*349 (FilterRowHdr +) +*350 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*351 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*352 (GroupColHdr +tm "GroupColHdrMgr" +) +*353 (NameColHdr +tm "GenericNameColHdrMgr" +) +*354 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*355 (InitColHdr +tm "GenericValueColHdrMgr" +) +*356 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*357 (EolColHdr +tm "GenericEolColHdrMgr" +) +*358 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "24" +) +uid 852,0 +) +*359 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "16" +) +uid 854,0 +) +*360 (LogGeneric +generic (GiElement +name "chipAddressBitNb" +type "positive" +value "12" +) +uid 1038,0 +) +*361 (LogGeneric +generic (GiElement +name "chipBankAddressBitNb" +type "positive" +value "2" +) +uid 1444,0 +) +*362 (LogGeneric +generic (GiElement +name "rowAddressBitNb" +type "positive" +value "12" +) +uid 3935,0 +) +*363 (LogGeneric +generic (GiElement +name "colAddressBitNb" +type "positive" +value "9" +) +uid 3937,0 +) +*364 (LogGeneric +generic (GiElement +name "activeToReadPeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +uid 4122,0 +) +*365 (LogGeneric +generic (GiElement +name "activeToWritePeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +uid 4124,0 +) +*366 (LogGeneric +generic (GiElement +name "loadModeToActivePeriodNb" +type "positive" +value "1" +e "1 CK" +) +uid 4126,0 +) +*367 (LogGeneric +generic (GiElement +name "prechargeToRefreshPeriodNb" +type "positive" +value "2" +e "66MHz * 20 ns = 1.32" +) +uid 4128,0 +) +*368 (LogGeneric +generic (GiElement +name "readToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +uid 4130,0 +) +*369 (LogGeneric +generic (GiElement +name "readToSamplePeriodNb" +type "positive" +value "2" +e "2 CK with latency = 2" +) +uid 4132,0 +) +*370 (LogGeneric +generic (GiElement +name "refreshDelayPeriodNb" +type "positive" +value "5" +e "66MHz * 66ns = 4.356" +) +uid 4134,0 +) +*371 (LogGeneric +generic (GiElement +name "writeToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +uid 4136,0 +) +*372 (LogGeneric +generic (GiElement +name "delayCounterbitNb" +type "positive" +value "13" +e "66MHz * 100us = 6600 < 8K" +) +uid 4138,0 +) +*373 (LogGeneric +generic (GiElement +name "refreshPeriodNb" +type "positive" +value "1031" +e "66MHz * 64ms / 4096" +) +uid 4140,0 +) +*374 (LogGeneric +generic (GiElement +name "maxDelayPeriodNb" +type "positive" +value "5" +e "66MHz*66ns = 4.356" +) +uid 4341,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 399,0 +optionalChildren [ +*375 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *376 (MRCItem +litem &346 +pos 17 +dimension 20 +) +uid 401,0 +optionalChildren [ +*377 (MRCItem +litem &347 +pos 0 +dimension 20 +uid 402,0 +) +*378 (MRCItem +litem &348 +pos 1 +dimension 23 +uid 403,0 +) +*379 (MRCItem +litem &349 +pos 2 +hidden 1 +dimension 20 +uid 404,0 +) +*380 (MRCItem +litem &358 +pos 0 +dimension 20 +uid 851,0 +) +*381 (MRCItem +litem &359 +pos 1 +dimension 20 +uid 853,0 +) +*382 (MRCItem +litem &360 +pos 2 +dimension 20 +uid 1037,0 +) +*383 (MRCItem +litem &361 +pos 3 +dimension 20 +uid 1443,0 +) +*384 (MRCItem +litem &362 +pos 4 +dimension 20 +uid 3936,0 +) +*385 (MRCItem +litem &363 +pos 5 +dimension 20 +uid 3938,0 +) +*386 (MRCItem +litem &364 +pos 6 +dimension 20 +uid 4123,0 +) +*387 (MRCItem +litem &365 +pos 7 +dimension 20 +uid 4125,0 +) +*388 (MRCItem +litem &366 +pos 8 +dimension 20 +uid 4127,0 +) +*389 (MRCItem +litem &367 +pos 9 +dimension 20 +uid 4129,0 +) +*390 (MRCItem +litem &368 +pos 10 +dimension 20 +uid 4131,0 +) +*391 (MRCItem +litem &369 +pos 11 +dimension 20 +uid 4133,0 +) +*392 (MRCItem +litem &370 +pos 12 +dimension 20 +uid 4135,0 +) +*393 (MRCItem +litem &371 +pos 13 +dimension 20 +uid 4137,0 +) +*394 (MRCItem +litem &372 +pos 14 +dimension 20 +uid 4139,0 +) +*395 (MRCItem +litem &373 +pos 15 +dimension 20 +uid 4141,0 +) +*396 (MRCItem +litem &374 +pos 16 +dimension 20 +uid 4342,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 405,0 +optionalChildren [ +*397 (MRCItem +litem &350 +pos 0 +dimension 20 +uid 406,0 +) +*398 (MRCItem +litem &352 +pos 1 +dimension 50 +uid 407,0 +) +*399 (MRCItem +litem &353 +pos 2 +dimension 222 +uid 408,0 +) +*400 (MRCItem +litem &354 +pos 3 +dimension 100 +uid 409,0 +) +*401 (MRCItem +litem &355 +pos 4 +dimension 50 +uid 410,0 +) +*402 (MRCItem +litem &356 +pos 5 +dimension 50 +uid 411,0 +) +*403 (MRCItem +litem &357 +pos 6 +dimension 256 +uid 412,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 400,0 +vaOverrides [ +] +) +] +) +uid 386,0 +type 1 +) +activeModelName "BlockDiag:GEN" +) diff --git a/Libs/Memory/hds/sdram@controller/symbol.sb b/Libs/Memory/hds/sdram@controller/symbol.sb new file mode 100644 index 0000000..0ae9d39 --- /dev/null +++ b/Libs/Memory/hds/sdram@controller/symbol.sb @@ -0,0 +1,2973 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 44,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 186,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 20,0 +) +) +uid 397,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 14 +suid 21,0 +) +) +uid 399,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 3 +suid 22,0 +) +) +uid 401,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "( chipAddressBitNb-1 DOWNTO 0 )" +o 9 +suid 23,0 +) +) +uid 403,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 24,0 +) +) +uid 405,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 25,0 +) +) +uid 407,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 26,0 +) +) +uid 409,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 12 +suid 27,0 +) +) +uid 411,0 +) +*22 (LogPort +port (LogicalPort +decl (Decl +n "ramEn" +t "std_ulogic" +o 5 +suid 28,0 +) +) +uid 413,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "ramRd" +t "std_ulogic" +o 6 +suid 29,0 +) +) +uid 415,0 +) +*24 (LogPort +port (LogicalPort +decl (Decl +n "ramWr" +t "std_ulogic" +o 7 +suid 30,0 +) +) +uid 417,0 +) +*25 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 31,0 +) +) +uid 419,0 +) +*26 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sdCas_n" +t "std_ulogic" +o 15 +suid 32,0 +) +) +uid 421,0 +) +*27 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sdCke" +t "std_ulogic" +o 16 +suid 33,0 +) +) +uid 423,0 +) +*28 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sdClk" +t "std_ulogic" +o 17 +suid 34,0 +) +) +uid 425,0 +) +*29 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sdCs_n" +t "std_ulogic" +o 18 +suid 35,0 +) +) +uid 427,0 +) +*30 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sdDqm" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 19 +suid 36,0 +) +) +uid 429,0 +) +*31 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sdRas_n" +t "std_ulogic" +o 20 +suid 38,0 +) +) +uid 433,0 +) +*32 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 13 +suid 42,0 +) +) +uid 492,0 +) +*33 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memBankAddress" +t "std_ulogic_vector" +b "( chipBankAddressBitNb-1 DOWNTO 0 )" +o 10 +suid 43,0 +) +) +uid 553,0 +) +*34 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 21 +suid 44,0 +) +) +uid 650,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 199,0 +optionalChildren [ +*35 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *36 (MRCItem +litem &1 +pos 21 +dimension 20 +) +uid 201,0 +optionalChildren [ +*37 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 202,0 +) +*38 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 203,0 +) +*39 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 204,0 +) +*40 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 398,0 +) +*41 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 400,0 +) +*42 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 402,0 +) +*43 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 404,0 +) +*44 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 406,0 +) +*45 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 408,0 +) +*46 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 410,0 +) +*47 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 412,0 +) +*48 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 414,0 +) +*49 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 416,0 +) +*50 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 418,0 +) +*51 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 420,0 +) +*52 (MRCItem +litem &26 +pos 12 +dimension 20 +uid 422,0 +) +*53 (MRCItem +litem &27 +pos 13 +dimension 20 +uid 424,0 +) +*54 (MRCItem +litem &28 +pos 14 +dimension 20 +uid 426,0 +) +*55 (MRCItem +litem &29 +pos 15 +dimension 20 +uid 428,0 +) +*56 (MRCItem +litem &30 +pos 16 +dimension 20 +uid 430,0 +) +*57 (MRCItem +litem &31 +pos 17 +dimension 20 +uid 434,0 +) +*58 (MRCItem +litem &32 +pos 18 +dimension 20 +uid 493,0 +) +*59 (MRCItem +litem &33 +pos 19 +dimension 20 +uid 554,0 +) +*60 (MRCItem +litem &34 +pos 20 +dimension 20 +uid 649,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 205,0 +optionalChildren [ +*61 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 206,0 +) +*62 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 207,0 +) +*63 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 208,0 +) +*64 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 209,0 +) +*65 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 210,0 +) +*66 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 211,0 +) +*67 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 212,0 +) +*68 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 213,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 200,0 +vaOverrides [ +] +) +] +) +uid 185,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *69 (LEmptyRow +) +uid 215,0 +optionalChildren [ +*70 (RefLabelRowHdr +) +*71 (TitleRowHdr +) +*72 (FilterRowHdr +) +*73 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*74 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*75 (GroupColHdr +tm "GroupColHdrMgr" +) +*76 (NameColHdr +tm "GenericNameColHdrMgr" +) +*77 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*78 (InitColHdr +tm "GenericValueColHdrMgr" +) +*79 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*80 (EolColHdr +tm "GenericEolColHdrMgr" +) +*81 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "24" +) +uid 542,0 +) +*82 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "16" +) +uid 544,0 +) +*83 (LogGeneric +generic (GiElement +name "chipAddressBitNb" +type "positive" +value "12" +) +uid 546,0 +) +*84 (LogGeneric +generic (GiElement +name "chipBankAddressBitNb" +type "positive" +value "2" +) +uid 555,0 +) +*85 (LogGeneric +generic (GiElement +name "rowAddressBitNb" +type "positive" +value "12" +) +uid 726,0 +) +*86 (LogGeneric +generic (GiElement +name "colAddressBitNb" +type "positive" +value "9" +) +uid 728,0 +) +*87 (LogGeneric +generic (GiElement +name "activeToReadPeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +uid 753,0 +) +*88 (LogGeneric +generic (GiElement +name "activeToWritePeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +uid 755,0 +) +*89 (LogGeneric +generic (GiElement +name "loadModeToActivePeriodNb" +type "positive" +value "1" +e "1 CK" +) +uid 757,0 +) +*90 (LogGeneric +generic (GiElement +name "prechargeToRefreshPeriodNb" +type "positive" +value "2" +e "66MHz * 20 ns = 1.32" +) +uid 759,0 +) +*91 (LogGeneric +generic (GiElement +name "readToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +uid 761,0 +) +*92 (LogGeneric +generic (GiElement +name "readToSamplePeriodNb" +type "positive" +value "2" +e "2 CK with latency = 2" +) +uid 763,0 +) +*93 (LogGeneric +generic (GiElement +name "refreshDelayPeriodNb" +type "positive" +value "5" +e "66MHz * 66ns = 4.356" +) +uid 765,0 +) +*94 (LogGeneric +generic (GiElement +name "writeToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +uid 767,0 +) +*95 (LogGeneric +generic (GiElement +name "delayCounterbitNb" +type "positive" +value "13" +e "66MHz * 100us = 6600 < 8K" +) +uid 769,0 +) +*96 (LogGeneric +generic (GiElement +name "refreshPeriodNb" +type "positive" +value "1031" +e "66MHz * 64ms / 4096" +) +uid 771,0 +) +*97 (LogGeneric +generic (GiElement +name "maxDelayPeriodNb" +type "positive" +value "5" +e "66MHz*66ns = 4.356" +) +uid 796,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 227,0 +optionalChildren [ +*98 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *99 (MRCItem +litem &69 +pos 4 +dimension 20 +) +uid 229,0 +optionalChildren [ +*100 (MRCItem +litem &70 +pos 0 +dimension 20 +uid 230,0 +) +*101 (MRCItem +litem &71 +pos 1 +dimension 23 +uid 231,0 +) +*102 (MRCItem +litem &72 +pos 2 +hidden 1 +dimension 20 +uid 232,0 +) +*103 (MRCItem +litem &81 +pos 0 +dimension 20 +uid 543,0 +) +*104 (MRCItem +litem &82 +pos 1 +dimension 20 +uid 545,0 +) +*105 (MRCItem +litem &83 +pos 2 +dimension 20 +uid 547,0 +) +*106 (MRCItem +litem &84 +pos 3 +dimension 20 +uid 556,0 +) +*107 (MRCItem +litem &85 +pos 4 +dimension 20 +uid 725,0 +) +*108 (MRCItem +litem &86 +pos 5 +dimension 20 +uid 727,0 +) +*109 (MRCItem +litem &87 +pos 6 +dimension 20 +uid 752,0 +) +*110 (MRCItem +litem &88 +pos 7 +dimension 20 +uid 754,0 +) +*111 (MRCItem +litem &89 +pos 8 +dimension 20 +uid 756,0 +) +*112 (MRCItem +litem &90 +pos 9 +dimension 20 +uid 758,0 +) +*113 (MRCItem +litem &91 +pos 10 +dimension 20 +uid 760,0 +) +*114 (MRCItem +litem &92 +pos 11 +dimension 20 +uid 762,0 +) +*115 (MRCItem +litem &93 +pos 12 +dimension 20 +uid 764,0 +) +*116 (MRCItem +litem &94 +pos 13 +dimension 20 +uid 766,0 +) +*117 (MRCItem +litem &95 +pos 14 +dimension 20 +uid 768,0 +) +*118 (MRCItem +litem &96 +pos 15 +dimension 20 +uid 770,0 +) +*119 (MRCItem +litem &97 +pos 16 +dimension 20 +uid 795,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 233,0 +optionalChildren [ +*120 (MRCItem +litem &73 +pos 0 +dimension 20 +uid 234,0 +) +*121 (MRCItem +litem &75 +pos 1 +dimension 50 +uid 235,0 +) +*122 (MRCItem +litem &76 +pos 2 +dimension 100 +uid 236,0 +) +*123 (MRCItem +litem &77 +pos 3 +dimension 100 +uid 237,0 +) +*124 (MRCItem +litem &78 +pos 4 +dimension 50 +uid 238,0 +) +*125 (MRCItem +litem &79 +pos 5 +dimension 50 +uid 239,0 +) +*126 (MRCItem +litem &80 +pos 6 +dimension 80 +uid 240,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 228,0 +vaOverrides [ +] +) +] +) +uid 214,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramController" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sdramController" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:14" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../Demo/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramController" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramController/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:14" +) +(vvPair +variable "unit" +value "sdramController" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 184,0 +optionalChildren [ +*127 (SymbolBody +uid 8,0 +optionalChildren [ +*128 (CptPort +uid 287,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 288,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,29625,42000,30375" +) +tg (CPTG +uid 289,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 290,0 +va (VaSet +) +xt "43000,29500,45100,30500" +st "clock" +blo "43000,30300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 291,0 +va (VaSet +font "courier,8,0" +) +xt "0,12400,19000,13300" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 20,0 +) +) +) +*129 (CptPort +uid 292,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 517,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,15625,42000,16375" +) +tg (CPTG +uid 294,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 295,0 +va (VaSet +) +xt "43000,15500,48400,16500" +st "ramDataValid" +blo "43000,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 296,0 +va (VaSet +font "courier,8,0" +) +xt "0,24100,19000,25000" +st "ramDataValid : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 14 +suid 21,0 +) +) +) +*130 (CptPort +uid 297,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 298,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,5625,42000,6375" +) +tg (CPTG +uid 299,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 300,0 +va (VaSet +) +xt "43000,5500,46300,6500" +st "ramAddr" +blo "43000,6300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 301,0 +va (VaSet +font "courier,8,0" +) +xt "0,14200,30500,15100" +st "ramAddr : IN unsigned (addressBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 3 +suid 22,0 +) +) +) +*131 (CptPort +uid 302,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 303,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,5625,58750,6375" +) +tg (CPTG +uid 304,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 305,0 +va (VaSet +) +xt "51800,5500,57000,6500" +st "memAddress" +ju 2 +blo "57000,6300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 306,0 +va (VaSet +font "courier,8,0" +) +xt "0,19600,38000,20500" +st "memAddress : OUT std_ulogic_vector ( chipAddressBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "( chipAddressBitNb-1 DOWNTO 0 )" +o 9 +suid 23,0 +) +) +) +*132 (CptPort +uid 307,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 308,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,9625,42000,10375" +) +tg (CPTG +uid 309,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 310,0 +va (VaSet +) +xt "43000,9500,47900,10500" +st "ramDataOut" +blo "43000,10300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 311,0 +va (VaSet +font "courier,8,0" +) +xt "0,15100,33500,16000" +st "ramDataOut : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 24,0 +) +) +) +*133 (CptPort +uid 312,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 313,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,9625,58750,10375" +) +tg (CPTG +uid 314,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 315,0 +va (VaSet +) +xt "52800,9500,57000,10500" +st "memDataIn" +ju 2 +blo "57000,10300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 316,0 +va (VaSet +font "courier,8,0" +) +xt "0,13300,33500,14200" +st "memDataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 25,0 +) +) +) +*134 (CptPort +uid 317,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 318,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,11625,58750,12375" +) +tg (CPTG +uid 319,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 320,0 +va (VaSet +) +xt "51800,11500,57000,12500" +st "memDataOut" +ju 2 +blo "57000,12300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 321,0 +va (VaSet +font "courier,8,0" +) +xt "0,21400,33500,22300" +st "memDataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 26,0 +) +) +) +*135 (CptPort +uid 322,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 323,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,19625,58750,20375" +) +tg (CPTG +uid 324,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 325,0 +va (VaSet +) +xt "53200,19500,57000,20500" +st "memWr_n" +ju 2 +blo "57000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 326,0 +va (VaSet +font "courier,8,0" +) +xt "0,22300,19000,23200" +st "memWr_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 12 +suid 27,0 +) +) +) +*136 (CptPort +uid 327,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 328,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,27625,42000,28375" +) +tg (CPTG +uid 329,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 330,0 +va (VaSet +) +xt "43000,27500,45600,28500" +st "ramEn" +blo "43000,28300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 331,0 +va (VaSet +font "courier,8,0" +) +xt "0,16000,19000,16900" +st "ramEn : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "ramEn" +t "std_ulogic" +o 5 +suid 28,0 +) +) +) +*137 (CptPort +uid 332,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 333,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,11625,42000,12375" +) +tg (CPTG +uid 334,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 335,0 +va (VaSet +) +xt "43000,11500,45700,12500" +st "ramRd" +blo "43000,12300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 336,0 +va (VaSet +font "courier,8,0" +) +xt "0,16900,19000,17800" +st "ramRd : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "ramRd" +t "std_ulogic" +o 6 +suid 29,0 +) +) +) +*138 (CptPort +uid 337,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 338,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,13625,42000,14375" +) +tg (CPTG +uid 339,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 340,0 +va (VaSet +) +xt "43000,13500,45700,14500" +st "ramWr" +blo "43000,14300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 341,0 +va (VaSet +font "courier,8,0" +) +xt "0,17800,19000,18700" +st "ramWr : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "ramWr" +t "std_ulogic" +o 7 +suid 30,0 +) +) +) +*139 (CptPort +uid 342,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 343,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,31625,42000,32375" +) +tg (CPTG +uid 344,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 345,0 +va (VaSet +) +xt "43000,31500,45100,32500" +st "reset" +blo "43000,32300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 346,0 +va (VaSet +font "courier,8,0" +) +xt "0,18700,19000,19600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 31,0 +) +) +) +*140 (CptPort +uid 347,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 348,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,17625,58750,18375" +) +tg (CPTG +uid 349,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 350,0 +va (VaSet +) +xt "53600,17500,57000,18500" +st "sdCas_n" +ju 2 +blo "57000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 351,0 +va (VaSet +font "courier,8,0" +) +xt "0,25000,19000,25900" +st "sdCas_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdCas_n" +t "std_ulogic" +o 15 +suid 32,0 +) +) +) +*141 (CptPort +uid 352,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 353,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,23625,58750,24375" +) +tg (CPTG +uid 354,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 355,0 +va (VaSet +) +xt "54500,23500,57000,24500" +st "sdCke" +ju 2 +blo "57000,24300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 356,0 +va (VaSet +font "courier,8,0" +) +xt "0,25900,19000,26800" +st "sdCke : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdCke" +t "std_ulogic" +o 16 +suid 33,0 +) +) +) +*142 (CptPort +uid 357,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 358,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,25625,58750,26375" +) +tg (CPTG +uid 359,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 360,0 +va (VaSet +) +xt "54700,25500,57000,26500" +st "sdClk" +ju 2 +blo "57000,26300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 361,0 +va (VaSet +font "courier,8,0" +) +xt "0,26800,19000,27700" +st "sdClk : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdClk" +t "std_ulogic" +o 17 +suid 34,0 +) +) +) +*143 (CptPort +uid 362,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 363,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,13625,58750,14375" +) +tg (CPTG +uid 364,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 365,0 +va (VaSet +) +xt "54000,13500,57000,14500" +st "sdCs_n" +ju 2 +blo "57000,14300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 366,0 +va (VaSet +font "courier,8,0" +) +xt "0,27700,19000,28600" +st "sdCs_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdCs_n" +t "std_ulogic" +o 18 +suid 35,0 +) +) +) +*144 (CptPort +uid 367,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 368,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,21625,58750,22375" +) +tg (CPTG +uid 369,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 370,0 +va (VaSet +) +xt "54100,21500,57000,22500" +st "sdDqm" +ju 2 +blo "57000,22300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 371,0 +va (VaSet +font "courier,8,0" +) +xt "0,28600,28500,29500" +st "sdDqm : OUT std_ulogic_vector (1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdDqm" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 19 +suid 36,0 +) +) +) +*145 (CptPort +uid 377,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 378,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,15625,58750,16375" +) +tg (CPTG +uid 379,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 380,0 +va (VaSet +) +xt "53600,15500,57000,16500" +st "sdRas_n" +ju 2 +blo "57000,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 381,0 +va (VaSet +font "courier,8,0" +) +xt "0,29500,19000,30400" +st "sdRas_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdRas_n" +t "std_ulogic" +o 20 +suid 38,0 +) +) +) +*146 (CptPort +uid 487,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 518,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,7625,42000,8375" +) +tg (CPTG +uid 489,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 490,0 +va (VaSet +) +xt "43000,7500,46900,8500" +st "ramDataIn" +blo "43000,8300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 491,0 +va (VaSet +font "courier,8,0" +) +xt "0,23200,33500,24100" +st "ramDataIn : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 13 +suid 42,0 +) +) +) +*147 (CptPort +uid 548,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 549,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,7625,58750,8375" +) +tg (CPTG +uid 550,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 551,0 +va (VaSet +) +xt "50200,7500,57000,8500" +st "memBankAddress" +ju 2 +blo "57000,8300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 552,0 +va (VaSet +font "courier,8,0" +) +xt "0,20500,40000,21400" +st "memBankAddress : OUT std_ulogic_vector ( chipBankAddressBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memBankAddress" +t "std_ulogic_vector" +b "( chipBankAddressBitNb-1 DOWNTO 0 )" +o 10 +suid 43,0 +) +) +) +*148 (CptPort +uid 651,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 652,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,29625,58750,30375" +) +tg (CPTG +uid 653,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 654,0 +va (VaSet +) +xt "51500,29500,57000,30500" +st "selectRefresh" +ju 2 +blo "57000,30300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 655,0 +va (VaSet +font "courier,8,0" +) +xt "0,30400,18000,31300" +st "selectRefresh : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 21 +suid 44,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "42000,2000,58000,34000" +) +oxt "15000,6000,31000,34000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "42550,34500,45550,35400" +st "Memory" +blo "42550,35200" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "42550,35400,50550,36300" +st "sdramController" +blo "42550,36100" +) +) +gi *149 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "42000,38200,77500,55300" +st "Generic Declarations + +addressBitNb positive 24 +dataBitNb positive 16 +chipAddressBitNb positive 12 +chipBankAddressBitNb positive 2 +rowAddressBitNb positive 12 +colAddressBitNb positive 9 +activeToReadPeriodNb positive 2 --66MHz * 20ns = 1.32 +activeToWritePeriodNb positive 2 --66MHz * 20ns = 1.32 +loadModeToActivePeriodNb positive 1 --1 CK +prechargeToRefreshPeriodNb positive 2 --66MHz * 20 ns = 1.32 +readToActivePeriodNb positive 3 --1 CK + 66MHz * 20ns = 2.32 +readToSamplePeriodNb positive 2 --2 CK with latency = 2 +refreshDelayPeriodNb positive 5 --66MHz * 66ns = 4.356 +writeToActivePeriodNb positive 3 --1 CK + 66MHz * 20ns = 2.32 +delayCounterbitNb positive 13 --66MHz * 100us = 6600 < 8K +refreshPeriodNb positive 1031 --66MHz * 64ms / 4096 +maxDelayPeriodNb positive 5 --66MHz*66ns = 4.356 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "24" +) +(GiElement +name "dataBitNb" +type "positive" +value "16" +) +(GiElement +name "chipAddressBitNb" +type "positive" +value "12" +) +(GiElement +name "chipBankAddressBitNb" +type "positive" +value "2" +) +(GiElement +name "rowAddressBitNb" +type "positive" +value "12" +) +(GiElement +name "colAddressBitNb" +type "positive" +value "9" +) +(GiElement +name "activeToReadPeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +(GiElement +name "activeToWritePeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +(GiElement +name "loadModeToActivePeriodNb" +type "positive" +value "1" +e "1 CK" +) +(GiElement +name "prechargeToRefreshPeriodNb" +type "positive" +value "2" +e "66MHz * 20 ns = 1.32" +) +(GiElement +name "readToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +(GiElement +name "readToSamplePeriodNb" +type "positive" +value "2" +e "2 CK with latency = 2" +) +(GiElement +name "refreshDelayPeriodNb" +type "positive" +value "5" +e "66MHz * 66ns = 4.356" +) +(GiElement +name "writeToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +(GiElement +name "delayCounterbitNb" +type "positive" +value "13" +e "66MHz * 100us = 6600 < 8K" +) +(GiElement +name "refreshPeriodNb" +type "positive" +value "1031" +e "66MHz * 64ms / 4096" +) +(GiElement +name "maxDelayPeriodNb" +type "positive" +value "5" +e "66MHz*66ns = 4.356" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*150 (Grouping +uid 16,0 +optionalChildren [ +*151 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,48000,51000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,48000,49200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*152 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,55000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,54800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*153 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,51000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46000,50400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*154 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,34000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,33800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*155 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,45000,71000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,45200,64400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*156 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,44000,71000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,44000,57000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*157 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,44000,51000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "36000,44500,45000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*158 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,34000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,33200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*159 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,34000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,33800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*160 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,51000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47000,48600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "30000,44000,71000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *161 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*162 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*163 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,16600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "13,42,1372,937" +viewArea "-3000,-1000,73380,51327" +cachedDiagramExtent "-2000,0,71000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *164 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *165 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,10400,3400,11400" +st "Declarations" +blo "-2000,11200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,11400,700,12400" +st "Ports:" +blo "-2000,12200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,31300,500,32200" +st "User:" +blo "-2000,32000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2000,10400,3800,11400" +st "Internal User:" +blo "-2000,11200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "0,32200,0,32200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2000,10400,-2000,10400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 819,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/Memory/hds/sdram@controller@build@address/symbol.sb b/Libs/Memory/hds/sdram@controller@build@address/symbol.sb new file mode 100644 index 0000000..5e8a713 --- /dev/null +++ b/Libs/Memory/hds/sdram@controller@build@address/symbol.sb @@ -0,0 +1,1823 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 24,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 81,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "addrSelCol" +t "std_ulogic" +o 26 +suid 18,0 +) +) +uid 360,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "addrSelModeReg" +t "std_ulogic" +o 26 +suid 19,0 +) +) +uid 362,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "addrSelPrecharge" +t "std_ulogic" +o 26 +suid 20,0 +) +) +uid 364,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "addrSelRow" +t "std_ulogic" +o 26 +suid 21,0 +) +) +uid 366,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "( chipAddressBitNb-1 DOWNTO 0 )" +o 9 +suid 22,0 +) +) +uid 368,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memBankAddress" +t "std_ulogic_vector" +b "( chipBankAddressBitNb-1 DOWNTO 0 )" +o 10 +suid 23,0 +) +) +uid 370,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 3 +suid 24,0 +) +) +uid 372,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 7 +dimension 20 +) +uid 96,0 +optionalChildren [ +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 97,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 98,0 +) +*25 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*26 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 361,0 +) +*27 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 363,0 +) +*28 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 365,0 +) +*29 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 367,0 +) +*30 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 369,0 +) +*31 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 371,0 +) +*32 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 373,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*33 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 101,0 +) +*34 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 102,0 +) +*35 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 103,0 +) +*36 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 104,0 +) +*37 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 105,0 +) +*38 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 106,0 +) +*39 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 107,0 +) +*40 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 108,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 80,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +*53 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "24" +) +uid 159,0 +) +*54 (LogGeneric +generic (GiElement +name "chipAddressBitNb" +type "positive" +value "12" +) +uid 161,0 +) +*55 (LogGeneric +generic (GiElement +name "chipBankAddressBitNb" +type "positive" +value "2" +) +uid 163,0 +) +*56 (LogGeneric +generic (GiElement +name "rowAddressBitNb" +type "positive" +value "12" +) +uid 420,0 +) +*57 (LogGeneric +generic (GiElement +name "colAddressBitNb" +type "positive" +value "2" +) +uid 422,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*58 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *59 (MRCItem +litem &41 +pos 5 +dimension 20 +) +uid 124,0 +optionalChildren [ +*60 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 125,0 +) +*61 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 126,0 +) +*62 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*63 (MRCItem +litem &53 +pos 0 +dimension 20 +uid 160,0 +) +*64 (MRCItem +litem &54 +pos 1 +dimension 20 +uid 162,0 +) +*65 (MRCItem +litem &55 +pos 2 +dimension 20 +uid 164,0 +) +*66 (MRCItem +litem &56 +pos 3 +dimension 20 +uid 421,0 +) +*67 (MRCItem +litem &57 +pos 4 +dimension 20 +uid 423,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*68 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 129,0 +) +*69 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 130,0 +) +*70 (MRCItem +litem &48 +pos 2 +dimension 100 +uid 131,0 +) +*71 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 132,0 +) +*72 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 133,0 +) +*73 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 134,0 +) +*74 (MRCItem +litem &52 +pos 6 +dimension 80 +uid 135,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@build@address/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@build@address/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@build@address" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerBuildAddress" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sdramControllerBuildAddress" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:14" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramControllerBuildAddress" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@build@address/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerBuildAddress/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:14" +) +(vvPair +variable "unit" +value "sdramControllerBuildAddress" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*75 (SymbolBody +uid 8,0 +optionalChildren [ +*76 (CptPort +uid 325,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 326,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,17625,34000,18375" +) +tg (CPTG +uid 327,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 328,0 +va (VaSet +) +xt "35000,17500,39600,18500" +st "addrSelCol" +blo "35000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 329,0 +va (VaSet +font "courier,8,0" +) +xt "0,30400,20000,31300" +st "addrSelCol : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "addrSelCol" +t "std_ulogic" +o 26 +suid 18,0 +) +) +) +*77 (CptPort +uid 330,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 331,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,13625,34000,14375" +) +tg (CPTG +uid 332,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 333,0 +va (VaSet +) +xt "35000,13500,41800,14500" +st "addrSelModeReg" +blo "35000,14300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 334,0 +va (VaSet +font "courier,8,0" +) +xt "0,31300,20000,32200" +st "addrSelModeReg : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "addrSelModeReg" +t "std_ulogic" +o 26 +suid 19,0 +) +) +) +*78 (CptPort +uid 335,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 336,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,11625,34000,12375" +) +tg (CPTG +uid 337,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 338,0 +va (VaSet +) +xt "35000,11500,42000,12500" +st "addrSelPrecharge" +blo "35000,12300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 339,0 +va (VaSet +font "courier,8,0" +) +xt "0,32200,20000,33100" +st "addrSelPrecharge : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "addrSelPrecharge" +t "std_ulogic" +o 26 +suid 20,0 +) +) +) +*79 (CptPort +uid 340,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 341,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,15625,34000,16375" +) +tg (CPTG +uid 342,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 343,0 +va (VaSet +) +xt "35000,15500,39900,16500" +st "addrSelRow" +blo "35000,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 344,0 +va (VaSet +font "courier,8,0" +) +xt "0,33100,20000,34000" +st "addrSelRow : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "addrSelRow" +t "std_ulogic" +o 26 +suid 21,0 +) +) +) +*80 (CptPort +uid 345,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 346,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,9625,50750,10375" +) +tg (CPTG +uid 347,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 348,0 +va (VaSet +) +xt "43800,9500,49000,10500" +st "memAddress" +ju 2 +blo "49000,10300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 349,0 +va (VaSet +font "courier,8,0" +) +xt "0,34900,39000,35800" +st "memAddress : OUT std_ulogic_vector ( chipAddressBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "( chipAddressBitNb-1 DOWNTO 0 )" +o 9 +suid 22,0 +) +) +) +*81 (CptPort +uid 350,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 351,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,11625,50750,12375" +) +tg (CPTG +uid 352,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 353,0 +va (VaSet +) +xt "42200,11500,49000,12500" +st "memBankAddress" +ju 2 +blo "49000,12300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 354,0 +va (VaSet +font "courier,8,0" +) +xt "0,35800,40000,36700" +st "memBankAddress : OUT std_ulogic_vector ( chipBankAddressBitNb-1 DOWNTO 0 )" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memBankAddress" +t "std_ulogic_vector" +b "( chipBankAddressBitNb-1 DOWNTO 0 )" +o 10 +suid 23,0 +) +) +) +*82 (CptPort +uid 355,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 356,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,9625,34000,10375" +) +tg (CPTG +uid 357,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 358,0 +va (VaSet +) +xt "35000,9500,38300,10500" +st "ramAddr" +blo "35000,10300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 359,0 +va (VaSet +font "courier,8,0" +) +xt "0,34000,31500,34900" +st "ramAddr : IN unsigned (addressBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 3 +suid 24,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,6000,50000,22000" +) +oxt "15000,6000,31000,23000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "33850,22500,36850,23400" +st "Memory" +blo "33850,23200" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "33850,23400,47850,24300" +st "sdramControllerBuildAddress" +blo "33850,24100" +) +) +gi *83 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "34000,26000,51500,32300" +st "Generic Declarations + +addressBitNb positive 24 +chipAddressBitNb positive 12 +chipBankAddressBitNb positive 2 +rowAddressBitNb positive 12 +colAddressBitNb positive 2 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "24" +) +(GiElement +name "chipAddressBitNb" +type "positive" +value "12" +) +(GiElement +name "chipBankAddressBitNb" +type "positive" +value "2" +) +(GiElement +name "rowAddressBitNb" +type "positive" +value "12" +) +(GiElement +name "colAddressBitNb" +type "positive" +value "2" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*84 (Grouping +uid 16,0 +optionalChildren [ +*85 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,48000,51000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,48000,49200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,55000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,54800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,51000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46000,50400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,34000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,33800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,45000,71000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,45200,64400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,44000,71000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,44000,57000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,44000,51000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "36000,44500,45000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*92 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,34000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,33200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*93 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,34000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,33800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*94 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,51000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47000,44400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "30000,44000,71000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *95 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*96 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*97 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,16600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "11,45,1386,982" +viewArea "-3100,-1100,72602,50512" +cachedDiagramExtent "-2000,0,71000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "memory" +entityName "sdramController" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *98 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *99 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,28400,3400,29400" +st "Declarations" +blo "-2000,29200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,29400,700,30400" +st "Ports:" +blo "-2000,30200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,36700,500,37600" +st "User:" +blo "-2000,37400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2000,28400,3800,29400" +st "Internal User:" +blo "-2000,29200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "0,37600,0,37600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2000,28400,-2000,28400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 446,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory/hds/sdram@controller@fsm/fsm.sm b/Libs/Memory/hds/sdram@controller@fsm/fsm.sm new file mode 100644 index 0000000..d559982 --- /dev/null +++ b/Libs/Memory/hds/sdram@controller@fsm/fsm.sm @@ -0,0 +1,11074 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +machine (Machine +name "csm" +children [ +(Machine +name "csm" +children [ +] +stateSignalName "current_state" +) +] +) +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (StateMachine +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@fsm/fsm.sm.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@fsm/fsm.sm.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "fsm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@fsm" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerFsm" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sdramControllerFsm" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "fsm.sm" +) +(vvPair +variable "f_logical" +value "fsm.sm" +) +(vvPair +variable "f_noext" +value "fsm" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:15" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramControllerFsm" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@fsm/fsm.sm" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerFsm/fsm.sm" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sm" +) +(vvPair +variable "this_file" +value "fsm" +) +(vvPair +variable "this_file_logical" +value "fsm" +) +(vvPair +variable "time" +value "13:45:15" +) +(vvPair +variable "unit" +value "sdramControllerFsm" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "fsm" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +uid 156,0 +optionalChildren [ +*1 (ConcurrentSM +uid 1,0 +topDiagram (StateDiagram +LanguageMgr "None" +uid 2,0 +optionalChildren [ +*2 (State +uid 39,0 +shape (Circle +uid 40,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "6000,24000,14000,32000" +radius 4000 +) +name (Text +uid 41,0 +va (VaSet +font "courier,10,1" +) +xt "7500,27400,12500,28600" +st "powerUp" +ju 0 +blo "10000,28400" +tm "ONodeName" +) +wait (TextAssociate +uid 42,0 +ps "CenterOffsetStrategy" +text (Text +uid 43,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "10100,28300,13700,29500" +st "wait 2" +blo "10100,29300" +tm "SmWaitText" +) +) +encoding (Text +uid 44,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "10000,29000,10000,29000" +blo "10000,29000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 47,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 48,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "7900,29400,16100,30600" +) +autoResize 1 +tline (Line +uid 49,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8000,29300,16000,29300" +pts [ +"8000,29300" +"16000,29300" +] +) +bline (Line +uid 50,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8000,28650,16000,28650" +pts [ +"8000,28650" +"16000,28650" +] +) +ttri (Triangle +uid 51,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "7550,28925,7900,29275" +) +btri (Triangle +uid 52,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "7550,26625,7900,26975" +) +entryActions (MLText +uid 53,0 +va (VaSet +) +xt "8000,29100,8000,29100" +tm "Actions" +) +inActions (MLText +uid 54,0 +va (VaSet +) +xt "8000,29500,20000,30500" +st "powerUpDone <= '0';" +tm "Actions" +) +exitActions (MLText +uid 55,0 +va (VaSet +) +xt "8000,26800,8000,26800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 45,0 +ps "CenterOffsetStrategy" +text (MLText +uid 46,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "8400,29000,13900,29900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*3 (SmClockPoint +uid 56,0 +shape (CompositeShape +uid 57,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 58,0 +sl 0 +ro 270 +xt "3250,10500,5500,11500" +) +(OrthoPolyLine +uid 59,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "4150,10700,4749,11300" +pts [ +"4150,10700" +"4449,10700" +"4449,11300" +"4749,11300" +] +) +(Arc2D +pts [ +"3759,11153" +"3504,10847" +"3759,10847" +] +uid 60,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "3431,10800,3759,11199" +) +] +) +name (TextAssociate +uid 61,0 +ps "CenterOffsetStrategy" +text (Text +uid 62,0 +va (VaSet +font "courier,8,0" +) +xt "650,10500,2750,11500" +st "clock" +ju 2 +blo "2750,11300" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +uid 63,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 64,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "5500,10450,15200,11550" +) +autoResize 1 +cond (MLText +uid 65,0 +va (VaSet +font "courier,8,0" +) +xt "5600,10550,15100,11450" +st "rising_edge(clock)" +tm "SmControlConditionMgr" +) +) +edge 2 +) +*4 (SmResetPoint +uid 66,0 +shape (CompositeShape +uid 67,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 68,0 +sl 0 +ro 270 +xt "3250,14500,5500,15500" +) +(OrthoPolyLine +uid 69,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,14700,4749,15300" +pts [ +"4749,14700" +"4449,14700" +"4449,15300" +"4150,15300" +] +) +(Line +uid 70,0 +sl 0 +ro 270 +xt "3499,14825,3599,14875" +pts [ +"3499,14875" +"3599,14825" +] +) +(Line +uid 71,0 +sl 0 +ro 270 +xt "3499,14825,3499,15175" +pts [ +"3499,15175" +"3499,14825" +] +) +(Circle +uid 72,0 +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "4299,14850,4599,15150" +radius 150 +) +] +) +cond (SmControlCondition +uid 78,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 79,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1650,12950,8050,14050" +) +autoResize 1 +cond (MLText +uid 80,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1750,13050,7950,13950" +st "reset = '1'" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +uid 75,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 76,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5500,14219,7062,15781" +radius 781 +) +pr (Text +uid 77,0 +va (VaSet +isHidden 1 +) +xt "5881,14500,6681,15500" +st "1" +ju 0 +blo "6281,15300" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +uid 73,0 +ps "CenterOffsetStrategy" +text (Text +uid 74,0 +va (VaSet +font "courier,8,0" +) +xt "1150,14500,3250,15500" +st "reset" +ju 2 +blo "3250,15300" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +uid 81,0 +ps "CenterOffsetStrategy" +text (MLText +uid 82,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "11125,19125,18125,20025" +st "< Automatic >" +tm "Actions" +) +) +level 1 +) +*5 (Link +uid 83,0 +shape (CompositeShape +uid 84,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 85,0 +sl 0 +ro 270 +xt "13500,14500,15750,15500" +) +(Line +uid 86,0 +sl 0 +ro 270 +xt "13000,15000,13500,15000" +pts [ +"13000,15000" +"13500,15000" +] +) +] +) +name (TextAssociate +uid 87,0 +ps "CenterOffsetStrategy" +text (Text +uid 88,0 +va (VaSet +font "courier,8,1" +) +xt "16250,14500,19950,15500" +st "powerUp" +blo "16250,15300" +tm "LinkName" +) +) +) +*6 (SmRecoveryStatePoint +uid 89,0 +shape (CompositeShape +uid 90,0 +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +uid 91,0 +sl 0 +xt "3250,12100,5050,13900" +radius 900 +) +(Line +uid 92,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,12574,4576,13426" +pts [ +"3724,13426" +"4576,12574" +] +) +(Line +uid 93,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,12574,4576,13426" +pts [ +"4576,13426" +"3724,12574" +] +) +] +) +) +*7 (Link +uid 94,0 +shape (CompositeShape +uid 95,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 96,0 +sl 0 +ro 270 +xt "13500,12500,15750,13500" +) +(Line +uid 97,0 +sl 0 +ro 270 +xt "13000,13000,13500,13000" +pts [ +"13000,13000" +"13500,13000" +] +) +] +) +name (TextAssociate +uid 98,0 +ps "CenterOffsetStrategy" +text (Text +uid 99,0 +va (VaSet +font "courier,8,1" +) +xt "16250,12500,19950,13500" +st "powerUp" +blo "16250,13300" +tm "LinkName" +) +) +) +*8 (Grouping +uid 120,0 +optionalChildren [ +*9 (CommentText +uid 122,0 +shape (Rectangle +uid 123,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "110000,96000,127000,97000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 124,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "110200,96000,125200,97000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 125,0 +shape (Rectangle +uid 126,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "127000,92000,131000,93000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 127,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "127200,92000,130800,93000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 128,0 +shape (Rectangle +uid 129,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "110000,94000,127000,95000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 130,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "110200,94000,126400,95000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*12 (CommentText +uid 131,0 +shape (Rectangle +uid 132,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "106000,94000,110000,95000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 133,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "106200,94000,109800,95000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*13 (CommentText +uid 134,0 +shape (Rectangle +uid 135,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "127000,93000,147000,97000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 136,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "127200,93200,140400,94200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*14 (CommentText +uid 137,0 +shape (Rectangle +uid 138,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "131000,92000,147000,93000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 139,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "131200,92000,133000,93000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*15 (CommentText +uid 140,0 +shape (Rectangle +uid 141,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "106000,92000,127000,94000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 142,0 +va (VaSet +fg "32768,0,0" +) +xt "112000,92500,121000,93500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*16 (CommentText +uid 143,0 +shape (Rectangle +uid 144,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "106000,95000,110000,96000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 145,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "106200,95000,109200,96000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*17 (CommentText +uid 146,0 +shape (Rectangle +uid 147,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "106000,96000,110000,97000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 148,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "106200,96000,109800,97000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*18 (CommentText +uid 149,0 +shape (Rectangle +uid 150,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "110000,95000,127000,96000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 151,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "110200,95000,126400,96000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 121,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "106000,92000,147000,97000" +) +oxt "14000,66000,55000,71000" +) +*19 (State +uid 229,0 +shape (Circle +uid 230,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "22000,24000,30000,32000" +radius 4000 +) +name (Text +uid 231,0 +va (VaSet +font "courier,10,1" +) +xt "23050,27400,28950,28600" +st "initialize1" +ju 0 +blo "26000,28400" +tm "ONodeName" +) +wait (TextAssociate +uid 232,0 +ps "CenterOffsetStrategy" +text (Text +uid 233,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "26100,28300,29700,29500" +st "wait 2" +blo "26100,29300" +tm "SmWaitText" +) +) +encoding (Text +uid 234,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "26000,29000,26000,29000" +blo "26000,29000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 237,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 238,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "23500,28400,34500,31600" +) +autoResize 1 +tline (Line +uid 239,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23600,28300,34400,28300" +pts [ +"23600,28300" +"34400,28300" +] +) +bline (Line +uid 240,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23600,29150,34400,29150" +pts [ +"23600,29150" +"34400,29150" +] +) +ttri (Triangle +uid 241,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23150,27925,23500,28275" +) +btri (Triangle +uid 242,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23150,26625,23500,26975" +) +entryActions (MLText +uid 243,0 +va (VaSet +) +xt "23600,28100,23600,28100" +tm "Actions" +) +inActions (MLText +uid 244,0 +va (VaSet +) +xt "23600,28500,38600,31500" +st "commandBus <= precharge; +addrSelPrecharge <= '1'; +timerStart <= '1';" +tm "Actions" +) +exitActions (MLText +uid 245,0 +va (VaSet +) +xt "25000,26800,25000,26800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 235,0 +ps "CenterOffsetStrategy" +text (MLText +uid 236,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "24400,29000,29900,29900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*20 (State +uid 285,0 +shape (Circle +uid 286,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "38000,24000,46000,32000" +radius 4000 +) +name (Text +uid 287,0 +va (VaSet +font "courier,10,1" +) +xt "39050,27400,44950,28600" +st "initialize2" +ju 0 +blo "42000,28400" +tm "ONodeName" +) +wait (TextAssociate +uid 288,0 +ps "CenterOffsetStrategy" +text (Text +uid 289,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "42100,28300,45700,29500" +st "wait 2" +blo "42100,29300" +tm "SmWaitText" +) +) +encoding (Text +uid 290,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,29000,42000,29000" +blo "42000,29000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 293,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 294,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "43900,29900,44100,30100" +) +autoResize 1 +tline (Line +uid 295,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "39150,29300,48850,29300" +pts [ +"39150,29300" +"48850,29300" +] +) +bline (Line +uid 296,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "39150,28650,48850,28650" +pts [ +"39150,28650" +"48850,28650" +] +) +ttri (Triangle +uid 297,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "39550,28925,39900,29275" +) +btri (Triangle +uid 298,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "39550,26625,39900,26975" +) +entryActions (MLText +uid 299,0 +va (VaSet +) +xt "39150,29100,39150,29100" +tm "Actions" +) +inActions (MLText +uid 300,0 +va (VaSet +) +xt "39150,29500,48850,30500" +tm "Actions" +) +exitActions (MLText +uid 301,0 +va (VaSet +) +xt "40000,26800,40000,26800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 291,0 +ps "CenterOffsetStrategy" +text (MLText +uid 292,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "40400,29000,45900,29900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*21 (State +uid 334,0 +shape (Circle +uid 335,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "54000,24000,62000,32000" +radius 4000 +) +name (Text +uid 336,0 +va (VaSet +font "courier,10,1" +) +xt "55050,27400,60950,28600" +st "initialize3" +ju 0 +blo "58000,28400" +tm "ONodeName" +) +wait (TextAssociate +uid 337,0 +ps "CenterOffsetStrategy" +text (Text +uid 338,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "58100,28300,61700,29500" +st "wait 2" +blo "58100,29300" +tm "SmWaitText" +) +) +encoding (Text +uid 339,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "58000,29000,58000,29000" +blo "58000,29000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 342,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 343,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "56200,28900,67800,31100" +) +autoResize 1 +tline (Line +uid 344,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56300,28800,67700,28800" +pts [ +"56300,28800" +"67700,28800" +] +) +bline (Line +uid 345,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56300,28900,67700,28900" +pts [ +"56300,28900" +"67700,28900" +] +) +ttri (Triangle +uid 346,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "55850,28425,56200,28775" +) +btri (Triangle +uid 347,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "55850,26625,56200,26975" +) +entryActions (MLText +uid 348,0 +va (VaSet +) +xt "56300,28600,56300,28600" +tm "Actions" +) +inActions (MLText +uid 349,0 +va (VaSet +) +xt "56300,29000,72500,31000" +st "commandBus <= autoRefresh; +timerStart <= '1';" +tm "Actions" +) +exitActions (MLText +uid 350,0 +va (VaSet +) +xt "58000,26800,58000,26800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 340,0 +ps "CenterOffsetStrategy" +text (MLText +uid 341,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "56400,29000,61900,29900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*22 (State +uid 361,0 +shape (Circle +uid 362,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "70000,24000,78000,32000" +radius 4000 +) +name (Text +uid 363,0 +va (VaSet +font "courier,10,1" +) +xt "71050,27400,76950,28600" +st "initialize4" +ju 0 +blo "74000,28400" +tm "ONodeName" +) +wait (TextAssociate +uid 364,0 +ps "CenterOffsetStrategy" +text (Text +uid 365,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "74100,28300,77700,29500" +st "wait 2" +blo "74100,29300" +tm "SmWaitText" +) +) +encoding (Text +uid 366,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "74000,29000,74000,29000" +blo "74000,29000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 369,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 370,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "77900,29900,78100,30100" +) +autoResize 1 +tline (Line +uid 371,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "71700,28800,84300,28800" +pts [ +"71700,28800" +"84300,28800" +] +) +bline (Line +uid 372,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "71700,28900,84300,28900" +pts [ +"71700,28900" +"84300,28900" +] +) +ttri (Triangle +uid 373,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "73550,28425,73900,28775" +) +btri (Triangle +uid 374,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "73550,26625,73900,26975" +) +entryActions (MLText +uid 375,0 +va (VaSet +) +xt "71700,28600,71700,28600" +tm "Actions" +) +inActions (MLText +uid 376,0 +va (VaSet +) +xt "71700,29000,84300,31000" +tm "Actions" +) +exitActions (MLText +uid 377,0 +va (VaSet +) +xt "74000,26800,74000,26800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 367,0 +ps "CenterOffsetStrategy" +text (MLText +uid 368,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "72400,29000,77900,29900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*23 (State +uid 378,0 +shape (Circle +uid 379,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "86000,24000,94000,32000" +radius 4000 +) +name (Text +uid 380,0 +va (VaSet +font "courier,10,1" +) +xt "87050,27400,92950,28600" +st "initialize5" +ju 0 +blo "90000,28400" +tm "ONodeName" +) +wait (TextAssociate +uid 381,0 +ps "CenterOffsetStrategy" +text (Text +uid 382,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "90100,28300,93700,29500" +st "wait 2" +blo "90100,29300" +tm "SmWaitText" +) +) +encoding (Text +uid 383,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "90000,29000,90000,29000" +blo "90000,29000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 386,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 387,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "88200,28900,99800,31100" +) +autoResize 1 +tline (Line +uid 388,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "88300,28800,99700,28800" +pts [ +"88300,28800" +"99700,28800" +] +) +bline (Line +uid 389,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "88300,28900,99700,28900" +pts [ +"88300,28900" +"99700,28900" +] +) +ttri (Triangle +uid 390,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87850,28425,88200,28775" +) +btri (Triangle +uid 391,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87850,26625,88200,26975" +) +entryActions (MLText +uid 392,0 +va (VaSet +) +xt "88300,28600,88300,28600" +tm "Actions" +) +inActions (MLText +uid 393,0 +va (VaSet +) +xt "88300,29000,104500,31000" +st "commandBus <= autoRefresh; +timerStart <= '1';" +tm "Actions" +) +exitActions (MLText +uid 394,0 +va (VaSet +) +xt "90000,26800,90000,26800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 384,0 +ps "CenterOffsetStrategy" +text (MLText +uid 385,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "88400,29000,93900,29900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*24 (State +uid 415,0 +shape (Circle +uid 416,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "102000,24000,110000,32000" +radius 4000 +) +name (Text +uid 417,0 +va (VaSet +font "courier,10,1" +) +xt "103050,27400,108950,28600" +st "initialize6" +ju 0 +blo "106000,28400" +tm "ONodeName" +) +wait (TextAssociate +uid 418,0 +ps "CenterOffsetStrategy" +text (Text +uid 419,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "106100,28300,109700,29500" +st "wait 2" +blo "106100,29300" +tm "SmWaitText" +) +) +encoding (Text +uid 420,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "106000,29000,106000,29000" +blo "106000,29000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 423,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 424,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "109900,29900,110100,30100" +) +autoResize 1 +tline (Line +uid 425,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "103700,28800,116300,28800" +pts [ +"103700,28800" +"116300,28800" +] +) +bline (Line +uid 426,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "103700,28900,116300,28900" +pts [ +"103700,28900" +"116300,28900" +] +) +ttri (Triangle +uid 427,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "105550,28425,105900,28775" +) +btri (Triangle +uid 428,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "105550,26625,105900,26975" +) +entryActions (MLText +uid 429,0 +va (VaSet +) +xt "103700,28600,103700,28600" +tm "Actions" +) +inActions (MLText +uid 430,0 +va (VaSet +) +xt "103700,29000,116300,31000" +tm "Actions" +) +exitActions (MLText +uid 431,0 +va (VaSet +) +xt "106000,26800,106000,26800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 421,0 +ps "CenterOffsetStrategy" +text (MLText +uid 422,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "104400,29000,109900,29900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*25 (State +uid 502,0 +shape (Circle +uid 503,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "118000,24000,126000,32000" +radius 4000 +) +name (Text +uid 504,0 +va (VaSet +font "courier,10,1" +) +xt "119050,27400,124950,28600" +st "initialize7" +ju 0 +blo "122000,28400" +tm "ONodeName" +) +wait (TextAssociate +uid 505,0 +ps "CenterOffsetStrategy" +text (Text +uid 506,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "122100,28300,125700,29500" +st "wait 2" +blo "122100,29300" +tm "SmWaitText" +) +) +encoding (Text +uid 507,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "122000,29000,122000,29000" +blo "122000,29000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 510,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 511,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "119850,28400,132150,31600" +) +autoResize 1 +tline (Line +uid 512,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "119950,28300,132050,28300" +pts [ +"119950,28300" +"132050,28300" +] +) +bline (Line +uid 513,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "119950,29150,132050,29150" +pts [ +"119950,29150" +"132050,29150" +] +) +ttri (Triangle +uid 514,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "119500,27925,119850,28275" +) +btri (Triangle +uid 515,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "119500,26625,119850,26975" +) +entryActions (MLText +uid 516,0 +va (VaSet +) +xt "119950,28100,119950,28100" +tm "Actions" +) +inActions (MLText +uid 517,0 +va (VaSet +) +xt "119950,28500,136150,31500" +st "commandBus <= loadModeReg; +timerStart <= '1'; +addrSelModeReg <= '1';" +tm "Actions" +) +exitActions (MLText +uid 518,0 +va (VaSet +) +xt "122000,26800,122000,26800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 508,0 +ps "CenterOffsetStrategy" +text (MLText +uid 509,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "120400,29000,125900,29900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*26 (State +uid 531,0 +shape (Circle +uid 532,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "134000,24000,142000,32000" +radius 4000 +) +name (Text +uid 533,0 +va (VaSet +font "courier,10,1" +) +xt "135050,27400,140950,28600" +st "initialize8" +ju 0 +blo "138000,28400" +tm "ONodeName" +) +wait (TextAssociate +uid 534,0 +ps "CenterOffsetStrategy" +text (Text +uid 535,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "138100,28300,141700,29500" +st "wait 2" +blo "138100,29300" +tm "SmWaitText" +) +) +encoding (Text +uid 536,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "138000,29000,138000,29000" +blo "138000,29000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 539,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 540,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "141900,29900,142100,30100" +) +autoResize 1 +tline (Line +uid 541,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "135700,28800,148300,28800" +pts [ +"135700,28800" +"148300,28800" +] +) +bline (Line +uid 542,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "135700,28900,148300,28900" +pts [ +"135700,28900" +"148300,28900" +] +) +ttri (Triangle +uid 543,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "137550,28425,137900,28775" +) +btri (Triangle +uid 544,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "137550,26625,137900,26975" +) +entryActions (MLText +uid 545,0 +va (VaSet +) +xt "135700,28600,135700,28600" +tm "Actions" +) +inActions (MLText +uid 546,0 +va (VaSet +) +xt "135700,29000,148300,31000" +tm "Actions" +) +exitActions (MLText +uid 547,0 +va (VaSet +) +xt "138000,26800,138000,26800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 537,0 +ps "CenterOffsetStrategy" +text (MLText +uid 538,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "136400,29000,141900,29900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*27 (State +uid 558,0 +shape (Circle +uid 559,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "6000,40000,14000,48000" +radius 4000 +) +name (Text +uid 560,0 +va (VaSet +font "courier,10,1" +) +xt "8800,43400,11200,44600" +st "idle" +ju 0 +blo "10000,44400" +tm "ONodeName" +) +wait (TextAssociate +uid 561,0 +ps "CenterOffsetStrategy" +text (Text +uid 562,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "10100,44300,13700,45500" +st "wait 2" +blo "10100,45300" +tm "SmWaitText" +) +) +encoding (Text +uid 563,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "10000,45000,10000,45000" +blo "10000,45000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 566,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 567,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "11900,45900,12100,46100" +) +autoResize 1 +tline (Line +uid 568,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "8000,45300,16000,45300" +pts [ +"8000,45300" +"16000,45300" +] +) +bline (Line +uid 569,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "8000,44650,16000,44650" +pts [ +"8000,44650" +"16000,44650" +] +) +ttri (Triangle +uid 570,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "7550,44925,7900,45275" +) +btri (Triangle +uid 571,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "7550,42625,7900,42975" +) +entryActions (MLText +uid 572,0 +va (VaSet +) +xt "8000,45100,8000,45100" +tm "Actions" +) +inActions (MLText +uid 573,0 +va (VaSet +) +xt "8000,45500,16000,46500" +tm "Actions" +) +exitActions (MLText +uid 574,0 +va (VaSet +) +xt "8000,42800,8000,42800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 564,0 +ps "CenterOffsetStrategy" +text (MLText +uid 565,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "8400,45000,13900,45900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*28 (Junction +uid 575,0 +shape (Diamond +uid 576,0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "8350,34350,11650,37650" +) +symbol (Text +uid 577,0 +va (VaSet +font "courier,10,1" +) +xt "9350,35400,10650,36600" +st "&" +ju 0 +blo "10000,36400" +) +name (TextAssociate +uid 578,0 +ps "CenterOffsetStrategy" +text (Text +uid 579,0 +va (VaSet +font "courier,8,1" +) +xt "11500,36500,11500,36500" +blo "11500,36500" +tm "JunctionName" +) +) +caseExpr (TextAssociate +uid 580,0 +ps "CenterOffsetStrategy" +text (MLText +uid 581,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "7650,37500,13150,38400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*29 (Junction +uid 592,0 +shape (Diamond +uid 593,0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "136350,34350,139650,37650" +) +symbol (Text +uid 594,0 +va (VaSet +font "courier,10,1" +) +xt "137350,35400,138650,36600" +st "&" +ju 0 +blo "138000,36400" +) +name (TextAssociate +uid 595,0 +ps "CenterOffsetStrategy" +text (Text +uid 596,0 +va (VaSet +font "courier,8,1" +) +xt "139500,36500,139500,36500" +blo "139500,36500" +tm "JunctionName" +) +) +caseExpr (TextAssociate +uid 597,0 +ps "CenterOffsetStrategy" +text (MLText +uid 598,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "135650,37500,141150,38400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*30 (State +uid 629,0 +shape (Circle +uid 630,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "22000,40000,30000,48000" +radius 4000 +) +name (Text +uid 631,0 +va (VaSet +font "courier,10,1" +) +xt "23650,43400,28350,44600" +st "refresh1" +ju 0 +blo "26000,44400" +tm "ONodeName" +) +wait (TextAssociate +uid 632,0 +ps "CenterOffsetStrategy" +text (Text +uid 633,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "26100,44300,29700,45500" +st "wait 2" +blo "26100,45300" +tm "SmWaitText" +) +) +encoding (Text +uid 634,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "26000,45000,26000,45000" +blo "26000,45000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 637,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 638,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "23500,45400,34500,48600" +) +autoResize 1 +tline (Line +uid 639,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23600,45300,34400,45300" +pts [ +"23600,45300" +"34400,45300" +] +) +bline (Line +uid 640,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23600,46150,34400,46150" +pts [ +"23600,46150" +"34400,46150" +] +) +ttri (Triangle +uid 641,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23150,44925,23500,45275" +) +btri (Triangle +uid 642,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23150,43625,23500,43975" +) +entryActions (MLText +uid 643,0 +va (VaSet +) +xt "23600,45100,23600,45100" +tm "Actions" +) +inActions (MLText +uid 644,0 +va (VaSet +) +xt "23600,45500,38600,48500" +st "commandBus <= precharge; +addrSelPrecharge <= '1'; +timerStart <= '1';" +tm "Actions" +) +exitActions (MLText +uid 645,0 +va (VaSet +) +xt "25000,43800,25000,43800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 635,0 +ps "CenterOffsetStrategy" +text (MLText +uid 636,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "24400,45000,29900,45900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*31 (State +uid 656,0 +shape (Circle +uid 657,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "38000,40000,46000,48000" +radius 4000 +) +name (Text +uid 658,0 +va (VaSet +font "courier,10,1" +) +xt "39650,43400,44350,44600" +st "refresh2" +ju 0 +blo "42000,44400" +tm "ONodeName" +) +wait (TextAssociate +uid 659,0 +ps "CenterOffsetStrategy" +text (Text +uid 660,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "42100,44300,45700,45500" +st "wait 2" +blo "42100,45300" +tm "SmWaitText" +) +) +encoding (Text +uid 661,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,45000,42000,45000" +blo "42000,45000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 664,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 665,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "43900,45900,44100,46100" +) +autoResize 1 +tline (Line +uid 666,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "39150,45300,48850,45300" +pts [ +"39150,45300" +"48850,45300" +] +) +bline (Line +uid 667,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "39150,44650,48850,44650" +pts [ +"39150,44650" +"48850,44650" +] +) +ttri (Triangle +uid 668,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "39550,44925,39900,45275" +) +btri (Triangle +uid 669,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "39550,42625,39900,42975" +) +entryActions (MLText +uid 670,0 +va (VaSet +) +xt "39150,45100,39150,45100" +tm "Actions" +) +inActions (MLText +uid 671,0 +va (VaSet +) +xt "39150,45500,48850,46500" +tm "Actions" +) +exitActions (MLText +uid 672,0 +va (VaSet +) +xt "40000,42800,40000,42800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 662,0 +ps "CenterOffsetStrategy" +text (MLText +uid 663,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "40400,45000,45900,45900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*32 (State +uid 673,0 +shape (Circle +uid 674,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "54000,40000,62000,48000" +radius 4000 +) +name (Text +uid 675,0 +va (VaSet +font "courier,10,1" +) +xt "55650,43400,60350,44600" +st "refresh3" +ju 0 +blo "58000,44400" +tm "ONodeName" +) +wait (TextAssociate +uid 676,0 +ps "CenterOffsetStrategy" +text (Text +uid 677,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "58100,44300,61700,45500" +st "wait 2" +blo "58100,45300" +tm "SmWaitText" +) +) +encoding (Text +uid 678,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "58000,45000,58000,45000" +blo "58000,45000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 681,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 682,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "56200,44900,67800,47100" +) +autoResize 1 +tline (Line +uid 683,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56300,44800,67700,44800" +pts [ +"56300,44800" +"67700,44800" +] +) +bline (Line +uid 684,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56300,44900,67700,44900" +pts [ +"56300,44900" +"67700,44900" +] +) +ttri (Triangle +uid 685,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "55850,44425,56200,44775" +) +btri (Triangle +uid 686,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "55850,42625,56200,42975" +) +entryActions (MLText +uid 687,0 +va (VaSet +) +xt "56300,44600,56300,44600" +tm "Actions" +) +inActions (MLText +uid 688,0 +va (VaSet +) +xt "56300,45000,72500,47000" +st "commandBus <= autoRefresh; +timerStart <= '1';" +tm "Actions" +) +exitActions (MLText +uid 689,0 +va (VaSet +) +xt "58000,42800,58000,42800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 679,0 +ps "CenterOffsetStrategy" +text (MLText +uid 680,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "56400,45000,61900,45900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*33 (State +uid 690,0 +shape (Circle +uid 691,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "70000,40000,78000,48000" +radius 4000 +) +name (Text +uid 692,0 +va (VaSet +font "courier,10,1" +) +xt "71650,43400,76350,44600" +st "refresh4" +ju 0 +blo "74000,44400" +tm "ONodeName" +) +wait (TextAssociate +uid 693,0 +ps "CenterOffsetStrategy" +text (Text +uid 694,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "74100,44300,77700,45500" +st "wait 2" +blo "74100,45300" +tm "SmWaitText" +) +) +encoding (Text +uid 695,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "74000,45000,74000,45000" +blo "74000,45000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 698,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 699,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "77900,45900,78100,46100" +) +autoResize 1 +tline (Line +uid 700,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "71700,44800,84300,44800" +pts [ +"71700,44800" +"84300,44800" +] +) +bline (Line +uid 701,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "71700,44900,84300,44900" +pts [ +"71700,44900" +"84300,44900" +] +) +ttri (Triangle +uid 702,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "73550,44425,73900,44775" +) +btri (Triangle +uid 703,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "73550,42625,73900,42975" +) +entryActions (MLText +uid 704,0 +va (VaSet +) +xt "71700,44600,71700,44600" +tm "Actions" +) +inActions (MLText +uid 705,0 +va (VaSet +) +xt "71700,45000,84300,47000" +tm "Actions" +) +exitActions (MLText +uid 706,0 +va (VaSet +) +xt "74000,42800,74000,42800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 696,0 +ps "CenterOffsetStrategy" +text (MLText +uid 697,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "72400,45000,77900,45900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*34 (State +uid 707,0 +shape (Circle +uid 708,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "86000,40000,94000,48000" +radius 4000 +) +name (Text +uid 709,0 +va (VaSet +font "courier,10,1" +) +xt "87650,43400,92350,44600" +st "refresh5" +ju 0 +blo "90000,44400" +tm "ONodeName" +) +wait (TextAssociate +uid 710,0 +ps "CenterOffsetStrategy" +text (Text +uid 711,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "90100,44300,93700,45500" +st "wait 2" +blo "90100,45300" +tm "SmWaitText" +) +) +encoding (Text +uid 712,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "90000,45000,90000,45000" +blo "90000,45000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 715,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 716,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "88200,44900,99800,47100" +) +autoResize 1 +tline (Line +uid 717,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "88300,44800,99700,44800" +pts [ +"88300,44800" +"99700,44800" +] +) +bline (Line +uid 718,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "88300,44900,99700,44900" +pts [ +"88300,44900" +"99700,44900" +] +) +ttri (Triangle +uid 719,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87850,44425,88200,44775" +) +btri (Triangle +uid 720,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87850,42625,88200,42975" +) +entryActions (MLText +uid 721,0 +va (VaSet +) +xt "88300,44600,88300,44600" +tm "Actions" +) +inActions (MLText +uid 722,0 +va (VaSet +) +xt "88300,45000,104500,47000" +st "commandBus <= autoRefresh; +timerStart <= '1';" +tm "Actions" +) +exitActions (MLText +uid 723,0 +va (VaSet +) +xt "90000,42800,90000,42800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 713,0 +ps "CenterOffsetStrategy" +text (MLText +uid 714,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "88400,45000,93900,45900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*35 (State +uid 724,0 +shape (Circle +uid 725,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "102000,40000,110000,48000" +radius 4000 +) +name (Text +uid 726,0 +va (VaSet +font "courier,10,1" +) +xt "102750,43400,109250,44600" +st "initialize13" +ju 0 +blo "106000,44400" +tm "ONodeName" +) +wait (TextAssociate +uid 727,0 +ps "CenterOffsetStrategy" +text (Text +uid 728,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "106100,44300,109700,45500" +st "wait 2" +blo "106100,45300" +tm "SmWaitText" +) +) +encoding (Text +uid 729,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "106000,45000,106000,45000" +blo "106000,45000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 732,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 733,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "109900,45900,110100,46100" +) +autoResize 1 +tline (Line +uid 734,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "103700,44800,116300,44800" +pts [ +"103700,44800" +"116300,44800" +] +) +bline (Line +uid 735,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "103700,44900,116300,44900" +pts [ +"103700,44900" +"116300,44900" +] +) +ttri (Triangle +uid 736,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "105550,44425,105900,44775" +) +btri (Triangle +uid 737,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "105550,42625,105900,42975" +) +entryActions (MLText +uid 738,0 +va (VaSet +) +xt "103700,44600,103700,44600" +tm "Actions" +) +inActions (MLText +uid 739,0 +va (VaSet +) +xt "103700,45000,116300,47000" +tm "Actions" +) +exitActions (MLText +uid 740,0 +va (VaSet +) +xt "106000,42800,106000,42800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 730,0 +ps "CenterOffsetStrategy" +text (MLText +uid 731,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "104400,45000,109900,45900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*36 (Junction +uid 791,0 +shape (Diamond +uid 792,0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "112350,34350,115650,37650" +) +symbol (Text +uid 793,0 +va (VaSet +font "courier,10,1" +) +xt "113350,35400,114650,36600" +st "&" +ju 0 +blo "114000,36400" +) +name (TextAssociate +uid 794,0 +ps "CenterOffsetStrategy" +text (Text +uid 795,0 +va (VaSet +font "courier,8,1" +) +xt "115500,36500,115500,36500" +blo "115500,36500" +tm "JunctionName" +) +) +caseExpr (TextAssociate +uid 796,0 +ps "CenterOffsetStrategy" +text (MLText +uid 797,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "111650,37500,117150,38400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*37 (Junction +uid 798,0 +shape (Diamond +uid 799,0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "112350,42350,115650,45650" +) +symbol (Text +uid 800,0 +va (VaSet +font "courier,10,1" +) +xt "113350,43400,114650,44600" +st "&" +ju 0 +blo "114000,44400" +) +name (TextAssociate +uid 801,0 +ps "CenterOffsetStrategy" +text (Text +uid 802,0 +va (VaSet +font "courier,8,1" +) +xt "115500,44500,115500,44500" +blo "115500,44500" +tm "JunctionName" +) +) +caseExpr (TextAssociate +uid 803,0 +ps "CenterOffsetStrategy" +text (MLText +uid 804,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "111650,45500,117150,46400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*38 (State +uid 870,0 +shape (Circle +uid 871,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "22000,56000,30000,64000" +radius 4000 +) +name (Text +uid 872,0 +va (VaSet +font "courier,10,1" +) +xt "24150,59400,27850,60600" +st "write1" +ju 0 +blo "26000,60400" +tm "ONodeName" +) +wait (TextAssociate +uid 873,0 +ps "CenterOffsetStrategy" +text (Text +uid 874,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "26100,60300,29700,61500" +st "wait 2" +blo "26100,61300" +tm "SmWaitText" +) +) +encoding (Text +uid 875,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "26000,61000,26000,61000" +blo "26000,61000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 878,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 879,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "24300,60900,33700,65100" +) +autoResize 1 +tline (Line +uid 880,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "24400,60800,33600,60800" +pts [ +"24400,60800" +"33600,60800" +] +) +bline (Line +uid 881,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "24400,62400,33600,62400" +pts [ +"24400,62400" +"33600,62400" +] +) +ttri (Triangle +uid 882,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23950,60425,24300,60775" +) +btri (Triangle +uid 883,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23950,59625,24300,59975" +) +entryActions (MLText +uid 884,0 +va (VaSet +) +xt "24400,60600,24400,60600" +tm "Actions" +) +inActions (MLText +uid 885,0 +va (VaSet +) +xt "24400,61000,37600,65000" +st "commandBus <= active; +writeAck <= '1'; +addrSelRow <= '1'; +timerStart <= '1';" +tm "Actions" +) +exitActions (MLText +uid 886,0 +va (VaSet +) +xt "25000,59800,25000,59800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 876,0 +ps "CenterOffsetStrategy" +text (MLText +uid 877,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "24400,61000,29900,61900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*39 (State +uid 887,0 +shape (Circle +uid 888,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "38000,56000,46000,64000" +radius 4000 +) +name (Text +uid 889,0 +va (VaSet +font "courier,10,1" +) +xt "40150,59400,43850,60600" +st "write2" +ju 0 +blo "42000,60400" +tm "ONodeName" +) +wait (TextAssociate +uid 890,0 +ps "CenterOffsetStrategy" +text (Text +uid 891,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "42100,60300,45700,61500" +st "wait 2" +blo "42100,61300" +tm "SmWaitText" +) +) +encoding (Text +uid 892,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,61000,42000,61000" +blo "42000,61000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 895,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 896,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "43900,61900,44100,62100" +) +autoResize 1 +tline (Line +uid 897,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "39150,61300,48850,61300" +pts [ +"39150,61300" +"48850,61300" +] +) +bline (Line +uid 898,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "39150,60650,48850,60650" +pts [ +"39150,60650" +"48850,60650" +] +) +ttri (Triangle +uid 899,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "39550,60925,39900,61275" +) +btri (Triangle +uid 900,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "39550,58625,39900,58975" +) +entryActions (MLText +uid 901,0 +va (VaSet +) +xt "39150,61100,39150,61100" +tm "Actions" +) +inActions (MLText +uid 902,0 +va (VaSet +) +xt "39150,61500,48850,62500" +tm "Actions" +) +exitActions (MLText +uid 903,0 +va (VaSet +) +xt "40000,58800,40000,58800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 893,0 +ps "CenterOffsetStrategy" +text (MLText +uid 894,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "40400,61000,45900,61900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*40 (State +uid 904,0 +shape (Circle +uid 905,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "54000,56000,62000,64000" +radius 4000 +) +name (Text +uid 906,0 +va (VaSet +font "courier,10,1" +) +xt "56150,59400,59850,60600" +st "write3" +ju 0 +blo "58000,60400" +tm "ONodeName" +) +wait (TextAssociate +uid 907,0 +ps "CenterOffsetStrategy" +text (Text +uid 908,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "58100,60300,61700,61500" +st "wait 2" +blo "58100,61300" +tm "SmWaitText" +) +) +encoding (Text +uid 909,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "58000,61000,58000,61000" +blo "58000,61000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 912,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 913,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "56450,60400,65550,63600" +) +autoResize 1 +tline (Line +uid 914,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56550,60300,65450,60300" +pts [ +"56550,60300" +"65450,60300" +] +) +bline (Line +uid 915,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56550,61150,65450,61150" +pts [ +"56550,61150" +"65450,61150" +] +) +ttri (Triangle +uid 916,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56100,59925,56450,60275" +) +btri (Triangle +uid 917,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56100,58625,56450,58975" +) +entryActions (MLText +uid 918,0 +va (VaSet +) +xt "56550,60100,56550,60100" +tm "Actions" +) +inActions (MLText +uid 919,0 +va (VaSet +) +xt "56550,60500,69150,63500" +st "commandBus <= write; +addrSelCol <= '1'; +timerStart <= '1';" +tm "Actions" +) +exitActions (MLText +uid 920,0 +va (VaSet +) +xt "57000,58800,57000,58800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 910,0 +ps "CenterOffsetStrategy" +text (MLText +uid 911,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "56400,61000,61900,61900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*41 (State +uid 921,0 +shape (Circle +uid 922,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "70000,56000,78000,64000" +radius 4000 +) +name (Text +uid 923,0 +va (VaSet +font "courier,10,1" +) +xt "72150,59400,75850,60600" +st "write4" +ju 0 +blo "74000,60400" +tm "ONodeName" +) +wait (TextAssociate +uid 924,0 +ps "CenterOffsetStrategy" +text (Text +uid 925,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "74100,60300,77700,61500" +st "wait 2" +blo "74100,61300" +tm "SmWaitText" +) +) +encoding (Text +uid 926,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "74000,61000,74000,61000" +blo "74000,61000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 929,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 930,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "77900,61900,78100,62100" +) +autoResize 1 +tline (Line +uid 931,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "71700,60800,84300,60800" +pts [ +"71700,60800" +"84300,60800" +] +) +bline (Line +uid 932,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "71700,60900,84300,60900" +pts [ +"71700,60900" +"84300,60900" +] +) +ttri (Triangle +uid 933,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "73550,60425,73900,60775" +) +btri (Triangle +uid 934,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "73550,58625,73900,58975" +) +entryActions (MLText +uid 935,0 +va (VaSet +) +xt "71700,60600,71700,60600" +tm "Actions" +) +inActions (MLText +uid 936,0 +va (VaSet +) +xt "71700,61000,84300,63000" +tm "Actions" +) +exitActions (MLText +uid 937,0 +va (VaSet +) +xt "74000,58800,74000,58800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 927,0 +ps "CenterOffsetStrategy" +text (MLText +uid 928,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "72400,61000,77900,61900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*42 (Junction +uid 978,0 +shape (Diamond +uid 979,0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "8350,58350,11650,61650" +) +symbol (Text +uid 980,0 +va (VaSet +font "courier,10,1" +) +xt "9350,59400,10650,60600" +st "&" +ju 0 +blo "10000,60400" +) +name (TextAssociate +uid 981,0 +ps "CenterOffsetStrategy" +text (Text +uid 982,0 +va (VaSet +font "courier,8,1" +) +xt "11500,60500,11500,60500" +blo "11500,60500" +tm "JunctionName" +) +) +caseExpr (TextAssociate +uid 983,0 +ps "CenterOffsetStrategy" +text (MLText +uid 984,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "7650,61500,13150,62400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*43 (Junction +uid 999,0 +shape (Diamond +uid 1000,0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "112350,58350,115650,61650" +) +symbol (Text +uid 1001,0 +va (VaSet +font "courier,10,1" +) +xt "113350,59400,114650,60600" +st "&" +ju 0 +blo "114000,60400" +) +name (TextAssociate +uid 1002,0 +ps "CenterOffsetStrategy" +text (Text +uid 1003,0 +va (VaSet +font "courier,8,1" +) +xt "115500,60500,115500,60500" +blo "115500,60500" +tm "JunctionName" +) +) +caseExpr (TextAssociate +uid 1004,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1005,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "111650,61500,117150,62400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*44 (State +uid 1026,0 +shape (Circle +uid 1027,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "22000,72000,30000,80000" +radius 4000 +) +name (Text +uid 1028,0 +va (VaSet +font "courier,10,1" +) +xt "24300,75400,27700,76600" +st "read1" +ju 0 +blo "26000,76400" +tm "ONodeName" +) +wait (TextAssociate +uid 1029,0 +ps "CenterOffsetStrategy" +text (Text +uid 1030,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "26100,76300,29700,77500" +st "wait 2" +blo "26100,77300" +tm "SmWaitText" +) +) +encoding (Text +uid 1031,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "26000,77000,26000,77000" +blo "26000,77000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1034,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1035,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "24300,76900,33700,81100" +) +autoResize 1 +tline (Line +uid 1036,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "24400,76800,33600,76800" +pts [ +"24400,76800" +"33600,76800" +] +) +bline (Line +uid 1037,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "24400,78400,33600,78400" +pts [ +"24400,78400" +"33600,78400" +] +) +ttri (Triangle +uid 1038,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23950,76425,24300,76775" +) +btri (Triangle +uid 1039,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23950,75625,24300,75975" +) +entryActions (MLText +uid 1040,0 +va (VaSet +) +xt "24400,76600,24400,76600" +tm "Actions" +) +inActions (MLText +uid 1041,0 +va (VaSet +) +xt "24400,77000,37600,81000" +st "commandBus <= active; +readAck <= '1'; +addrSelRow <= '1'; +timerStart <= '1';" +tm "Actions" +) +exitActions (MLText +uid 1042,0 +va (VaSet +) +xt "25000,75800,25000,75800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1032,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1033,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "24400,77000,29900,77900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*45 (State +uid 1043,0 +shape (Circle +uid 1044,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "38000,72000,46000,80000" +radius 4000 +) +name (Text +uid 1045,0 +va (VaSet +font "courier,10,1" +) +xt "40300,75400,43700,76600" +st "read2" +ju 0 +blo "42000,76400" +tm "ONodeName" +) +wait (TextAssociate +uid 1046,0 +ps "CenterOffsetStrategy" +text (Text +uid 1047,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "42100,76300,45700,77500" +st "wait 2" +blo "42100,77300" +tm "SmWaitText" +) +) +encoding (Text +uid 1048,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,77000,42000,77000" +blo "42000,77000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1051,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1052,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "43900,77900,44100,78100" +) +autoResize 1 +tline (Line +uid 1053,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "39150,77300,48850,77300" +pts [ +"39150,77300" +"48850,77300" +] +) +bline (Line +uid 1054,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "39150,76650,48850,76650" +pts [ +"39150,76650" +"48850,76650" +] +) +ttri (Triangle +uid 1055,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "39550,76925,39900,77275" +) +btri (Triangle +uid 1056,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "39550,74625,39900,74975" +) +entryActions (MLText +uid 1057,0 +va (VaSet +) +xt "39150,77100,39150,77100" +tm "Actions" +) +inActions (MLText +uid 1058,0 +va (VaSet +) +xt "39150,77500,48850,78500" +tm "Actions" +) +exitActions (MLText +uid 1059,0 +va (VaSet +) +xt "40000,74800,40000,74800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1049,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1050,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "40400,77000,45900,77900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*46 (State +uid 1060,0 +shape (Circle +uid 1061,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "54000,72000,62000,80000" +radius 4000 +) +name (Text +uid 1062,0 +va (VaSet +font "courier,10,1" +) +xt "56300,75400,59700,76600" +st "read3" +ju 0 +blo "58000,76400" +tm "ONodeName" +) +wait (TextAssociate +uid 1063,0 +ps "CenterOffsetStrategy" +text (Text +uid 1064,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "58100,76300,61700,77500" +st "wait 2" +blo "58100,77300" +tm "SmWaitText" +) +) +encoding (Text +uid 1065,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "58000,77000,58000,77000" +blo "58000,77000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1068,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1069,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "56700,76400,65300,79600" +) +autoResize 1 +tline (Line +uid 1070,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56800,76300,65200,76300" +pts [ +"56800,76300" +"65200,76300" +] +) +bline (Line +uid 1071,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56800,77150,65200,77150" +pts [ +"56800,77150" +"65200,77150" +] +) +ttri (Triangle +uid 1072,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56350,75925,56700,76275" +) +btri (Triangle +uid 1073,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56350,74625,56700,74975" +) +entryActions (MLText +uid 1074,0 +va (VaSet +) +xt "56800,76100,56800,76100" +tm "Actions" +) +inActions (MLText +uid 1075,0 +va (VaSet +) +xt "56800,76500,68800,79500" +st "commandBus <= read; +addrSelCol <= '1'; +timerStart <= '1';" +tm "Actions" +) +exitActions (MLText +uid 1076,0 +va (VaSet +) +xt "57000,74800,57000,74800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1066,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1067,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "56400,77000,61900,77900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*47 (State +uid 1077,0 +shape (Circle +uid 1078,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "70000,72000,78000,80000" +radius 4000 +) +name (Text +uid 1079,0 +va (VaSet +font "courier,10,1" +) +xt "72300,75400,75700,76600" +st "read4" +ju 0 +blo "74000,76400" +tm "ONodeName" +) +wait (TextAssociate +uid 1080,0 +ps "CenterOffsetStrategy" +text (Text +uid 1081,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "74100,76300,77700,77500" +st "wait 2" +blo "74100,77300" +tm "SmWaitText" +) +) +encoding (Text +uid 1082,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "74000,77000,74000,77000" +blo "74000,77000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1085,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1086,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "77900,77900,78100,78100" +) +autoResize 1 +tline (Line +uid 1087,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "71700,76800,84300,76800" +pts [ +"71700,76800" +"84300,76800" +] +) +bline (Line +uid 1088,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "71700,76900,84300,76900" +pts [ +"71700,76900" +"84300,76900" +] +) +ttri (Triangle +uid 1089,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "73550,76425,73900,76775" +) +btri (Triangle +uid 1090,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "73550,74625,73900,74975" +) +entryActions (MLText +uid 1091,0 +va (VaSet +) +xt "71700,76600,71700,76600" +tm "Actions" +) +inActions (MLText +uid 1092,0 +va (VaSet +) +xt "71700,77000,84300,79000" +tm "Actions" +) +exitActions (MLText +uid 1093,0 +va (VaSet +) +xt "74000,74800,74000,74800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1083,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1084,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "72400,77000,77900,77900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*48 (Junction +uid 1094,0 +shape (Diamond +uid 1095,0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "112350,74350,115650,77650" +) +symbol (Text +uid 1096,0 +va (VaSet +font "courier,10,1" +) +xt "113350,75400,114650,76600" +st "&" +ju 0 +blo "114000,76400" +) +name (TextAssociate +uid 1097,0 +ps "CenterOffsetStrategy" +text (Text +uid 1098,0 +va (VaSet +font "courier,8,1" +) +xt "115500,76500,115500,76500" +blo "115500,76500" +tm "JunctionName" +) +) +caseExpr (TextAssociate +uid 1099,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1100,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "111650,77500,117150,78400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*49 (Junction +uid 1151,0 +shape (Diamond +uid 1152,0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "8350,74350,11650,77650" +) +symbol (Text +uid 1153,0 +va (VaSet +font "courier,10,1" +) +xt "9350,75400,10650,76600" +st "&" +ju 0 +blo "10000,76400" +) +name (TextAssociate +uid 1154,0 +ps "CenterOffsetStrategy" +text (Text +uid 1155,0 +va (VaSet +font "courier,8,1" +) +xt "11500,76500,11500,76500" +blo "11500,76500" +tm "JunctionName" +) +) +caseExpr (TextAssociate +uid 1156,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1157,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "7650,77500,13150,78400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*50 (State +uid 1217,0 +shape (Circle +uid 1218,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "86000,72000,94000,80000" +radius 4000 +) +name (Text +uid 1219,0 +va (VaSet +font "courier,10,1" +) +xt "88300,75400,91700,76600" +st "read5" +ju 0 +blo "90000,76400" +tm "ONodeName" +) +wait (TextAssociate +uid 1220,0 +ps "CenterOffsetStrategy" +text (Text +uid 1221,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "90100,76300,93700,77500" +st "wait 2" +blo "90100,77300" +tm "SmWaitText" +) +) +encoding (Text +uid 1222,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "90000,77000,90000,77000" +blo "90000,77000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1225,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1226,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "87800,76900,96200,79100" +) +autoResize 1 +tline (Line +uid 1227,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87900,76800,96100,76800" +pts [ +"87900,76800" +"96100,76800" +] +) +bline (Line +uid 1228,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87900,76900,96100,76900" +pts [ +"87900,76900" +"96100,76900" +] +) +ttri (Triangle +uid 1229,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87450,76425,87800,76775" +) +btri (Triangle +uid 1230,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87450,74625,87800,74975" +) +entryActions (MLText +uid 1231,0 +va (VaSet +) +xt "87900,76600,87900,76600" +tm "Actions" +) +inActions (MLText +uid 1232,0 +va (VaSet +) +xt "87900,77000,100500,79000" +st "sampleData <= '1'; +ramDataValid <= '1';" +tm "Actions" +) +exitActions (MLText +uid 1233,0 +va (VaSet +) +xt "88000,74800,88000,74800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1223,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1224,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "88400,77000,93900,77900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*51 (State +uid 1234,0 +shape (Circle +uid 1235,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "102000,72000,110000,80000" +radius 4000 +) +name (Text +uid 1236,0 +va (VaSet +font "courier,10,1" +) +xt "104300,75400,107700,76600" +st "read6" +ju 0 +blo "106000,76400" +tm "ONodeName" +) +wait (TextAssociate +uid 1237,0 +ps "CenterOffsetStrategy" +text (Text +uid 1238,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "106100,76300,109700,77500" +st "wait 2" +blo "106100,77300" +tm "SmWaitText" +) +) +encoding (Text +uid 1239,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "106000,77000,106000,77000" +blo "106000,77000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1242,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1243,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "109900,77900,110100,78100" +) +autoResize 1 +tline (Line +uid 1244,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "103700,76800,116300,76800" +pts [ +"103700,76800" +"116300,76800" +] +) +bline (Line +uid 1245,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "103700,76900,116300,76900" +pts [ +"103700,76900" +"116300,76900" +] +) +ttri (Triangle +uid 1246,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "105550,76425,105900,76775" +) +btri (Triangle +uid 1247,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "105550,74625,105900,74975" +) +entryActions (MLText +uid 1248,0 +va (VaSet +) +xt "103700,76600,103700,76600" +tm "Actions" +) +inActions (MLText +uid 1249,0 +va (VaSet +) +xt "103700,77000,116300,79000" +tm "Actions" +) +exitActions (MLText +uid 1250,0 +va (VaSet +) +xt "106000,74800,106000,74800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1240,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1241,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "104400,77000,109900,77900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*52 (Transition +uid 100,0 +shape (Spline +uid 101,0 +va (VaSet +vasetType 3 +) +xt "5500,15000,13000,15000" +pts [ +"5500,15000" +"13000,15000" +] +) +start &4 +end &5 +ss 0 +es 0 +cond "reset = '1'" +tb (TransitionBlock +uid 102,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 103,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "7250,14000,15450,16000" +) +autoResize 1 +lineShape (Line +uid 104,0 +va (VaSet +vasetType 3 +) +xt "7750,15400,14950,15400" +pts [ +"7750,15400" +"14950,15400" +] +) +condition (MLText +uid 105,0 +va (VaSet +) +xt "7750,14000,14950,15000" +st "reset = '1'" +tm "Condition" +) +actions (MLText +uid 106,0 +va (VaSet +isHidden 1 +) +xt "7150,15800,15550,16800" +st "< Automatic >" +tm "Actions" +) +) +tp (TransitionPriority +uid 107,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 108,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5469,14219,7031,15781" +radius 781 +) +pr (Text +uid 109,0 +va (VaSet +isHidden 1 +) +xt "5850,14500,6650,15500" +st "1" +ju 0 +blo "6250,15300" +tm "TransitionPriority" +) +padding "100,100" +) +) +*53 (Transition +uid 110,0 +shape (Spline +uid 111,0 +va (VaSet +vasetType 3 +) +xt "5050,13000,13000,13000" +pts [ +"5050,13000" +"13000,13000" +] +) +start &6 +end &7 +ss 0 +es 0 +tb (TransitionBlock +uid 112,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 113,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "6825,12495,11225,13505" +) +autoResize 1 +lineShape (Line +uid 114,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "9025,13900,9025,13900" +pts [ +"9025,13900" +"9025,13900" +] +) +condition (MLText +uid 115,0 +va (VaSet +) +xt "7325,12500,10725,13500" +tm "Condition" +) +actions (MLText +uid 116,0 +va (VaSet +) +xt "9025,13900,9025,13900" +tm "Actions" +) +) +tp (TransitionPriority +uid 117,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 118,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5064,12219,6626,13781" +radius 781 +) +pr (Text +uid 119,0 +va (VaSet +isHidden 1 +) +xt "5445,12500,6245,13500" +st "1" +ju 0 +blo "5845,13300" +tm "TransitionPriority" +) +padding "100,100" +) +) +*54 (Transition +uid 246,0 +shape (Spline +uid 247,0 +va (VaSet +vasetType 3 +) +xt "14000,27968,22000,27970" +pts [ +"14000,27970" +"22000,27968" +] +arrow 1 +) +start &2 +end &19 +cond "endOfRefreshCount = '1'" +tb (TransitionBlock +uid 248,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 249,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "11700,24550,22300,26550" +) +autoResize 1 +lineShape (Line +uid 250,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "12200,26450,21800,26450" +pts [ +"12200,26450" +"21800,26450" +] +) +condition (MLText +uid 251,0 +va (VaSet +) +xt "12200,25050,26600,26050" +st "endOfRefreshCount = '1'" +tm "Condition" +) +actions (MLText +uid 252,0 +va (VaSet +) +xt "12200,26450,21800,27450" +tm "Actions" +) +) +tp (TransitionPriority +uid 253,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 254,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "14019,27188,15581,28750" +radius 781 +) +pr (Text +uid 255,0 +va (VaSet +isHidden 1 +) +xt "14400,27469,15200,28469" +st "1" +ju 0 +blo "14800,28269" +tm "TransitionPriority" +) +padding "100,100" +) +) +*55 (Transition +uid 312,0 +shape (Spline +uid 313,0 +va (VaSet +vasetType 3 +) +xt "30000,28035,38000,28036" +pts [ +"30000,28035" +"38000,28036" +] +arrow 1 +) +start &19 +end &20 +tb (TransitionBlock +uid 314,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 315,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "33500,28031,37900,29041" +) +autoResize 1 +lineShape (Line +uid 316,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "35700,29436,35700,29436" +pts [ +"35700,29436" +"35700,29436" +] +) +condition (MLText +uid 317,0 +va (VaSet +) +xt "34000,28036,37400,29036" +tm "Condition" +) +actions (MLText +uid 318,0 +va (VaSet +) +xt "35700,29436,35700,29436" +tm "Actions" +) +) +tp (TransitionPriority +uid 319,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 320,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "30019,27254,31581,28816" +radius 781 +) +pr (Text +uid 321,0 +va (VaSet +isHidden 1 +) +xt "30400,27535,31200,28535" +st "1" +ju 0 +blo "30800,28335" +tm "TransitionPriority" +) +padding "100,100" +) +) +*56 (Transition +uid 351,0 +shape (Spline +uid 352,0 +va (VaSet +vasetType 3 +) +xt "46000,27955,54000,27955" +pts [ +"46000,27955" +"54000,27955" +] +arrow 1 +) +start &20 +end &21 +cond "unsigned(timerDone(1 to prechargeToRefreshPeriodNb)) = 0" +tb (TransitionBlock +uid 353,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 354,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "41950,25000,65350,27000" +) +autoResize 1 +lineShape (Line +uid 355,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "44150,26900,44150,26900" +pts [ +"44150,26900" +"44150,26900" +] +) +condition (MLText +uid 356,0 +va (VaSet +) +xt "42450,25500,76650,26500" +st "unsigned(timerDone(1 to prechargeToRefreshPeriodNb)) = 0" +tm "Condition" +) +actions (MLText +uid 357,0 +va (VaSet +) +xt "53650,26900,53650,26900" +tm "Actions" +) +) +tp (TransitionPriority +uid 358,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 359,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "46019,27174,47581,28736" +radius 781 +) +pr (Text +uid 360,0 +va (VaSet +isHidden 1 +) +xt "46400,27455,47200,28455" +st "1" +ju 0 +blo "46800,28255" +tm "TransitionPriority" +) +padding "100,100" +) +) +*57 (Transition +uid 395,0 +shape (Spline +uid 396,0 +va (VaSet +vasetType 3 +) +xt "62000,28002,70000,28006" +pts [ +"62000,28006" +"70000,28002" +] +arrow 1 +) +start &21 +end &22 +es 0 +tb (TransitionBlock +uid 397,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 398,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "65500,27999,69900,29009" +) +autoResize 1 +lineShape (Line +uid 399,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "67700,29404,67700,29404" +pts [ +"67700,29404" +"67700,29404" +] +) +condition (MLText +uid 400,0 +va (VaSet +) +xt "66000,28004,69400,29004" +tm "Condition" +) +actions (MLText +uid 401,0 +va (VaSet +) +xt "67700,29404,67700,29404" +tm "Actions" +) +) +tp (TransitionPriority +uid 402,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 403,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "62019,27224,63581,28786" +radius 781 +) +pr (Text +uid 404,0 +va (VaSet +isHidden 1 +) +xt "62400,27505,63200,28505" +st "1" +ju 0 +blo "62800,28305" +tm "TransitionPriority" +) +padding "100,100" +) +) +*58 (Transition +uid 405,0 +shape (Spline +uid 406,0 +va (VaSet +vasetType 3 +) +xt "78000,27984,86000,27985" +pts [ +"78000,27984" +"86000,27985" +] +arrow 1 +) +start &22 +end &23 +cond "unsigned(timerDone(1 to refreshDelayPeriodNb)) = 0" +tb (TransitionBlock +uid 407,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 408,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "74350,25000,94950,27000" +) +autoResize 1 +lineShape (Line +uid 409,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "76550,26900,76550,26900" +pts [ +"76550,26900" +"76550,26900" +] +) +condition (MLText +uid 410,0 +va (VaSet +) +xt "74850,25500,105450,26500" +st "unsigned(timerDone(1 to refreshDelayPeriodNb)) = 0" +tm "Condition" +) +actions (MLText +uid 411,0 +va (VaSet +) +xt "84650,26900,84650,26900" +tm "Actions" +) +) +tp (TransitionPriority +uid 412,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 413,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "78019,27203,79581,28765" +radius 781 +) +pr (Text +uid 414,0 +va (VaSet +isHidden 1 +) +xt "78400,27484,79200,28484" +st "1" +ju 0 +blo "78800,28284" +tm "TransitionPriority" +) +padding "100,100" +) +) +*59 (Transition +uid 432,0 +shape (Spline +uid 433,0 +va (VaSet +vasetType 3 +) +xt "94000,28006,102000,28006" +pts [ +"94000,28006" +"102000,28006" +] +arrow 1 +) +start &23 +end &24 +tb (TransitionBlock +uid 434,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 435,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "97500,28001,101900,29011" +) +autoResize 1 +lineShape (Line +uid 436,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "99700,29406,99700,29406" +pts [ +"99700,29406" +"99700,29406" +] +) +condition (MLText +uid 437,0 +va (VaSet +) +xt "98000,28006,101400,29006" +tm "Condition" +) +actions (MLText +uid 438,0 +va (VaSet +) +xt "99700,29406,99700,29406" +tm "Actions" +) +) +tp (TransitionPriority +uid 439,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 440,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "94019,27225,95581,28787" +radius 781 +) +pr (Text +uid 441,0 +va (VaSet +isHidden 1 +) +xt "94400,27506,95200,28506" +st "1" +ju 0 +blo "94800,28306" +tm "TransitionPriority" +) +padding "100,100" +) +) +*60 (Transition +uid 519,0 +shape (Spline +uid 520,0 +va (VaSet +vasetType 3 +) +xt "110000,27969,118000,27975" +pts [ +"110000,27975" +"118000,27969" +] +arrow 1 +) +start &24 +end &25 +cond "unsigned(timerDone(1 to refreshDelayPeriodNb)) = 0" +tb (TransitionBlock +uid 521,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 522,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "106350,25000,126950,27000" +) +autoResize 1 +lineShape (Line +uid 523,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "108550,26900,108550,26900" +pts [ +"108550,26900" +"108550,26900" +] +) +condition (MLText +uid 524,0 +va (VaSet +) +xt "106850,25500,137450,26500" +st "unsigned(timerDone(1 to refreshDelayPeriodNb)) = 0" +tm "Condition" +) +actions (MLText +uid 525,0 +va (VaSet +) +xt "116650,26900,116650,26900" +tm "Actions" +) +) +tp (TransitionPriority +uid 526,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 527,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "110019,27193,111581,28755" +radius 781 +) +pr (Text +uid 528,0 +va (VaSet +isHidden 1 +) +xt "110400,27474,111200,28474" +st "1" +ju 0 +blo "110800,28274" +tm "TransitionPriority" +) +padding "100,100" +) +) +*61 (Transition +uid 548,0 +shape (Spline +uid 549,0 +va (VaSet +vasetType 3 +) +xt "126000,28011,134000,28013" +pts [ +"126000,28011" +"134000,28013" +] +arrow 1 +) +start &25 +end &26 +tb (TransitionBlock +uid 550,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 551,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "129500,28007,133900,29017" +) +autoResize 1 +lineShape (Line +uid 552,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "131700,29412,131700,29412" +pts [ +"131700,29412" +"131700,29412" +] +) +condition (MLText +uid 553,0 +va (VaSet +) +xt "130000,28012,133400,29012" +tm "Condition" +) +actions (MLText +uid 554,0 +va (VaSet +) +xt "131700,29412,131700,29412" +tm "Actions" +) +) +tp (TransitionPriority +uid 555,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 556,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "126019,27230,127581,28792" +radius 781 +) +pr (Text +uid 557,0 +va (VaSet +isHidden 1 +) +xt "126400,27511,127200,28511" +st "1" +ju 0 +blo "126800,28311" +tm "TransitionPriority" +) +padding "100,100" +) +) +*62 (Transition +uid 582,0 +shape (Spline +uid 583,0 +va (VaSet +vasetType 3 +) +xt "9964,37622,9972,40000" +pts [ +"9972,37622" +"9964,40000" +] +arrow 1 +) +start &28 +end &27 +tb (TransitionBlock +uid 584,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 585,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "9468,38806,13868,39816" +) +autoResize 1 +lineShape (Line +uid 586,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "11668,40211,11668,40211" +pts [ +"11668,40211" +"11668,40211" +] +) +condition (MLText +uid 587,0 +va (VaSet +) +xt "9968,38811,13368,39811" +tm "Condition" +) +actions (MLText +uid 588,0 +va (VaSet +) +xt "11668,40211,11668,40211" +tm "Actions" +) +) +tp (TransitionPriority +uid 589,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 590,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "9190,37078,10752,38640" +radius 781 +) +pr (Text +uid 591,0 +va (VaSet +isHidden 1 +) +xt "9571,37359,10371,38359" +st "1" +ju 0 +blo "9971,38159" +tm "TransitionPriority" +) +padding "100,100" +) +) +*63 (Transition +uid 599,0 +shape (Spline +uid 600,0 +va (VaSet +vasetType 3 +) +xt "138008,32000,138019,34358" +pts [ +"138019,32000" +"138008,34358" +] +arrow 1 +) +start &26 +end &29 +es 0 +tb (TransitionBlock +uid 601,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 602,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "116400,33495,138900,34505" +) +autoResize 1 +lineShape (Line +uid 603,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "118600,34900,118600,34900" +pts [ +"118600,34900" +"118600,34900" +] +) +condition (MLText +uid 604,0 +va (VaSet +) +xt "116900,33500,138400,34500" +tm "Condition" +) +actions (MLText +uid 605,0 +va (VaSet +) +xt "127650,34900,127650,34900" +tm "Actions" +) +) +tp (TransitionPriority +uid 606,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 607,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "137236,31454,138798,33016" +radius 781 +) +pr (Text +uid 608,0 +va (VaSet +isHidden 1 +) +xt "137617,31735,138417,32735" +st "1" +ju 0 +blo "138017,32535" +tm "TransitionPriority" +) +padding "100,100" +) +) +*64 (Transition +uid 619,0 +shape (Spline +uid 620,0 +va (VaSet +vasetType 3 +) +xt "115626,35976,136366,35984" +pts [ +"136366,35984" +"115626,35976" +] +arrow 1 +) +start &29 +end &36 +tb (TransitionBlock +uid 621,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 622,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "125496,35975,129896,36985" +) +autoResize 1 +lineShape (Line +uid 623,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "127696,37380,127696,37380" +pts [ +"127696,37380" +"127696,37380" +] +) +condition (MLText +uid 624,0 +va (VaSet +) +xt "125996,35980,129396,36980" +tm "Condition" +) +actions (MLText +uid 625,0 +va (VaSet +) +xt "127696,37380,127696,37380" +tm "Actions" +) +) +tp (TransitionPriority +uid 626,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 627,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "133511,35202,135073,36764" +radius 781 +) +pr (Text +uid 628,0 +va (VaSet +isHidden 1 +) +xt "133892,35483,134692,36483" +st "1" +ju 0 +blo "134292,36283" +tm "TransitionPriority" +) +padding "100,100" +) +) +*65 (Transition +uid 646,0 +shape (Spline +uid 647,0 +va (VaSet +vasetType 3 +) +xt "14000,44000,22000,44000" +pts [ +"14000,44000" +"22000,44000" +] +arrow 1 +) +start &27 +end &30 +cond "endOfRefreshCount = '1'" +tb (TransitionBlock +uid 648,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 649,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "12700,41000,23300,43000" +) +autoResize 1 +lineShape (Line +uid 650,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "14900,42900,14900,42900" +pts [ +"14900,42900" +"14900,42900" +] +) +condition (MLText +uid 651,0 +va (VaSet +) +xt "13200,41500,27600,42500" +st "endOfRefreshCount = '1'" +tm "Condition" +) +actions (MLText +uid 652,0 +va (VaSet +) +xt "18000,42900,18000,42900" +tm "Actions" +) +) +tp (TransitionPriority +uid 653,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 654,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "14019,43219,15581,44781" +radius 781 +) +pr (Text +uid 655,0 +va (VaSet +) +xt "14400,43500,15200,44500" +st "1" +ju 0 +blo "14800,44300" +tm "TransitionPriority" +) +padding "100,100" +) +) +*66 (Transition +uid 741,0 +shape (Spline +uid 742,0 +va (VaSet +vasetType 3 +) +xt "46000,43955,54000,43955" +pts [ +"46000,43955" +"54000,43955" +] +arrow 1 +) +start &31 +end &32 +cond "unsigned(timerDone(1 to prechargeToRefreshPeriodNb)) = 0" +tb (TransitionBlock +uid 743,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 744,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "41950,41000,65350,43000" +) +autoResize 1 +lineShape (Line +uid 745,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "44150,42900,44150,42900" +pts [ +"44150,42900" +"44150,42900" +] +) +condition (MLText +uid 746,0 +va (VaSet +) +xt "42450,41500,76650,42500" +st "unsigned(timerDone(1 to prechargeToRefreshPeriodNb)) = 0" +tm "Condition" +) +actions (MLText +uid 747,0 +va (VaSet +) +xt "53650,42900,53650,42900" +tm "Actions" +) +) +tp (TransitionPriority +uid 748,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 749,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "46019,43174,47581,44736" +radius 781 +) +pr (Text +uid 750,0 +va (VaSet +isHidden 1 +) +xt "46400,43455,47200,44455" +st "1" +ju 0 +blo "46800,44255" +tm "TransitionPriority" +) +padding "100,100" +) +) +*67 (Transition +uid 751,0 +shape (Spline +uid 752,0 +va (VaSet +vasetType 3 +) +xt "62000,44002,70000,44006" +pts [ +"62000,44006" +"70000,44002" +] +arrow 1 +) +start &32 +end &33 +es 0 +tb (TransitionBlock +uid 753,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 754,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "65500,43999,69900,45009" +) +autoResize 1 +lineShape (Line +uid 755,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "67700,45404,67700,45404" +pts [ +"67700,45404" +"67700,45404" +] +) +condition (MLText +uid 756,0 +va (VaSet +) +xt "66000,44004,69400,45004" +tm "Condition" +) +actions (MLText +uid 757,0 +va (VaSet +) +xt "67700,45404,67700,45404" +tm "Actions" +) +) +tp (TransitionPriority +uid 758,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 759,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "62019,43224,63581,44786" +radius 781 +) +pr (Text +uid 760,0 +va (VaSet +isHidden 1 +) +xt "62400,43505,63200,44505" +st "1" +ju 0 +blo "62800,44305" +tm "TransitionPriority" +) +padding "100,100" +) +) +*68 (Transition +uid 761,0 +shape (Spline +uid 762,0 +va (VaSet +vasetType 3 +) +xt "78000,43984,86000,43985" +pts [ +"78000,43984" +"86000,43985" +] +arrow 1 +) +start &33 +end &34 +cond "unsigned(timerDone(1 to refreshDelayPeriodNb)) = 0" +tb (TransitionBlock +uid 763,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 764,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "74350,41000,94950,43000" +) +autoResize 1 +lineShape (Line +uid 765,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "76550,42900,76550,42900" +pts [ +"76550,42900" +"76550,42900" +] +) +condition (MLText +uid 766,0 +va (VaSet +) +xt "74850,41500,105450,42500" +st "unsigned(timerDone(1 to refreshDelayPeriodNb)) = 0" +tm "Condition" +) +actions (MLText +uid 767,0 +va (VaSet +) +xt "84650,42900,84650,42900" +tm "Actions" +) +) +tp (TransitionPriority +uid 768,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 769,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "78019,43203,79581,44765" +radius 781 +) +pr (Text +uid 770,0 +va (VaSet +isHidden 1 +) +xt "78400,43484,79200,44484" +st "1" +ju 0 +blo "78800,44284" +tm "TransitionPriority" +) +padding "100,100" +) +) +*69 (Transition +uid 771,0 +shape (Spline +uid 772,0 +va (VaSet +vasetType 3 +) +xt "94000,44006,102000,44006" +pts [ +"94000,44006" +"102000,44006" +] +arrow 1 +) +start &34 +end &35 +tb (TransitionBlock +uid 773,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 774,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "97500,44001,101900,45011" +) +autoResize 1 +lineShape (Line +uid 775,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "99700,45406,99700,45406" +pts [ +"99700,45406" +"99700,45406" +] +) +condition (MLText +uid 776,0 +va (VaSet +) +xt "98000,44006,101400,45006" +tm "Condition" +) +actions (MLText +uid 777,0 +va (VaSet +) +xt "99700,45406,99700,45406" +tm "Actions" +) +) +tp (TransitionPriority +uid 778,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 779,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "94019,43225,95581,44787" +radius 781 +) +pr (Text +uid 780,0 +va (VaSet +isHidden 1 +) +xt "94400,43506,95200,44506" +st "1" +ju 0 +blo "94800,44306" +tm "TransitionPriority" +) +padding "100,100" +) +) +*70 (Transition +uid 781,0 +shape (Spline +uid 782,0 +va (VaSet +vasetType 3 +) +xt "30000,44004,38000,44014" +pts [ +"30000,44014" +"38000,44004" +] +arrow 1 +) +start &30 +end &31 +es 0 +tb (TransitionBlock +uid 783,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 784,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "33500,44004,37900,45014" +) +autoResize 1 +lineShape (Line +uid 785,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "35700,45409,35700,45409" +pts [ +"35700,45409" +"35700,45409" +] +) +condition (MLText +uid 786,0 +va (VaSet +) +xt "34000,44009,37400,45009" +tm "Condition" +) +actions (MLText +uid 787,0 +va (VaSet +) +xt "35700,45409,35700,45409" +tm "Actions" +) +) +tp (TransitionPriority +uid 788,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 789,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "30019,43232,31581,44794" +radius 781 +) +pr (Text +uid 790,0 +va (VaSet +isHidden 1 +) +xt "30400,43513,31200,44513" +st "1" +ju 0 +blo "30800,44313" +tm "TransitionPriority" +) +padding "100,100" +) +) +*71 (Transition +uid 805,0 +shape (Spline +uid 806,0 +va (VaSet +vasetType 3 +) +xt "11626,35973,112377,35976" +pts [ +"112377,35973" +"11626,35976" +] +arrow 1 +) +start &36 +end &28 +tb (TransitionBlock +uid 807,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 808,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "61501,35970,65901,36980" +) +autoResize 1 +lineShape (Line +uid 809,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "63701,37375,63701,37375" +pts [ +"63701,37375" +"63701,37375" +] +) +condition (MLText +uid 810,0 +va (VaSet +) +xt "62001,35975,65401,36975" +tm "Condition" +) +actions (MLText +uid 811,0 +va (VaSet +) +xt "63701,37375,63701,37375" +tm "Actions" +) +) +tp (TransitionPriority +uid 812,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 813,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "101521,35192,103083,36754" +radius 781 +) +pr (Text +uid 814,0 +va (VaSet +isHidden 1 +) +xt "101902,35473,102702,36473" +st "1" +ju 0 +blo "102302,36273" +tm "TransitionPriority" +) +padding "100,100" +) +) +*72 (Transition +uid 815,0 +shape (Spline +uid 816,0 +va (VaSet +vasetType 3 +) +xt "110000,43971,112376,43974" +pts [ +"110000,43971" +"112376,43974" +] +arrow 1 +) +start &35 +end &37 +cond "unsigned(timerDone(1 to refreshDelayPeriodNb-1)) = 0" +tb (TransitionBlock +uid 817,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 818,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "107350,41000,128650,43000" +) +autoResize 1 +lineShape (Line +uid 819,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "109550,42900,109550,42900" +pts [ +"109550,42900" +"109550,42900" +] +) +condition (MLText +uid 820,0 +va (VaSet +) +xt "107850,41500,139650,42500" +st "unsigned(timerDone(1 to refreshDelayPeriodNb-1)) = 0" +tm "Condition" +) +actions (MLText +uid 821,0 +va (VaSet +) +xt "118000,42900,118000,42900" +tm "Actions" +) +) +tp (TransitionPriority +uid 822,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 823,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "109456,43190,111018,44752" +radius 781 +) +pr (Text +uid 824,0 +va (VaSet +isHidden 1 +) +xt "109837,43471,110637,44471" +st "1" +ju 0 +blo "110237,44271" +tm "TransitionPriority" +) +padding "100,100" +) +) +*73 (Transition +uid 825,0 +shape (Spline +uid 826,0 +va (VaSet +vasetType 3 +) +xt "114015,37635,114025,42375" +pts [ +"114025,42375" +"114015,37635" +] +arrow 1 +) +start &37 +end &36 +tb (TransitionBlock +uid 827,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 828,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "113520,40000,117920,41010" +) +autoResize 1 +lineShape (Line +uid 829,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "115720,41405,115720,41405" +pts [ +"115720,41405" +"115720,41405" +] +) +condition (MLText +uid 830,0 +va (VaSet +) +xt "114020,40005,117420,41005" +tm "Condition" +) +actions (MLText +uid 831,0 +va (VaSet +) +xt "115720,41405,115720,41405" +tm "Actions" +) +) +tp (TransitionPriority +uid 832,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 833,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "113243,41120,114805,42682" +radius 781 +) +pr (Text +uid 834,0 +va (VaSet +isHidden 1 +) +xt "113624,41401,114424,42401" +st "1" +ju 0 +blo "114024,42201" +tm "TransitionPriority" +) +padding "100,100" +) +) +*74 (Transition +uid 938,0 +shape (Spline +uid 939,0 +va (VaSet +vasetType 3 +) +xt "62000,60002,70000,60006" +pts [ +"62000,60006" +"70000,60002" +] +arrow 1 +) +start &40 +end &41 +es 0 +tb (TransitionBlock +uid 940,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 941,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "65500,59999,69900,61009" +) +autoResize 1 +lineShape (Line +uid 942,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "67700,61404,67700,61404" +pts [ +"67700,61404" +"67700,61404" +] +) +condition (MLText +uid 943,0 +va (VaSet +) +xt "66000,60004,69400,61004" +tm "Condition" +) +actions (MLText +uid 944,0 +va (VaSet +) +xt "67700,61404,67700,61404" +tm "Actions" +) +) +tp (TransitionPriority +uid 945,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 946,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "62019,59224,63581,60786" +radius 781 +) +pr (Text +uid 947,0 +va (VaSet +isHidden 1 +) +xt "62400,59505,63200,60505" +st "1" +ju 0 +blo "62800,60305" +tm "TransitionPriority" +) +padding "100,100" +) +) +*75 (Transition +uid 948,0 +shape (Spline +uid 949,0 +va (VaSet +vasetType 3 +) +xt "46000,59955,54000,59955" +pts [ +"46000,59955" +"54000,59955" +] +arrow 1 +) +start &39 +end &40 +cond "unsigned(timerDone(1 to activeToWritePeriodNb)) = 0" +tb (TransitionBlock +uid 950,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 951,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "41950,57000,62850,59000" +) +autoResize 1 +lineShape (Line +uid 952,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "44150,58900,44150,58900" +pts [ +"44150,58900" +"44150,58900" +] +) +condition (MLText +uid 953,0 +va (VaSet +) +xt "42450,57500,73650,58500" +st "unsigned(timerDone(1 to activeToWritePeriodNb)) = 0" +tm "Condition" +) +actions (MLText +uid 954,0 +va (VaSet +) +xt "52400,58900,52400,58900" +tm "Actions" +) +) +tp (TransitionPriority +uid 955,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 956,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "46019,59174,47581,60736" +radius 781 +) +pr (Text +uid 957,0 +va (VaSet +isHidden 1 +) +xt "46400,59455,47200,60455" +st "1" +ju 0 +blo "46800,60255" +tm "TransitionPriority" +) +padding "100,100" +) +) +*76 (Transition +uid 958,0 +shape (Spline +uid 959,0 +va (VaSet +vasetType 3 +) +xt "30000,59975,38000,59992" +pts [ +"30000,59975" +"38000,59992" +] +arrow 1 +) +start &38 +end &39 +es 0 +tb (TransitionBlock +uid 960,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 961,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "33500,59979,37900,60989" +) +autoResize 1 +lineShape (Line +uid 962,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "35700,61384,35700,61384" +pts [ +"35700,61384" +"35700,61384" +] +) +condition (MLText +uid 963,0 +va (VaSet +) +xt "34000,59984,37400,60984" +tm "Condition" +) +actions (MLText +uid 964,0 +va (VaSet +) +xt "35700,61384,35700,61384" +tm "Actions" +) +) +tp (TransitionPriority +uid 965,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 966,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "30019,59195,31581,60757" +radius 781 +) +pr (Text +uid 967,0 +va (VaSet +isHidden 1 +) +xt "30400,59476,31200,60476" +st "1" +ju 0 +blo "30800,60276" +tm "TransitionPriority" +) +padding "100,100" +) +) +*77 (Transition +uid 968,0 +shape (Spline +uid 969,0 +va (VaSet +vasetType 3 +) +xt "11626,59973,22000,59976" +pts [ +"11626,59976" +"22000,59973" +] +arrow 1 +) +start &42 +end &38 +cond "(ramEn = '1') and (writeRequest = '1')" +tb (TransitionBlock +uid 970,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 971,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "12938,56847,28038,58847" +) +autoResize 1 +lineShape (Line +uid 972,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "15138,58747,15138,58747" +pts [ +"15138,58747" +"15138,58747" +] +) +condition (MLText +uid 973,0 +va (VaSet +) +xt "13438,57347,36838,58347" +st "(ramEn = '1') and (writeRequest = '1')" +tm "Condition" +) +actions (MLText +uid 974,0 +va (VaSet +) +xt "20488,58747,20488,58747" +tm "Actions" +) +) +tp (TransitionPriority +uid 975,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 976,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "11882,59194,13444,60756" +radius 781 +) +pr (Text +uid 977,0 +va (VaSet +) +xt "12263,59475,13063,60475" +st "1" +ju 0 +blo "12663,60275" +tm "TransitionPriority" +) +padding "100,100" +) +) +*78 (Transition +uid 985,0 +shape (Spline +uid 986,0 +va (VaSet +vasetType 3 +) +xt "10008,48000,10009,58358" +pts [ +"10009,48000" +"10008,58358" +] +arrow 1 +) +start &27 +end &42 +tb (TransitionBlock +uid 987,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 988,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "9508,53174,13908,54184" +) +autoResize 1 +lineShape (Line +uid 989,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "11708,54579,11708,54579" +pts [ +"11708,54579" +"11708,54579" +] +) +condition (MLText +uid 990,0 +va (VaSet +) +xt "10008,53179,13408,54179" +tm "Condition" +) +actions (MLText +uid 991,0 +va (VaSet +) +xt "11708,54579,11708,54579" +tm "Actions" +) +) +tp (TransitionPriority +uid 992,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 993,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "9227,48254,10789,49816" +radius 781 +) +pr (Text +uid 994,0 +va (VaSet +) +xt "9608,48535,10408,49535" +st "2" +ju 0 +blo "10008,49335" +tm "TransitionPriority" +) +padding "100,100" +) +) +*79 (Transition +uid 1006,0 +shape (Spline +uid 1007,0 +va (VaSet +vasetType 3 +) +xt "78000,60013,112363,60014" +pts [ +"78000,60014" +"112363,60013" +] +arrow 1 +) +start &41 +end &43 +cond "unsigned(timerDone(1 to writeToActivePeriodNb)) = 0" +tb (TransitionBlock +uid 1008,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1009,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "78250,57000,99050,59000" +) +autoResize 1 +lineShape (Line +uid 1010,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "80450,58900,80450,58900" +pts [ +"80450,58900" +"80450,58900" +] +) +condition (MLText +uid 1011,0 +va (VaSet +) +xt "78750,57500,109950,58500" +st "unsigned(timerDone(1 to writeToActivePeriodNb)) = 0" +tm "Condition" +) +actions (MLText +uid 1012,0 +va (VaSet +) +xt "88650,58900,88650,58900" +tm "Actions" +) +) +tp (TransitionPriority +uid 1013,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1014,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "80655,59232,82217,60794" +radius 781 +) +pr (Text +uid 1015,0 +va (VaSet +isHidden 1 +) +xt "81036,59513,81836,60513" +st "1" +ju 0 +blo "81436,60313" +tm "TransitionPriority" +) +padding "100,100" +) +) +*80 (Transition +uid 1016,0 +shape (Spline +uid 1017,0 +va (VaSet +vasetType 3 +) +xt "114010,45640,114015,58365" +pts [ +"114015,58365" +"114010,45640" +] +arrow 1 +) +start &43 +end &37 +tb (TransitionBlock +uid 1018,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1019,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "113512,51997,117912,53007" +) +autoResize 1 +lineShape (Line +uid 1020,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "115712,53402,115712,53402" +pts [ +"115712,53402" +"115712,53402" +] +) +condition (MLText +uid 1021,0 +va (VaSet +) +xt "114012,52002,117412,53002" +tm "Condition" +) +actions (MLText +uid 1022,0 +va (VaSet +) +xt "115712,53402,115712,53402" +tm "Actions" +) +) +tp (TransitionPriority +uid 1023,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1024,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "113233,56312,114795,57874" +radius 781 +) +pr (Text +uid 1025,0 +va (VaSet +isHidden 1 +) +xt "113614,56593,114414,57593" +st "1" +ju 0 +blo "114014,57393" +tm "TransitionPriority" +) +padding "100,100" +) +) +*81 (Transition +uid 1101,0 +shape (Spline +uid 1102,0 +va (VaSet +vasetType 3 +) +xt "62000,76002,70000,76006" +pts [ +"62000,76006" +"70000,76002" +] +arrow 1 +) +start &46 +end &47 +es 0 +tb (TransitionBlock +uid 1103,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1104,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "65500,75999,69900,77009" +) +autoResize 1 +lineShape (Line +uid 1105,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "67700,77404,67700,77404" +pts [ +"67700,77404" +"67700,77404" +] +) +condition (MLText +uid 1106,0 +va (VaSet +) +xt "66000,76004,69400,77004" +tm "Condition" +) +actions (MLText +uid 1107,0 +va (VaSet +) +xt "67700,77404,67700,77404" +tm "Actions" +) +) +tp (TransitionPriority +uid 1108,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1109,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "62019,75224,63581,76786" +radius 781 +) +pr (Text +uid 1110,0 +va (VaSet +isHidden 1 +) +xt "62400,75505,63200,76505" +st "1" +ju 0 +blo "62800,76305" +tm "TransitionPriority" +) +padding "100,100" +) +) +*82 (Transition +uid 1111,0 +shape (Spline +uid 1112,0 +va (VaSet +vasetType 3 +) +xt "46000,75955,54000,75955" +pts [ +"46000,75955" +"54000,75955" +] +arrow 1 +) +start &45 +end &46 +cond "unsigned(timerDone(1 to activeToReadPeriodNb)) = 0" +tb (TransitionBlock +uid 1113,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1114,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "41950,73000,62850,75000" +) +autoResize 1 +lineShape (Line +uid 1115,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "44150,74900,44150,74900" +pts [ +"44150,74900" +"44150,74900" +] +) +condition (MLText +uid 1116,0 +va (VaSet +) +xt "42450,73500,73050,74500" +st "unsigned(timerDone(1 to activeToReadPeriodNb)) = 0" +tm "Condition" +) +actions (MLText +uid 1117,0 +va (VaSet +) +xt "52400,74900,52400,74900" +tm "Actions" +) +) +tp (TransitionPriority +uid 1118,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1119,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "46019,75174,47581,76736" +radius 781 +) +pr (Text +uid 1120,0 +va (VaSet +isHidden 1 +) +xt "46400,75455,47200,76455" +st "1" +ju 0 +blo "46800,76255" +tm "TransitionPriority" +) +padding "100,100" +) +) +*83 (Transition +uid 1121,0 +shape (Spline +uid 1122,0 +va (VaSet +vasetType 3 +) +xt "30000,76004,38000,76014" +pts [ +"30000,76014" +"38000,76004" +] +arrow 1 +) +start &44 +end &45 +es 0 +tb (TransitionBlock +uid 1123,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1124,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "33500,76004,37900,77014" +) +autoResize 1 +lineShape (Line +uid 1125,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "35700,77409,35700,77409" +pts [ +"35700,77409" +"35700,77409" +] +) +condition (MLText +uid 1126,0 +va (VaSet +) +xt "34000,76009,37400,77009" +tm "Condition" +) +actions (MLText +uid 1127,0 +va (VaSet +) +xt "35700,77409,35700,77409" +tm "Actions" +) +) +tp (TransitionPriority +uid 1128,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1129,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "30019,75232,31581,76794" +radius 781 +) +pr (Text +uid 1130,0 +va (VaSet +isHidden 1 +) +xt "30400,75513,31200,76513" +st "1" +ju 0 +blo "30800,76313" +tm "TransitionPriority" +) +padding "100,100" +) +) +*84 (Transition +uid 1131,0 +shape (Spline +uid 1132,0 +va (VaSet +vasetType 3 +) +xt "78000,75970,86000,75973" +pts [ +"78000,75973" +"86000,75970" +] +arrow 1 +) +start &47 +end &50 +cond "unsigned(timerDone(1 to readToSamplePeriodNb)) = 0" +tb (TransitionBlock +uid 1133,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1134,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "73650,73000,94850,75000" +) +autoResize 1 +lineShape (Line +uid 1135,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "75850,74900,75850,74900" +pts [ +"75850,74900" +"75850,74900" +] +) +condition (MLText +uid 1136,0 +va (VaSet +) +xt "74150,73500,104750,74500" +st "unsigned(timerDone(1 to readToSamplePeriodNb)) = 0" +tm "Condition" +) +actions (MLText +uid 1137,0 +va (VaSet +) +xt "84250,74900,84250,74900" +tm "Actions" +) +) +tp (TransitionPriority +uid 1138,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1139,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "78019,75191,79581,76753" +radius 781 +) +pr (Text +uid 1140,0 +va (VaSet +isHidden 1 +) +xt "78400,75472,79200,76472" +st "1" +ju 0 +blo "78800,76272" +tm "TransitionPriority" +) +padding "100,100" +) +) +*85 (Transition +uid 1141,0 +shape (Spline +uid 1142,0 +va (VaSet +vasetType 3 +) +xt "114016,61634,114016,74366" +pts [ +"114016,74366" +"114016,61634" +] +arrow 1 +) +start &48 +end &43 +tb (TransitionBlock +uid 1143,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1144,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "113516,67995,117916,69005" +) +autoResize 1 +lineShape (Line +uid 1145,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "115716,69400,115716,69400" +pts [ +"115716,69400" +"115716,69400" +] +) +condition (MLText +uid 1146,0 +va (VaSet +) +xt "114016,68000,117416,69000" +tm "Condition" +) +actions (MLText +uid 1147,0 +va (VaSet +) +xt "115716,69400,115716,69400" +tm "Actions" +) +) +tp (TransitionPriority +uid 1148,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1149,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "113235,72312,114797,73874" +radius 781 +) +pr (Text +uid 1150,0 +va (VaSet +isHidden 1 +) +xt "113616,72593,114416,73593" +st "1" +ju 0 +blo "114016,73393" +tm "TransitionPriority" +) +padding "100,100" +) +) +*86 (Transition +uid 1158,0 +shape (Spline +uid 1159,0 +va (VaSet +vasetType 3 +) +xt "10015,61635,10015,74365" +pts [ +"10015,61635" +"10015,74365" +] +arrow 1 +) +start &42 +end &49 +tb (TransitionBlock +uid 1160,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1161,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "9515,67995,13915,69005" +) +autoResize 1 +lineShape (Line +uid 1162,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "11715,69400,11715,69400" +pts [ +"11715,69400" +"11715,69400" +] +) +condition (MLText +uid 1163,0 +va (VaSet +) +xt "10015,68000,13415,69000" +tm "Condition" +) +actions (MLText +uid 1164,0 +va (VaSet +) +xt "11715,69400,11715,69400" +tm "Actions" +) +) +tp (TransitionPriority +uid 1165,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1166,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "9234,62127,10796,63689" +radius 781 +) +pr (Text +uid 1167,0 +va (VaSet +) +xt "9615,62408,10415,63408" +st "2" +ju 0 +blo "10015,63208" +tm "TransitionPriority" +) +padding "100,100" +) +) +*87 (Transition +uid 1168,0 +shape (Spline +uid 1169,0 +va (VaSet +vasetType 3 +) +xt "11643,76006,22000,76007" +pts [ +"11643,76007" +"22000,76006" +] +arrow 1 +) +start &49 +end &44 +cond "(ramEn = '1') and (readRequest = '1')" +tb (TransitionBlock +uid 1170,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1171,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "12500,73000,27500,75000" +) +autoResize 1 +lineShape (Line +uid 1172,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "14700,74900,14700,74900" +pts [ +"14700,74900" +"14700,74900" +] +) +condition (MLText +uid 1173,0 +va (VaSet +) +xt "13000,73500,35800,74500" +st "(ramEn = '1') and (readRequest = '1')" +tm "Condition" +) +actions (MLText +uid 1174,0 +va (VaSet +) +xt "20000,74900,20000,74900" +tm "Actions" +) +) +tp (TransitionPriority +uid 1175,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1176,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "11897,75225,13459,76787" +radius 781 +) +pr (Text +uid 1177,0 +va (VaSet +isHidden 1 +) +xt "12278,75506,13078,76506" +st "1" +ju 0 +blo "12678,76306" +tm "TransitionPriority" +) +padding "100,100" +) +) +*88 (Transition +uid 1251,0 +shape (Spline +uid 1252,0 +va (VaSet +vasetType 3 +) +xt "94000,76002,102000,76006" +pts [ +"94000,76006" +"102000,76002" +] +arrow 1 +) +start &50 +end &51 +es 0 +tb (TransitionBlock +uid 1253,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1254,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "97500,75999,101900,77009" +) +autoResize 1 +lineShape (Line +uid 1255,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "99700,77404,99700,77404" +pts [ +"99700,77404" +"99700,77404" +] +) +condition (MLText +uid 1256,0 +va (VaSet +) +xt "98000,76004,101400,77004" +tm "Condition" +) +actions (MLText +uid 1257,0 +va (VaSet +) +xt "99700,77404,99700,77404" +tm "Actions" +) +) +tp (TransitionPriority +uid 1258,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1259,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "94019,75224,95581,76786" +radius 781 +) +pr (Text +uid 1260,0 +va (VaSet +isHidden 1 +) +xt "94400,75505,95200,76505" +st "1" +ju 0 +blo "94800,76305" +tm "TransitionPriority" +) +padding "100,100" +) +) +*89 (Transition +uid 1261,0 +shape (Spline +uid 1262,0 +va (VaSet +vasetType 3 +) +xt "110000,76014,112364,76015" +pts [ +"110000,76015" +"112364,76014" +] +arrow 1 +) +start &51 +end &48 +cond "unsigned(timerDone(1 to readToActivePeriodNb)) = 0" +tb (TransitionBlock +uid 1263,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1264,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "109650,73000,130350,75000" +) +autoResize 1 +lineShape (Line +uid 1265,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "111850,74900,111850,74900" +pts [ +"111850,74900" +"111850,74900" +] +) +condition (MLText +uid 1266,0 +va (VaSet +) +xt "110150,73500,140750,74500" +st "unsigned(timerDone(1 to readToActivePeriodNb)) = 0" +tm "Condition" +) +actions (MLText +uid 1267,0 +va (VaSet +) +xt "120000,74900,120000,74900" +tm "Actions" +) +) +tp (TransitionPriority +uid 1268,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1269,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "109455,75233,111017,76795" +radius 781 +) +pr (Text +uid 1270,0 +va (VaSet +isHidden 1 +) +xt "109836,75514,110636,76514" +st "1" +ju 0 +blo "110236,76314" +tm "TransitionPriority" +) +padding "100,100" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *90 (PackageList +uid 27,0 +stg "VerticalLayoutStrategy" +textVec [ +*91 (Text +uid 28,0 +va (VaSet +font "courier,8,1" +) +xt "0,-2000,5400,-1000" +st "Package List" +blo "0,-1200" +) +*92 (MLText +uid 29,0 +va (VaSet +) +xt "0,-1000,18600,2000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "SmPackageListTextMgr" +) +] +) +compDirBlock (MlTextGroup +uid 30,0 +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +uid 31,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*94 (Text +uid 32,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*95 (MLText +uid 33,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32000,4000" +st "`resetall +`timescale 1ns/10ps" +tm "SmCompilerDirectivesTextMgr" +) +*96 (Text +uid 34,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*97 (MLText +uid 35,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "SmCompilerDirectivesTextMgr" +) +*98 (Text +uid 36,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*99 (MLText +uid 37,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "SmCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,24,1681,1050" +viewArea "11603,-6620,90646,42285" +cachedDiagramExtent "0,-2000,148300,97000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +windowsPaperType 9 +scale 50 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,-2000" +isTopLevel 1 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +localPreDecl *100 (SmLocalDecl +uid 1648,0 +stg "VerticalLayoutStrategy" +first (Text +uid 1649,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,0,13000,900" +st "Architecture Declarations" +blo "0,700" +) +second (MLText +uid 1650,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,900,0,900" +tm "LocalDeclTextMgr" +) +declType 1 +) +localDecl *101 (SmLocalDecl +uid 3,0 +stg "VerticalLayoutStrategy" +first (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "40000,-1400,53000,-500" +st "Architecture Declarations" +blo "40000,-700" +) +second (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "40000,-400,79500,18500" +st "-- 20120621 -- zas -- added to generics +--constant prechargeToRefreshPeriodNb: positive := 2; -- 66MHz * 20 ns = 1.32 +--constant refreshDelayPeriodNb: positive := 5; -- 66MHz * 66ns = 4.356 +--constant loadModeToActivePeriodNb: positive := 1; -- 1 CK +--constant activeToWritePeriodNb: positive := 2; -- 66MHz * 20ns = 1.32 +--constant writeToActivePeriodNb: positive := 3; -- 1 CK + 66MHz * 20ns = 2.32 +--constant activeToReadPeriodNb: positive := 2; -- 66MHz * 20ns = 1.32 +--constant readToSamplePeriodNb: positive := 2; -- 2 CK with latency = 2 +--constant readToActivePeriodNb: positive := 3; -- 1 CK + 66MHz * 20ns = 2.32 + +subtype commandBusType is std_ulogic_vector(commandBusBitNb-1 downto 0); +-- bits: 5 = cs, 4 = ras, 3 = cas, 2 = we, 1 = dqm(1), 0 = dqm(0) +constant inhibit : commandBusType := \"1-----\"; +constant nop : commandBusType := \"0111--\"; +constant active : commandBusType := \"0011--\"; +constant read : commandBusType := \"010100\"; +constant write : commandBusType := \"010000\"; +constant burstTerminate : commandBusType := \"0110--\"; +constant precharge : commandBusType := \"0010--\"; +constant autoRefresh : commandBusType := \"0001--\"; +constant loadModeReg : commandBusType := \"0000--\";" +tm "LocalDeclTextMgr" +) +declType 2 +) +localPostDecl *102 (SmLocalDecl +uid 1651,0 +stg "VerticalLayoutStrategy" +first (Text +uid 1652,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,0,13000,900" +st "Architecture Declarations" +blo "0,700" +) +second (MLText +uid 1653,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,900,0,900" +tm "LocalDeclTextMgr" +) +declType 3 +) +processDecl *103 (SmProcessDecl +uid 6,0 +stg "VerticalLayoutStrategy" +textVec [ +*104 (Text +uid 7,0 +va (VaSet +font "courier,8,1" +) +xt "126000,-1000,134900,0" +st "Process Declarations" +blo "126000,-200" +) +*105 (Text +uid 8,0 +va (VaSet +font "courier,8,1" +) +xt "126000,0,133200,1000" +st "Clocked Process:" +blo "126000,800" +) +*106 (MLText +uid 9,0 +va (VaSet +font "courier,8,0" +) +xt "126000,-1000,126000,-1000" +tm "ProcessDeclTextMgr" +) +*107 (Text +uid 10,0 +va (VaSet +font "courier,8,1" +) +xt "126000,1000,132900,2000" +st "Output Process:" +blo "126000,1800" +) +*108 (MLText +uid 11,0 +va (VaSet +font "courier,8,0" +) +xt "126000,2000,126000,2000" +tm "ProcessDeclTextMgr" +) +] +associable 1 +) +defaultActions *109 (MlTextGroup +uid 12,0 +stg "VerticalLayoutStrategy" +textVec [ +*110 (Text +uid 13,0 +va (VaSet +font "courier,8,1" +) +xt "18000,-1000,24200,0" +st "Global Actions" +blo "18000,-200" +) +*111 (Text +uid 14,0 +va (VaSet +font "courier,8,1" +) +xt "18000,0,23300,1000" +st "Pre Actions:" +blo "18000,800" +) +*112 (MLText +uid 15,0 +va (VaSet +) +xt "18000,-1000,18000,-1000" +tm "Actions" +) +*113 (Text +uid 16,0 +va (VaSet +font "courier,8,1" +) +xt "18000,1000,23800,2000" +st "Post Actions:" +blo "18000,1800" +) +*114 (MLText +uid 17,0 +va (VaSet +) +xt "18000,2000,23600,3000" +tm "Actions" +) +] +associable 1 +) +archConcurrentStatementBlock *115 (BiTextGroup +uid 18,0 +stg "VerticalLayoutStrategy" +first (Text +uid 19,0 +va (VaSet +font "courier,8,1" +) +xt "27200,-1000,37100,0" +st "Concurrent Statements" +blo "27200,-200" +) +second (MLText +uid 20,0 +va (VaSet +) +xt "27200,0,52500,19000" +tm "ArchConcStmtTextMgr" +) +associable 1 +) +signalsGenStatus *116 (SmSignalGenStatus +uid 24,0 +stg "VerticalLayoutStrategy" +first (Text +uid 25,0 +va (VaSet +font "courier,8,1" +) +xt "85000,-1000,90700,0" +st "Signal Status" +blo "85000,-200" +) +second (MLText +uid 26,0 +va (VaSet +font "courier,8,0" +) +xt "85000,0,110200,10800" +st "SIGNAL MODE DEFAULT RESET SCHEME +powerUpDone OUT '1' COMB +commandBus OUT nop COMB +timerStart OUT '0' COMB +addrSelPrecharge OUT '0' COMB +addrSelModeReg OUT '0' COMB +writeAck OUT '0' COMB +addrSelCol OUT '0' COMB +addrSelRow OUT '0' COMB +readAck OUT '0' COMB +ramDataValid OUT '0' COMB +sampleData OUT '0' COMB +" +tm "SmSignalsGenStatusTextMgr" +) +) +stateRegBlock *117 (BiTextGroup +uid 21,0 +stg "VerticalLayoutStrategy" +first (Text +uid 22,0 +va (VaSet +font "courier,8,1" +) +xt "113000,-1000,123800,0" +st "State Register Statements" +blo "113000,-200" +) +second (MLText +uid 23,0 +va (VaSet +) +xt "113000,0,113000,0" +tm "Actions" +) +associable 1 +) +) +genChar (SmGenChar +uid 38,0 +nextStateClocking 0 +) +encoding (Encoding +scheme 3 +encodingStyles [ +(pair +scheme 0 +style 0 +) +(pair +scheme 1 +style 1 +) +(pair +scheme 2 +style 0 +) +(pair +scheme 3 +style 0 +) +(pair +scheme 4 +style 0 +) +(pair +scheme 5 +style 0 +) +] +otherValues [ +(pair +scheme 0 +otherValue "" +) +(pair +scheme 1 +otherValue "" +) +(pair +scheme 2 +otherValue "" +) +(pair +scheme 3 +otherValue "" +) +(pair +scheme 4 +otherValue "" +) +(pair +scheme 5 +otherValue "" +) +] +attribute 0 +synSafe 0 +outputEncodedLocals 0 +useVerilogParameterRange 0 +radix 0 +) +stateOrder [ +&2 +&19 +&20 +&21 +&22 +&23 +&24 +&25 +&26 +&27 +&30 +&31 +&32 +&33 +&34 +&35 +&38 +&39 +&40 +&41 +&44 +&45 +&46 +&47 +&50 +&51 +] +name "csm" +) +] +lastUid 1653,0 +commonDM (CommonDM +ldm (LogicalDM +emptyRow *118 (LEmptyRow +) +uid 158,0 +optionalChildren [ +*119 (RefLabelRowHdr +) +*120 (TitleRowHdr +) +*121 (FilterRowHdr +) +*122 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*123 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*124 (GroupColHdr +tm "GroupColHdrMgr" +) +*125 (NameColHdr +tm "SmNameColHdrMgr" +) +*126 (ModeColHdr +tm "SmModeColHdrMgr" +) +*127 (TypeColHdr +tm "SmTypeColHdrMgr" +) +*128 (BoundsColHdr +tm "SmBoundsColHdrMgr" +) +*129 (InitColHdr +tm "SmInitColHdrMgr" +) +*130 (ColumnHdr +tm "SmCategoryColHdrMgr" +) +*131 (ColumnHdr +tm "SmAssignColHdrMgr" +) +*132 (ColumnHdr +tm "SmExprColHdrMgr" +) +*133 (ColumnHdr +tm "SmSchemeColHdrMgr" +) +*134 (ColumnHdr +tm "SmDefValColHdrMgr" +) +*135 (ColumnHdr +tm "SmRstValColHdrMgr" +) +*136 (EolColHdr +tm "SmEolColHdrMgr" +) +*137 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +) +) +uid 152,0 +cat 3 +expr "rising_edge(clock)" +) +*138 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +) +) +uid 154,0 +cat 9 +expr "reset = '1'" +) +*139 (LeafLogPort +port (LogicalPort +decl (Decl +n "endOfRefreshCount" +t "std_ulogic" +o 2 +) +) +uid 226,0 +ass "" +) +*140 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "powerUpDone" +t "std_ulogic" +o 13 +) +) +uid 228,0 +scheme 0 +defVal "'1'" +) +*141 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "commandBus" +t "std_ulogic_vector" +b "( commandBusBitNb-1 DOWNTO 0 )" +o 12 +) +) +uid 323,0 +scheme 0 +defVal "nop" +) +*142 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "timerStart" +t "std_ulogic" +o 17 +) +) +uid 331,0 +scheme 0 +defVal "'0'" +) +*143 (LeafLogPort +port (LogicalPort +decl (Decl +n "timerDone" +t "std_ulogic_vector" +b "( 1 TO maxDelayPeriodNb )" +o 6 +) +) +uid 333,0 +ass "" +) +*144 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "addrSelPrecharge" +t "std_ulogic" +o 10 +) +) +uid 472,0 +scheme 0 +defVal "'0'" +) +*145 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "addrSelModeReg" +t "std_ulogic" +o 9 +) +) +uid 530,0 +scheme 0 +defVal "'0'" +) +*146 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "writeAck" +t "std_ulogic" +o 18 +) +) +uid 867,0 +scheme 0 +defVal "'0'" +) +*147 (LeafLogPort +port (LogicalPort +decl (Decl +n "writeRequest" +t "std_ulogic" +o 7 +) +) +uid 869,0 +ass "" +) +*148 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "addrSelCol" +t "std_ulogic" +o 8 +) +) +uid 996,0 +scheme 0 +defVal "'0'" +) +*149 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "addrSelRow" +t "std_ulogic" +o 11 +) +) +uid 998,0 +scheme 0 +defVal "'0'" +) +*150 (LeafLogPort +port (LogicalPort +decl (Decl +n "ramEn" +t "std_ulogic" +o 3 +) +) +uid 1179,0 +ass "" +) +*151 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "readAck" +t "std_ulogic" +o 15 +) +) +uid 1181,0 +scheme 0 +defVal "'0'" +) +*152 (LeafLogPort +port (LogicalPort +decl (Decl +n "readRequest" +t "std_ulogic" +o 4 +) +) +uid 1183,0 +) +*153 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 14 +) +) +uid 1185,0 +scheme 0 +defVal "'0'" +) +*154 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "sampleData" +t "std_ulogic" +o 16 +) +) +uid 1187,0 +scheme 0 +defVal "'0'" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 177,0 +optionalChildren [ +*155 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *156 (MRCItem +litem &118 +pos 18 +dimension 20 +) +uid 179,0 +optionalChildren [ +*157 (MRCItem +litem &119 +pos 0 +dimension 20 +uid 180,0 +) +*158 (MRCItem +litem &120 +pos 1 +dimension 23 +uid 181,0 +) +*159 (MRCItem +litem &121 +pos 2 +hidden 1 +dimension 20 +uid 182,0 +) +*160 (MRCItem +litem &137 +pos 4 +dimension 20 +uid 153,0 +) +*161 (MRCItem +litem &138 +pos 12 +dimension 20 +uid 155,0 +) +*162 (MRCItem +litem &139 +pos 6 +dimension 20 +uid 225,0 +) +*163 (MRCItem +litem &140 +pos 7 +dimension 20 +uid 227,0 +) +*164 (MRCItem +litem &141 +pos 5 +dimension 20 +uid 322,0 +) +*165 (MRCItem +litem &142 +pos 15 +dimension 20 +uid 330,0 +) +*166 (MRCItem +litem &143 +pos 14 +dimension 20 +uid 332,0 +) +*167 (MRCItem +litem &144 +pos 2 +dimension 20 +uid 471,0 +) +*168 (MRCItem +litem &145 +pos 1 +dimension 20 +uid 529,0 +) +*169 (MRCItem +litem &146 +pos 16 +dimension 20 +uid 866,0 +) +*170 (MRCItem +litem &147 +pos 17 +dimension 20 +uid 868,0 +) +*171 (MRCItem +litem &148 +pos 0 +dimension 20 +uid 995,0 +) +*172 (MRCItem +litem &149 +pos 3 +dimension 20 +uid 997,0 +) +*173 (MRCItem +litem &150 +pos 9 +dimension 20 +uid 1178,0 +) +*174 (MRCItem +litem &151 +pos 10 +dimension 20 +uid 1180,0 +) +*175 (MRCItem +litem &152 +pos 11 +dimension 20 +uid 1182,0 +) +*176 (MRCItem +litem &153 +pos 8 +dimension 20 +uid 1184,0 +) +*177 (MRCItem +litem &154 +pos 13 +dimension 20 +uid 1186,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 183,0 +optionalChildren [ +*178 (MRCItem +litem &122 +pos 0 +dimension 20 +uid 184,0 +) +*179 (MRCItem +litem &124 +pos 1 +dimension 50 +uid 185,0 +) +*180 (MRCItem +litem &125 +pos 2 +dimension 156 +uid 186,0 +) +*181 (MRCItem +litem &126 +pos 3 +dimension 50 +uid 187,0 +) +*182 (MRCItem +litem &127 +pos 4 +dimension 80 +uid 188,0 +) +*183 (MRCItem +litem &128 +pos 5 +dimension 191 +uid 189,0 +) +*184 (MRCItem +litem &129 +pos 6 +dimension 40 +uid 190,0 +) +*185 (MRCItem +litem &130 +pos 7 +dimension 100 +uid 191,0 +) +*186 (MRCItem +litem &131 +pos 8 +dimension 60 +uid 192,0 +) +*187 (MRCItem +litem &132 +pos 9 +dimension 130 +uid 193,0 +) +*188 (MRCItem +litem &133 +pos 10 +dimension 56 +uid 194,0 +) +*189 (MRCItem +litem &134 +pos 11 +dimension 50 +uid 195,0 +) +*190 (MRCItem +litem &135 +pos 12 +dimension 50 +uid 196,0 +) +*191 (MRCItem +litem &136 +pos 13 +dimension 80 +uid 197,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 178,0 +vaOverrides [ +] +) +] +) +uid 157,0 +) +cdmCsm &1 +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *192 (LEmptyRow +) +uid 199,0 +optionalChildren [ +*193 (RefLabelRowHdr +) +*194 (TitleRowHdr +) +*195 (FilterRowHdr +) +*196 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*197 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*198 (GroupColHdr +tm "GroupColHdrMgr" +) +*199 (NameColHdr +tm "GenericNameColHdrMgr" +) +*200 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*201 (InitColHdr +tm "GenericValueColHdrMgr" +) +*202 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*203 (EolColHdr +tm "GenericEolColHdrMgr" +) +*204 (LogGeneric +generic (GiElement +name "commandBusBitNb" +type "positive" +value "6" +) +uid 1301,0 +) +*205 (LogGeneric +generic (GiElement +name "maxDelayPeriodNb" +type "positive" +value "10" +) +uid 1361,0 +) +*206 (LogGeneric +generic (GiElement +name "prechargeToRefreshPeriodNb" +type "positive" +value "2" +e "66MHz * 20 ns = 1.32" +) +uid 1507,0 +) +*207 (LogGeneric +generic (GiElement +name "refreshDelayPeriodNb" +type "positive" +value "5" +e "66MHz * 66ns = 4.356" +) +uid 1547,0 +) +*208 (LogGeneric +generic (GiElement +name "loadModeToActivePeriodNb" +type "positive" +value "1" +e "1 CK" +) +uid 1549,0 +) +*209 (LogGeneric +generic (GiElement +name "activeToWritePeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +uid 1551,0 +) +*210 (LogGeneric +generic (GiElement +name "writeToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +uid 1553,0 +) +*211 (LogGeneric +generic (GiElement +name "activeToReadPeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +uid 1555,0 +) +*212 (LogGeneric +generic (GiElement +name "readToSamplePeriodNb" +type "positive" +value "2" +e "2 CK with latency = 2" +) +uid 1557,0 +) +*213 (LogGeneric +generic (GiElement +name "readToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +uid 1559,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 211,0 +optionalChildren [ +*214 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *215 (MRCItem +litem &192 +pos 10 +dimension 20 +) +uid 213,0 +optionalChildren [ +*216 (MRCItem +litem &193 +pos 0 +dimension 20 +uid 214,0 +) +*217 (MRCItem +litem &194 +pos 1 +dimension 23 +uid 215,0 +) +*218 (MRCItem +litem &195 +pos 2 +hidden 1 +dimension 20 +uid 216,0 +) +*219 (MRCItem +litem &204 +pos 0 +dimension 20 +uid 1300,0 +) +*220 (MRCItem +litem &205 +pos 1 +dimension 20 +uid 1360,0 +) +*221 (MRCItem +litem &206 +pos 2 +dimension 20 +uid 1508,0 +) +*222 (MRCItem +litem &207 +pos 3 +dimension 20 +uid 1548,0 +) +*223 (MRCItem +litem &208 +pos 4 +dimension 20 +uid 1550,0 +) +*224 (MRCItem +litem &209 +pos 5 +dimension 20 +uid 1552,0 +) +*225 (MRCItem +litem &210 +pos 6 +dimension 20 +uid 1554,0 +) +*226 (MRCItem +litem &211 +pos 7 +dimension 20 +uid 1556,0 +) +*227 (MRCItem +litem &212 +pos 8 +dimension 20 +uid 1558,0 +) +*228 (MRCItem +litem &213 +pos 9 +dimension 20 +uid 1560,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 217,0 +optionalChildren [ +*229 (MRCItem +litem &196 +pos 0 +dimension 20 +uid 218,0 +) +*230 (MRCItem +litem &198 +pos 1 +dimension 50 +uid 219,0 +) +*231 (MRCItem +litem &199 +pos 2 +dimension 311 +uid 220,0 +) +*232 (MRCItem +litem &200 +pos 3 +dimension 183 +uid 221,0 +) +*233 (MRCItem +litem &201 +pos 4 +dimension 54 +uid 222,0 +) +*234 (MRCItem +litem &202 +pos 5 +dimension 50 +uid 223,0 +) +*235 (MRCItem +litem &203 +pos 6 +dimension 349 +uid 224,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 212,0 +vaOverrides [ +] +) +] +) +uid 198,0 +type 1 +) +signalSuffix "_int" +clockSuffix "_cld" +defaultState (State +shape (Circle +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "courier,10,1" +) +xt "0,0,1800,1200" +st "s0" +ju 0 +blo "900,1000" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "1000,900,4600,2100" +st "wait 2" +blo "1000,1900" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "courier,8,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3900,3100,4100,3300" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +entryActions (MLText +va (VaSet +) +tm "Actions" +) +inActions (MLText +va (VaSet +) +tm "Actions" +) +exitActions (MLText +va (VaSet +) +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-1600,1000,3900,1900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultWaitState (State +shape (CircleInOctagon +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +xt "-529,-529,6529,6529" +) +name (Text +va (VaSet +font "courier,10,1" +) +xt "0,0,1800,1200" +st "s0" +ju 0 +blo "900,1000" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "1000,900,4600,2100" +st "wait 2" +blo "1000,1900" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "courier,8,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8900,6100,9100,6300" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +entryActions (MLText +va (VaSet +isHidden 1 +) +xt "5000,3000,5000,3000" +tm "Actions" +) +inActions (MLText +va (VaSet +isHidden 1 +) +xt "5000,3000,5000,3000" +tm "Actions" +) +exitActions (MLText +va (VaSet +isHidden 1 +) +xt "5000,3000,5000,3000" +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-1600,1000,3900,1900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +isWait 1 +) +defaultCompositeState (CompositeState +shape (TripleCircle +va (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "courier,10,1" +) +xt "-900,-600,900,600" +st "s0" +ju 0 +blo "0,400" +tm "ONodeName" +) +childDiagram &0 +) +defaultJunction (Junction +shape (Diamond +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "-1150,-1150,2150,2150" +) +symbol (Text +va (VaSet +font "courier,10,1" +) +xt "-150,-100,1150,1100" +st "&" +ju 0 +blo "500,900" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "2000,1000,2000,1000" +blo "2000,1000" +tm "JunctionName" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-1850,2000,3650,2900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultEntryPoint (EntryPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +] +) +) +defaultInterruptPoint (InterruptPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +(CustomPolygon +pts [ +"-625,1600" +"-625,1300" +"25,1425" +"-75,1150" +"1025,1350" +"200,1350" +"375,1600" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "-625,1150,1025,1600" +) +] +) +) +defaultLink (Link +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "2375,875,4375,1875" +st "Link" +blo "2375,1675" +tm "LinkName" +) +) +) +defaultExitPoint (ExitPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +) +defaultTransition (Transition +shape (Spline +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +arrow 1 +) +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-500,-500,3900,1500" +) +autoResize 1 +lineShape (Line +va (VaSet +vasetType 3 +isHidden 1 +) +xt "1700,1400,1700,1400" +pts [ +"1700,1400" +"1700,1400" +] +) +condition (MLText +va (VaSet +) +xt "0,0,5400,1000" +st "condition" +tm "Condition" +) +actions (MLText +va (VaSet +) +xt "1700,1800,1700,1800" +tm "Actions" +) +) +tp (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-781,-781,781,781" +radius 781 +) +pr (Text +va (VaSet +) +xt "-400,-500,400,500" +st "1" +ju 0 +blo "0,300" +tm "TransitionPriority" +) +padding "100,100" +) +) +defaultClk (SmClockPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"275,1425" +"574,1425" +"574,825" +"874,825" +] +) +(Arc2D +pts [ +"-116,1278" +"-371,972" +"-116,972" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-441,926,-116,1323" +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,0" +) +xt "-2425,625,-1125,1625" +st "clk" +ju 2 +blo "-1125,1425" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,525,8225,1725" +) +autoResize 1 +cond (MLText +va (VaSet +font "courier,8,0" +) +xt "1725,625,10225,1525" +st "rising_edge(clk)" +tm "SmControlConditionMgr" +) +) +edge 4 +) +defaultEnable (SmEnablePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Arc2D +pts [ +"-130,1263" +"-415,1064" +"-76,1064" +] +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-425,943,-76,1304" +) +(Line +sl 0 +ro 270 +xt "-415,1064,-106,1064" +pts [ +"-415,1064" +"-106,1064" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,0" +) +xt "-3725,625,-1125,1625" +st "enable" +ju 2 +blo "-1125,1425" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,525,3825,1725" +) +autoResize 1 +cond (MLText +va (VaSet +font "courier,8,0" +) +xt "1725,625,3725,1525" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultRst (SmResetPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,825" +"574,825" +"574,1425" +"275,1425" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-276,1000" +pts [ +"-376,1000" +"-276,950" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-376,1300" +pts [ +"-376,1300" +"-376,950" +] +) +(Circle +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "424,975,724,1275" +radius 150 +) +] +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-1125,-975,2075,225" +) +autoResize 1 +cond (MLText +va (VaSet +font "courier,8,0" +) +xt "-1025,-875,3675,25" +st "rst = '1'" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "1625,344,3187,1906" +radius 781 +) +pr (Text +va (VaSet +) +xt "2006,625,2806,1625" +st "1" +ju 0 +blo "2406,1425" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,0" +) +xt "-1925,625,-625,1625" +st "rst" +ju 2 +blo "-625,1425" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "4750,2625,11750,3525" +st "< Automatic >" +tm "Actions" +) +) +level 1 +) +defaultRecStatePt (SmRecoveryStatePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +sl 0 +xt "-900,-900,900,900" +radius 900 +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"-426,426" +"426,-426" +] +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"426,426" +"-426,-426" +] +) +] +) +) +activeModelName "StateMachine" +LanguageMgr "Vhdl2008LangMgr" +) diff --git a/Libs/Memory/hds/sdram@controller@fsm/symbol.sb b/Libs/Memory/hds/sdram@controller@fsm/symbol.sb new file mode 100644 index 0000000..c6ef56d --- /dev/null +++ b/Libs/Memory/hds/sdram@controller@fsm/symbol.sb @@ -0,0 +1,2624 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 166,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 67,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "addrSelCol" +t "std_ulogic" +o 8 +suid 149,0 +) +) +uid 2660,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "addrSelModeReg" +t "std_ulogic" +o 9 +suid 150,0 +) +) +uid 2662,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "addrSelPrecharge" +t "std_ulogic" +o 10 +suid 151,0 +) +) +uid 2664,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "addrSelRow" +t "std_ulogic" +o 11 +suid 152,0 +) +) +uid 2666,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 153,0 +) +) +uid 2668,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "commandBus" +t "std_ulogic_vector" +b "( commandBusBitNb-1 DOWNTO 0 )" +o 12 +suid 154,0 +) +) +uid 2670,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "endOfRefreshCount" +t "std_ulogic" +o 2 +suid 155,0 +) +) +uid 2672,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "powerUpDone" +t "std_ulogic" +o 13 +suid 156,0 +) +) +uid 2674,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 14 +suid 157,0 +) +) +uid 2676,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "ramEn" +t "std_ulogic" +o 3 +suid 158,0 +) +) +uid 2678,0 +) +*24 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "readAck" +t "std_ulogic" +o 15 +suid 159,0 +) +) +uid 2680,0 +) +*25 (LogPort +port (LogicalPort +decl (Decl +n "readRequest" +t "std_ulogic" +o 4 +suid 160,0 +) +) +uid 2682,0 +) +*26 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 161,0 +) +) +uid 2684,0 +) +*27 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sampleData" +t "std_ulogic" +o 16 +suid 162,0 +) +) +uid 2686,0 +) +*28 (LogPort +port (LogicalPort +decl (Decl +n "timerDone" +t "std_ulogic_vector" +b "( 1 TO maxDelayPeriodNb )" +o 6 +suid 163,0 +) +) +uid 2688,0 +) +*29 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "timerStart" +t "std_ulogic" +o 17 +suid 164,0 +) +) +uid 2690,0 +) +*30 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "writeAck" +t "std_ulogic" +o 18 +suid 165,0 +) +) +uid 2692,0 +) +*31 (LogPort +port (LogicalPort +decl (Decl +n "writeRequest" +t "std_ulogic" +o 7 +suid 166,0 +) +) +uid 2694,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 80,0 +optionalChildren [ +*32 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *33 (MRCItem +litem &1 +pos 18 +dimension 20 +) +uid 82,0 +optionalChildren [ +*34 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 83,0 +) +*35 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 84,0 +) +*36 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 85,0 +) +*37 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 2661,0 +) +*38 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 2663,0 +) +*39 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 2665,0 +) +*40 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 2667,0 +) +*41 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 2669,0 +) +*42 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 2671,0 +) +*43 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 2673,0 +) +*44 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 2675,0 +) +*45 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 2677,0 +) +*46 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 2679,0 +) +*47 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 2681,0 +) +*48 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 2683,0 +) +*49 (MRCItem +litem &26 +pos 12 +dimension 20 +uid 2685,0 +) +*50 (MRCItem +litem &27 +pos 13 +dimension 20 +uid 2687,0 +) +*51 (MRCItem +litem &28 +pos 14 +dimension 20 +uid 2689,0 +) +*52 (MRCItem +litem &29 +pos 15 +dimension 20 +uid 2691,0 +) +*53 (MRCItem +litem &30 +pos 16 +dimension 20 +uid 2693,0 +) +*54 (MRCItem +litem &31 +pos 17 +dimension 20 +uid 2695,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 86,0 +optionalChildren [ +*55 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 87,0 +) +*56 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 88,0 +) +*57 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 89,0 +) +*58 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 90,0 +) +*59 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 91,0 +) +*60 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 92,0 +) +*61 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 93,0 +) +*62 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 94,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 66,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *63 (LEmptyRow +) +uid 96,0 +optionalChildren [ +*64 (RefLabelRowHdr +) +*65 (TitleRowHdr +) +*66 (FilterRowHdr +) +*67 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*68 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*69 (GroupColHdr +tm "GroupColHdrMgr" +) +*70 (NameColHdr +tm "GenericNameColHdrMgr" +) +*71 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*72 (InitColHdr +tm "GenericValueColHdrMgr" +) +*73 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*74 (EolColHdr +tm "GenericEolColHdrMgr" +) +*75 (LogGeneric +generic (GiElement +name "commandBusBitNb" +type "positive" +value "6" +) +uid 2788,0 +) +*76 (LogGeneric +generic (GiElement +name "maxDelayPeriodNb" +type "positive" +value "10" +) +uid 2836,0 +) +*77 (LogGeneric +generic (GiElement +name "prechargeToRefreshPeriodNb" +type "positive" +value "2" +e "66MHz * 20 ns = 1.32" +) +uid 2931,0 +) +*78 (LogGeneric +generic (GiElement +name "refreshDelayPeriodNb" +type "positive" +value "5" +e "66MHz * 66ns = 4.356" +) +uid 2933,0 +) +*79 (LogGeneric +generic (GiElement +name "loadModeToActivePeriodNb" +type "positive" +value "1" +e "1 CK" +) +uid 2935,0 +) +*80 (LogGeneric +generic (GiElement +name "activeToWritePeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +uid 2937,0 +) +*81 (LogGeneric +generic (GiElement +name "writeToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +uid 2939,0 +) +*82 (LogGeneric +generic (GiElement +name "activeToReadPeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +uid 2941,0 +) +*83 (LogGeneric +generic (GiElement +name "readToSamplePeriodNb" +type "positive" +value "2" +e "2 CK with latency = 2" +) +uid 2943,0 +) +*84 (LogGeneric +generic (GiElement +name "readToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +uid 2945,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*85 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *86 (MRCItem +litem &63 +pos 2 +dimension 20 +) +uid 110,0 +optionalChildren [ +*87 (MRCItem +litem &64 +pos 0 +dimension 20 +uid 111,0 +) +*88 (MRCItem +litem &65 +pos 1 +dimension 23 +uid 112,0 +) +*89 (MRCItem +litem &66 +pos 2 +hidden 1 +dimension 20 +uid 113,0 +) +*90 (MRCItem +litem &75 +pos 0 +dimension 20 +uid 2789,0 +) +*91 (MRCItem +litem &76 +pos 1 +dimension 20 +uid 2837,0 +) +*92 (MRCItem +litem &77 +pos 2 +dimension 20 +uid 2930,0 +) +*93 (MRCItem +litem &78 +pos 3 +dimension 20 +uid 2932,0 +) +*94 (MRCItem +litem &79 +pos 4 +dimension 20 +uid 2934,0 +) +*95 (MRCItem +litem &80 +pos 5 +dimension 20 +uid 2936,0 +) +*96 (MRCItem +litem &81 +pos 6 +dimension 20 +uid 2938,0 +) +*97 (MRCItem +litem &82 +pos 7 +dimension 20 +uid 2940,0 +) +*98 (MRCItem +litem &83 +pos 8 +dimension 20 +uid 2942,0 +) +*99 (MRCItem +litem &84 +pos 9 +dimension 20 +uid 2944,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 114,0 +optionalChildren [ +*100 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 115,0 +) +*101 (MRCItem +litem &69 +pos 1 +dimension 50 +uid 116,0 +) +*102 (MRCItem +litem &70 +pos 2 +dimension 100 +uid 117,0 +) +*103 (MRCItem +litem &71 +pos 3 +dimension 100 +uid 118,0 +) +*104 (MRCItem +litem &72 +pos 4 +dimension 50 +uid 119,0 +) +*105 (MRCItem +litem &73 +pos 5 +dimension 50 +uid 120,0 +) +*106 (MRCItem +litem &74 +pos 6 +dimension 80 +uid 121,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 109,0 +vaOverrides [ +] +) +] +) +uid 95,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@fsm/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@fsm/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@fsm" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerFsm" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sdramControllerFsm" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:14" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramControllerFsm" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@fsm/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerFsm/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:14" +) +(vvPair +variable "unit" +value "sdramControllerFsm" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 65,0 +optionalChildren [ +*107 (SymbolBody +uid 8,0 +optionalChildren [ +*108 (CptPort +uid 2570,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2571,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,19625,51750,20375" +) +tg (CPTG +uid 2572,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2573,0 +va (VaSet +) +xt "45400,19500,50000,20500" +st "addrSelCol" +ju 2 +blo "50000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2574,0 +va (VaSet +font "courier,8,0" +) +xt "0,16900,20500,17800" +st "addrSelCol : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "addrSelCol" +t "std_ulogic" +o 8 +suid 149,0 +) +) +) +*109 (CptPort +uid 2575,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2576,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,15625,51750,16375" +) +tg (CPTG +uid 2577,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2578,0 +va (VaSet +) +xt "43200,15500,50000,16500" +st "addrSelModeReg" +ju 2 +blo "50000,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2579,0 +va (VaSet +font "courier,8,0" +) +xt "0,17800,20500,18700" +st "addrSelModeReg : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "addrSelModeReg" +t "std_ulogic" +o 9 +suid 150,0 +) +) +) +*110 (CptPort +uid 2580,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2581,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,13625,51750,14375" +) +tg (CPTG +uid 2582,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2583,0 +va (VaSet +) +xt "43000,13500,50000,14500" +st "addrSelPrecharge" +ju 2 +blo "50000,14300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2584,0 +va (VaSet +font "courier,8,0" +) +xt "0,18700,20500,19600" +st "addrSelPrecharge : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "addrSelPrecharge" +t "std_ulogic" +o 10 +suid 151,0 +) +) +) +*111 (CptPort +uid 2585,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2586,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,17625,51750,18375" +) +tg (CPTG +uid 2587,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2588,0 +va (VaSet +) +xt "45100,17500,50000,18500" +st "addrSelRow" +ju 2 +blo "50000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2589,0 +va (VaSet +font "courier,8,0" +) +xt "0,19600,20500,20500" +st "addrSelRow : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "addrSelRow" +t "std_ulogic" +o 11 +suid 152,0 +) +) +) +*112 (CptPort +uid 2590,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2591,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,27625,35000,28375" +) +tg (CPTG +uid 2592,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2593,0 +va (VaSet +) +xt "36000,27500,38100,28500" +st "clock" +blo "36000,28300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2594,0 +va (VaSet +font "courier,8,0" +) +xt "0,10600,20500,11500" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 153,0 +) +) +) +*113 (CptPort +uid 2595,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2596,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,27625,51750,28375" +) +tg (CPTG +uid 2597,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2598,0 +va (VaSet +) +xt "44700,27500,50000,28500" +st "commandBus" +ju 2 +blo "50000,28300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2599,0 +va (VaSet +font "courier,8,0" +) +xt "0,20500,39000,21400" +st "commandBus : OUT std_ulogic_vector ( commandBusBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "commandBus" +t "std_ulogic_vector" +b "( commandBusBitNb-1 DOWNTO 0 )" +o 12 +suid 154,0 +) +) +) +*114 (CptPort +uid 2600,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2601,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,9625,35000,10375" +) +tg (CPTG +uid 2602,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2603,0 +va (VaSet +) +xt "36000,9500,43500,10500" +st "endOfRefreshCount" +blo "36000,10300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2604,0 +va (VaSet +font "courier,8,0" +) +xt "0,11500,20500,12400" +st "endOfRefreshCount : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "endOfRefreshCount" +t "std_ulogic" +o 2 +suid 155,0 +) +) +) +*115 (CptPort +uid 2605,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2606,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,9625,51750,10375" +) +tg (CPTG +uid 2607,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2608,0 +va (VaSet +) +xt "44400,9500,50000,10500" +st "powerUpDone" +ju 2 +blo "50000,10300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2609,0 +va (VaSet +font "courier,8,0" +) +xt "0,21400,20500,22300" +st "powerUpDone : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "powerUpDone" +t "std_ulogic" +o 13 +suid 156,0 +) +) +) +*116 (CptPort +uid 2610,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2611,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,25625,51750,26375" +) +tg (CPTG +uid 2612,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2613,0 +va (VaSet +) +xt "44600,25500,50000,26500" +st "ramDataValid" +ju 2 +blo "50000,26300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2614,0 +va (VaSet +font "courier,8,0" +) +xt "0,22300,20500,23200" +st "ramDataValid : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 14 +suid 157,0 +) +) +) +*117 (CptPort +uid 2615,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2616,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,25625,35000,26375" +) +tg (CPTG +uid 2617,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2618,0 +va (VaSet +) +xt "36000,25500,38600,26500" +st "ramEn" +blo "36000,26300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2619,0 +va (VaSet +font "courier,8,0" +) +xt "0,12400,20500,13300" +st "ramEn : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "ramEn" +t "std_ulogic" +o 3 +suid 158,0 +) +) +) +*118 (CptPort +uid 2620,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2621,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,21625,35000,22375" +) +tg (CPTG +uid 2622,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2623,0 +va (VaSet +) +xt "36000,21500,39100,22500" +st "readAck" +blo "36000,22300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2624,0 +va (VaSet +font "courier,8,0" +) +xt "0,23200,20500,24100" +st "readAck : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "readAck" +t "std_ulogic" +o 15 +suid 159,0 +) +) +) +*119 (CptPort +uid 2625,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2626,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,19625,35000,20375" +) +tg (CPTG +uid 2627,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2628,0 +va (VaSet +) +xt "36000,19500,41200,20500" +st "readRequest" +blo "36000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2629,0 +va (VaSet +font "courier,8,0" +) +xt "0,13300,20500,14200" +st "readRequest : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "readRequest" +t "std_ulogic" +o 4 +suid 160,0 +) +) +) +*120 (CptPort +uid 2630,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2631,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,29625,35000,30375" +) +tg (CPTG +uid 2632,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2633,0 +va (VaSet +) +xt "36000,29500,38100,30500" +st "reset" +blo "36000,30300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2634,0 +va (VaSet +font "courier,8,0" +) +xt "0,14200,20500,15100" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 161,0 +) +) +) +*121 (CptPort +uid 2635,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2636,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,21625,51750,22375" +) +tg (CPTG +uid 2637,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2638,0 +va (VaSet +) +xt "45200,21500,50000,22500" +st "sampleData" +ju 2 +blo "50000,22300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2639,0 +va (VaSet +font "courier,8,0" +) +xt "0,24100,20500,25000" +st "sampleData : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sampleData" +t "std_ulogic" +o 16 +suid 162,0 +) +) +) +*122 (CptPort +uid 2640,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2641,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,11625,35000,12375" +) +tg (CPTG +uid 2642,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2643,0 +va (VaSet +) +xt "36000,11500,39900,12500" +st "timerDone" +blo "36000,12300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2644,0 +va (VaSet +font "courier,8,0" +) +xt "0,15100,36500,16000" +st "timerDone : IN std_ulogic_vector ( 1 TO maxDelayPeriodNb ) ;" +) +thePort (LogicalPort +decl (Decl +n "timerDone" +t "std_ulogic_vector" +b "( 1 TO maxDelayPeriodNb )" +o 6 +suid 163,0 +) +) +) +*123 (CptPort +uid 2645,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2646,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,11625,51750,12375" +) +tg (CPTG +uid 2647,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2648,0 +va (VaSet +) +xt "45900,11500,50000,12500" +st "timerStart" +ju 2 +blo "50000,12300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2649,0 +va (VaSet +font "courier,8,0" +) +xt "0,25000,20500,25900" +st "timerStart : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "timerStart" +t "std_ulogic" +o 17 +suid 164,0 +) +) +) +*124 (CptPort +uid 2650,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2651,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,17625,35000,18375" +) +tg (CPTG +uid 2652,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2653,0 +va (VaSet +) +xt "36000,17500,39200,18500" +st "writeAck" +blo "36000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2654,0 +va (VaSet +font "courier,8,0" +) +xt "0,25900,19500,26800" +st "writeAck : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "writeAck" +t "std_ulogic" +o 18 +suid 165,0 +) +) +) +*125 (CptPort +uid 2655,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2656,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,15625,35000,16375" +) +tg (CPTG +uid 2657,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2658,0 +va (VaSet +) +xt "36000,15500,41300,16500" +st "writeRequest" +blo "36000,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2659,0 +va (VaSet +font "courier,8,0" +) +xt "0,16000,20500,16900" +st "writeRequest : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "writeRequest" +t "std_ulogic" +o 7 +suid 166,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,6000,51000,32000" +) +oxt "15000,6000,31000,30000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "35750,32000,38750,32900" +st "Memory" +blo "35750,32700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "35750,32900,45250,33800" +st "sdramControllerFsm" +blo "35750,33600" +) +) +gi *126 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "35000,35200,69500,46000" +st "Generic Declarations + +commandBusBitNb positive 6 +maxDelayPeriodNb positive 10 +prechargeToRefreshPeriodNb positive 2 --66MHz * 20 ns = 1.32 +refreshDelayPeriodNb positive 5 --66MHz * 66ns = 4.356 +loadModeToActivePeriodNb positive 1 --1 CK +activeToWritePeriodNb positive 2 --66MHz * 20ns = 1.32 +writeToActivePeriodNb positive 3 --1 CK + 66MHz * 20ns = 2.32 +activeToReadPeriodNb positive 2 --66MHz * 20ns = 1.32 +readToSamplePeriodNb positive 2 --2 CK with latency = 2 +readToActivePeriodNb positive 3 --1 CK + 66MHz * 20ns = 2.32 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "commandBusBitNb" +type "positive" +value "6" +) +(GiElement +name "maxDelayPeriodNb" +type "positive" +value "10" +) +(GiElement +name "prechargeToRefreshPeriodNb" +type "positive" +value "2" +e "66MHz * 20 ns = 1.32" +) +(GiElement +name "refreshDelayPeriodNb" +type "positive" +value "5" +e "66MHz * 66ns = 4.356" +) +(GiElement +name "loadModeToActivePeriodNb" +type "positive" +value "1" +e "1 CK" +) +(GiElement +name "activeToWritePeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +(GiElement +name "writeToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +(GiElement +name "activeToReadPeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +(GiElement +name "readToSamplePeriodNb" +type "positive" +value "2" +e "2 CK with latency = 2" +) +(GiElement +name "readToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*127 (Grouping +uid 16,0 +optionalChildren [ +*128 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,48000,51000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,48000,49200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*129 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,55000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,54800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*130 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,51000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46000,50400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*131 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,34000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,33800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*132 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,45000,71000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,45200,64400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*133 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,44000,71000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,44000,57000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*134 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,44000,51000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "36000,44500,45000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*135 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,34000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,33200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*136 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,34000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,33800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*137 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,51000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47000,50400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "30000,44000,71000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *138 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*139 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*140 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,16600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "8,47,1393,955" +viewArea "-3100,-1100,72690,50510" +cachedDiagramExtent "-2000,0,71000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "memory" +entityName "sdramController" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *141 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *142 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,8600,3400,9600" +st "Declarations" +blo "-2000,9400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,9600,700,10600" +st "Ports:" +blo "-2000,10400" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,26800,500,27700" +st "User:" +blo "-2000,27500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2000,8600,3800,9600" +st "Internal User:" +blo "-2000,9400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "0,27700,0,27700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2000,8600,-2000,8600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 2968,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/Memory/hds/sdram@controller@refresh@counter/symbol.sb b/Libs/Memory/hds/sdram@controller@refresh@counter/symbol.sb new file mode 100644 index 0000000..8b2807a --- /dev/null +++ b/Libs/Memory/hds/sdram@controller@refresh@counter/symbol.sb @@ -0,0 +1,1625 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 10,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 67,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 6,0 +) +) +uid 209,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "endOfRefreshCount" +t "std_ulogic" +o 22 +suid 7,0 +) +) +uid 211,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "powerUpDone" +t "std_ulogic" +o 21 +suid 8,0 +) +) +uid 213,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 9,0 +) +) +uid 215,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 5 +suid 10,0 +) +) +uid 295,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 80,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 82,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 83,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 84,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 85,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 210,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 212,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 214,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 216,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 296,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 86,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 87,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 88,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 89,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 90,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 91,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 92,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 93,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 94,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 66,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 96,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "delayCounterBitNb" +type "positive" +value "12" +) +uid 240,0 +) +*50 (LogGeneric +generic (GiElement +name "refreshPeriodNb" +type "positive" +value "1024" +) +uid 242,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*51 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *52 (MRCItem +litem &37 +pos 2 +dimension 20 +) +uid 110,0 +optionalChildren [ +*53 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 111,0 +) +*54 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 112,0 +) +*55 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 113,0 +) +*56 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 241,0 +) +*57 (MRCItem +litem &50 +pos 1 +dimension 20 +uid 243,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 114,0 +optionalChildren [ +*58 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 115,0 +) +*59 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 116,0 +) +*60 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 117,0 +) +*61 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 118,0 +) +*62 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 119,0 +) +*63 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 120,0 +) +*64 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 121,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 109,0 +vaOverrides [ +] +) +] +) +uid 95,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@refresh@counter/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@refresh@counter/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@refresh@counter" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerRefreshCounter" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "sdramControllerRefreshCounter" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:13" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramControllerRefreshCounter" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@refresh@counter/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerRefreshCounter/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:13" +) +(vvPair +variable "unit" +value "sdramControllerRefreshCounter" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 65,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 189,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 190,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,19625,32000,20375" +) +tg (CPTG +uid 191,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 192,0 +va (VaSet +) +xt "33000,19500,35100,20500" +st "clock" +blo "33000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 193,0 +va (VaSet +font "courier,8,0" +) +xt "0,11800,20500,12700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 6,0 +) +) +) +*67 (CptPort +uid 194,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 195,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,15625,48750,16375" +) +tg (CPTG +uid 196,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 197,0 +va (VaSet +) +xt "39500,15500,47000,16500" +st "endOfRefreshCount" +ju 2 +blo "47000,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 198,0 +va (VaSet +font "courier,8,0" +) +xt "0,14500,20500,15400" +st "endOfRefreshCount : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "endOfRefreshCount" +t "std_ulogic" +o 22 +suid 7,0 +) +) +) +*68 (CptPort +uid 199,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 200,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,15625,32000,16375" +) +tg (CPTG +uid 201,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 202,0 +va (VaSet +) +xt "33000,15500,38600,16500" +st "powerUpDone" +blo "33000,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 203,0 +va (VaSet +font "courier,8,0" +) +xt "0,12700,20500,13600" +st "powerUpDone : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "powerUpDone" +t "std_ulogic" +o 21 +suid 8,0 +) +) +) +*69 (CptPort +uid 204,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 205,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,21625,32000,22375" +) +tg (CPTG +uid 206,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 207,0 +va (VaSet +) +xt "33000,21500,35100,22500" +st "reset" +blo "33000,22300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 208,0 +va (VaSet +font "courier,8,0" +) +xt "0,13600,20500,14500" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 9,0 +) +) +) +*70 (CptPort +uid 290,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 291,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,17625,48750,18375" +) +tg (CPTG +uid 292,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 293,0 +va (VaSet +) +xt "41500,17500,47000,18500" +st "selectRefresh" +ju 2 +blo "47000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 294,0 +va (VaSet +font "courier,8,0" +) +xt "0,15400,19500,16300" +st "selectRefresh : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 5 +suid 10,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,12000,48000,24000" +) +oxt "15000,6000,31000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "32350,24000,35350,24900" +st "Memory" +blo "32350,24700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "32350,24900,47350,25800" +st "sdramControllerRefreshCounter" +blo "32350,25600" +) +) +gi *71 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,27200,49000,30800" +st "Generic Declarations + +delayCounterBitNb positive 12 +refreshPeriodNb positive 1024 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delayCounterBitNb" +type "positive" +value "12" +) +(GiElement +name "refreshPeriodNb" +type "positive" +value "1024" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*72 (Grouping +uid 16,0 +optionalChildren [ +*73 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,48000,51000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,48000,48000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,55000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,54800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,51000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46000,50400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,34000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,33800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,45000,71000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,45200,64400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,44000,71000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,44000,57000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,44000,51000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "36000,44500,45000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,34000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,33200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,34000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,33800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,51000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47000,44400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "30000,44000,71000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *83 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*85 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,16600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "27,64,1389,970" +viewArea "-3100,-1100,72338,51172" +cachedDiagramExtent "-2000,0,71000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "memory" +entityName "sdramController" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *86 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *87 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,9800,3400,10800" +st "Declarations" +blo "-2000,10600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,10800,700,11800" +st "Ports:" +blo "-2000,11600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,16300,500,17200" +st "User:" +blo "-2000,17000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2000,9800,3800,10800" +st "Internal User:" +blo "-2000,10600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "0,17200,0,17200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2000,9800,-2000,9800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 319,0 +activeModelName "Symbol" +) diff --git a/Libs/Memory/hds/sdram@controller@s@r/symbol.sb b/Libs/Memory/hds/sdram@controller@s@r/symbol.sb new file mode 100644 index 0000000..8735202 --- /dev/null +++ b/Libs/Memory/hds/sdram@controller@s@r/symbol.sb @@ -0,0 +1,1581 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 5,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 88,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 76,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "setFlag" +t "std_ulogic" +o 7 +suid 2,0 +) +) +uid 78,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 3,0 +) +) +uid 80,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "resetFlag" +t "std_ulogic" +o 7 +suid 4,0 +) +) +uid 82,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "flag" +t "std_ulogic" +o 7 +suid 5,0 +) +) +uid 84,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 101,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 103,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 104,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 105,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 106,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 77,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 79,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 81,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 83,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 85,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 107,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 108,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 109,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 110,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 111,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 112,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 113,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 114,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 102,0 +vaOverrides [ +] +) +] +) +uid 87,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 117,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 129,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *50 (MRCItem +litem &37 +pos 0 +dimension 20 +) +uid 131,0 +optionalChildren [ +*51 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 132,0 +) +*52 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 133,0 +) +*53 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*54 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 136,0 +) +*55 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 137,0 +) +*56 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 138,0 +) +*57 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 139,0 +) +*58 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 140,0 +) +*59 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 141,0 +) +*60 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 142,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 116,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@s@r/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@s@r/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@s@r" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerSR" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "sdramControllerSR" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:13" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramControllerSR" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@s@r/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerSR/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:13" +) +(vvPair +variable "unit" +value "sdramControllerSR" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28250,21625,29000,22375" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "30000,21500,32100,22500" +st "clock" +blo "30000,22300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "courier,8,0" +) +xt "0,8000,16500,8900" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*63 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28250,15625,29000,16375" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 59,0 +va (VaSet +) +xt "30000,15500,33000,16500" +st "setFlag" +blo "30000,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "courier,8,0" +) +xt "0,10700,16500,11600" +st "setFlag : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "setFlag" +t "std_ulogic" +o 7 +suid 2,0 +) +) +) +*64 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28250,23625,29000,24375" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 64,0 +va (VaSet +) +xt "30000,23500,32100,24500" +st "reset" +blo "30000,24300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "courier,8,0" +) +xt "0,8900,16500,9800" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 3,0 +) +) +) +*65 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28250,17625,29000,18375" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 69,0 +va (VaSet +) +xt "30000,17500,33700,18500" +st "resetFlag" +blo "30000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "courier,8,0" +) +xt "0,9800,16500,10700" +st "resetFlag : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "resetFlag" +t "std_ulogic" +o 7 +suid 4,0 +) +) +) +*66 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,15625,45750,16375" +) +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 74,0 +va (VaSet +) +xt "42300,15500,44000,16500" +st "flag" +ju 2 +blo "44000,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "courier,8,0" +) +xt "0,11600,15500,12500" +st "flag : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "flag" +t "std_ulogic" +o 7 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "29000,12000,45000,26000" +) +oxt "15000,6000,31000,20000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "29000,26000,32000,26900" +st "Memory" +blo "29000,26700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "29000,26900,38000,27800" +st "sdramControllerSR" +blo "29000,27600" +) +) +gi *67 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "29000,29200,39500,30100" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*68 (Grouping +uid 16,0 +optionalChildren [ +*69 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,48000,51000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,48000,48600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,55000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,54800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,51000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46000,50400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,34000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,33800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,45000,71000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,45200,64400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,44000,71000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,44000,57000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,44000,51000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "36000,44500,45000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,34000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,33200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,34000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,33800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,51000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47000,49800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "30000,44000,71000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *79 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*81 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,16600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "-1,40,1385,946" +viewArea "-3080,-1069,72539,50251" +cachedDiagramExtent "-2000,0,71000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "memory" +entityName "sdramController" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *82 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *83 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,6000,3400,7000" +st "Declarations" +blo "-2000,6800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,7000,700,8000" +st "Ports:" +blo "-2000,7800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,12500,500,13400" +st "User:" +blo "-2000,13200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2000,6000,3800,7000" +st "Internal User:" +blo "-2000,6800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "0,13400,0,13400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2000,6000,-2000,6000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 188,0 +activeModelName "Symbol" +) diff --git a/Libs/Memory/hds/sdram@controller@sample@data@in/symbol.sb b/Libs/Memory/hds/sdram@controller@sample@data@in/symbol.sb new file mode 100644 index 0000000..bda3c0d --- /dev/null +++ b/Libs/Memory/hds/sdram@controller@sample@data@in/symbol.sb @@ -0,0 +1,1606 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 13,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 81,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 9,0 +) +) +uid 237,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 10,0 +) +) +uid 239,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 13 +suid 11,0 +) +) +uid 241,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 12,0 +) +) +uid 243,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "sampleData" +t "std_ulogic" +o 34 +suid 13,0 +) +) +uid 245,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 96,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 97,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 98,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 238,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 240,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 242,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 244,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 246,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 101,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 102,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 103,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 104,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 105,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 106,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 107,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 108,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 80,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "16" +) +uid 159,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 1 +dimension 20 +) +uid 124,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 125,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 126,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 160,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 129,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 130,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 131,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 132,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 133,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 134,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 135,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@sample@data@in/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@sample@data@in/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@sample@data@in" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerSampleDataIn" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "sdramControllerSampleDataIn" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:13" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramControllerSampleDataIn" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@sample@data@in/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerSampleDataIn/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:13" +) +(vvPair +variable "unit" +value "sdramControllerSampleDataIn" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 212,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 213,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,24625,38000,25375" +) +tg (CPTG +uid 214,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 215,0 +va (VaSet +) +xt "39000,24500,41100,25500" +st "clock" +blo "39000,25300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 216,0 +va (VaSet +font "courier,8,0" +) +xt "0,9000,17000,9900" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 9,0 +) +) +) +*65 (CptPort +uid 217,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 218,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,18625,54750,19375" +) +tg (CPTG +uid 219,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 220,0 +va (VaSet +) +xt "48800,18500,53000,19500" +st "memDataIn" +ju 2 +blo "53000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 221,0 +va (VaSet +font "courier,8,0" +) +xt "0,9900,31500,10800" +st "memDataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 10,0 +) +) +) +*66 (CptPort +uid 222,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 223,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,18625,38000,19375" +) +tg (CPTG +uid 224,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 225,0 +va (VaSet +) +xt "39000,18500,42900,19500" +st "ramDataIn" +blo "39000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 226,0 +va (VaSet +font "courier,8,0" +) +xt "0,12600,30500,13500" +st "ramDataIn : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 13 +suid 11,0 +) +) +) +*67 (CptPort +uid 227,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 228,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,26625,38000,27375" +) +tg (CPTG +uid 229,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 230,0 +va (VaSet +) +xt "39000,26500,41100,27500" +st "reset" +blo "39000,27300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 231,0 +va (VaSet +font "courier,8,0" +) +xt "0,10800,17000,11700" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 12,0 +) +) +) +*68 (CptPort +uid 232,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 233,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,22625,38000,23375" +) +tg (CPTG +uid 234,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 235,0 +va (VaSet +) +xt "39000,22500,43800,23500" +st "sampleData" +blo "39000,23300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 236,0 +va (VaSet +font "courier,8,0" +) +xt "0,11700,17000,12600" +st "sampleData : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "sampleData" +t "std_ulogic" +o 34 +suid 13,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,15000,54000,29000" +) +oxt "15000,6000,31000,18000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "38800,29000,41800,29900" +st "Memory" +blo "38800,29700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "38800,29900,52800,30800" +st "sdramControllerSampleDataIn" +blo "38800,30600" +) +) +gi *69 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "38000,32600,50000,35300" +st "Generic Declarations + +dataBitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*70 (Grouping +uid 16,0 +optionalChildren [ +*71 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,48000,51000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,48000,48600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,55000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,54800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,51000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46000,50400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,34000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,33800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,45000,71000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,45200,64400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,44000,71000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,44000,57000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,44000,51000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "36000,44500,45000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,34000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,33200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,34000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,33800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,51000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47000,44400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "30000,44000,71000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *81 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*83 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,16600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "7,87,1401,1002" +viewArea "-3063,-1063,72646,50548" +cachedDiagramExtent "-2000,0,71000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "memory" +entityName "sdramController" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *84 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *85 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,7000,3400,8000" +st "Declarations" +blo "-2000,7800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,8000,700,9000" +st "Ports:" +blo "-2000,8800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,13500,500,14400" +st "User:" +blo "-2000,14200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2000,7000,3800,8000" +st "Internal User:" +blo "-2000,7800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "0,14400,0,14400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2000,7000,-2000,7000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 292,0 +activeModelName "Symbol" +) diff --git a/Libs/Memory/hds/sdram@controller@store@data/symbol.sb b/Libs/Memory/hds/sdram@controller@store@data/symbol.sb new file mode 100644 index 0000000..3f4be58 --- /dev/null +++ b/Libs/Memory/hds/sdram@controller@store@data/symbol.sb @@ -0,0 +1,1606 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 15,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 88,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 11,0 +) +) +uid 251,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 12,0 +) +) +uid 253,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 13,0 +) +) +uid 255,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "ramWr" +t "std_ulogic" +o 7 +suid 14,0 +) +) +uid 257,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 15,0 +) +) +uid 259,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 101,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 103,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 104,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 105,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 106,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 252,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 254,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 256,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 258,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 260,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 107,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 108,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 109,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 110,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 111,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 112,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 113,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 114,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 102,0 +vaOverrides [ +] +) +] +) +uid 87,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 117,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 166,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 129,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 1 +dimension 20 +) +uid 131,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 132,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 133,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 167,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 136,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 137,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 138,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 139,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 140,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 141,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 142,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 116,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@store@data/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@store@data/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@store@data" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerStoreData" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "sdramControllerStoreData" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:13" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramControllerStoreData" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@store@data/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerStoreData/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:13" +) +(vvPair +variable "unit" +value "sdramControllerStoreData" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 226,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 227,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,20625,40000,21375" +) +tg (CPTG +uid 228,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 229,0 +va (VaSet +) +xt "41000,20500,43100,21500" +st "clock" +blo "41000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 230,0 +va (VaSet +font "courier,8,0" +) +xt "0,9000,17000,9900" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 11,0 +) +) +) +*65 (CptPort +uid 231,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 232,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,14625,56750,15375" +) +tg (CPTG +uid 233,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 234,0 +va (VaSet +) +xt "49800,14500,55000,15500" +st "memDataOut" +ju 2 +blo "55000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 235,0 +va (VaSet +font "courier,8,0" +) +xt "0,12600,30500,13500" +st "memDataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 12,0 +) +) +) +*66 (CptPort +uid 236,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 237,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,14625,40000,15375" +) +tg (CPTG +uid 238,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 239,0 +va (VaSet +) +xt "41000,14500,45900,15500" +st "ramDataOut" +blo "41000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 240,0 +va (VaSet +font "courier,8,0" +) +xt "0,9900,31500,10800" +st "ramDataOut : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 13,0 +) +) +) +*67 (CptPort +uid 241,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 242,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,16625,40000,17375" +) +tg (CPTG +uid 243,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 244,0 +va (VaSet +) +xt "41000,16500,43700,17500" +st "ramWr" +blo "41000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 245,0 +va (VaSet +font "courier,8,0" +) +xt "0,10800,17000,11700" +st "ramWr : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "ramWr" +t "std_ulogic" +o 7 +suid 14,0 +) +) +) +*68 (CptPort +uid 246,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 247,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,22625,40000,23375" +) +tg (CPTG +uid 248,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 249,0 +va (VaSet +) +xt "41000,22500,43100,23500" +st "reset" +blo "41000,23300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 250,0 +va (VaSet +font "courier,8,0" +) +xt "0,11700,17000,12600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 15,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "40000,11000,56000,25000" +) +oxt "15000,6000,31000,20000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "40500,25000,43500,25900" +st "Memory" +blo "40500,25700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "40500,25900,53000,26800" +st "sdramControllerStoreData" +blo "40500,26600" +) +) +gi *69 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "40000,28600,51500,31300" +st "Generic Declarations + +dataBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*70 (Grouping +uid 16,0 +optionalChildren [ +*71 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,48000,51000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,48000,48600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,55000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,54800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,51000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46000,50400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,34000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,33800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,45000,71000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,45200,64400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,44000,71000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,44000,57000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,44000,51000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "36000,44500,45000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,34000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,33200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,34000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,33800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,51000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47000,44400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "30000,44000,71000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *81 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*83 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,16600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "2,62,1390,960" +viewArea "-3082,-1069,73504,50294" +cachedDiagramExtent "-2000,0,71000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "memory" +entityName "sdramController" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *84 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *85 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,7000,3400,8000" +st "Declarations" +blo "-2000,7800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,8000,700,9000" +st "Ports:" +blo "-2000,8800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,13500,500,14400" +st "User:" +blo "-2000,14200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2000,7000,3800,8000" +st "Internal User:" +blo "-2000,7800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "0,14400,0,14400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2000,7000,-2000,7000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 306,0 +activeModelName "Symbol" +) diff --git a/Libs/Memory/hds/sdram@controller@timings@shift@register/symbol.sb b/Libs/Memory/hds/sdram@controller@timings@shift@register/symbol.sb new file mode 100644 index 0000000..e699856 --- /dev/null +++ b/Libs/Memory/hds/sdram@controller@timings@shift@register/symbol.sb @@ -0,0 +1,1544 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 8,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 81,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 5,0 +) +) +uid 179,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 6,0 +) +) +uid 181,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "timerDone" +t "std_ulogic_vector" +b "(1 TO maxDelayPeriodNb)" +o 25 +suid 7,0 +) +) +uid 183,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "timerStart" +t "std_ulogic" +o 24 +suid 8,0 +) +) +uid 185,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 96,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 97,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 98,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 180,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 182,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 184,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 186,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 101,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 102,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 103,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 104,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 105,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 106,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 107,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 108,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 80,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "maxDelayPeriodNb" +type "positive" +value "10" +) +uid 210,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 124,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 125,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 126,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 211,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 129,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 130,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 131,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 132,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 133,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 134,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 135,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@timings@shift@register/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@timings@shift@register/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@timings@shift@register" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerTimingsShiftRegister" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "sdramControllerTimingsShiftRegister" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:13" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramControllerTimingsShiftRegister" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@timings@shift@register/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerTimingsShiftRegister/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:13" +) +(vvPair +variable "unit" +value "sdramControllerTimingsShiftRegister" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 159,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 160,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,23625,32000,24375" +) +tg (CPTG +uid 161,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 162,0 +va (VaSet +) +xt "33000,23500,35100,24500" +st "clock" +blo "33000,24300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 163,0 +va (VaSet +font "courier,8,0" +) +xt "0,18800,17000,19700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 5,0 +) +) +) +*63 (CptPort +uid 164,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 165,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,25625,32000,26375" +) +tg (CPTG +uid 166,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 167,0 +va (VaSet +) +xt "33000,25500,35100,26500" +st "reset" +blo "33000,26300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 168,0 +va (VaSet +font "courier,8,0" +) +xt "0,19700,17000,20600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 6,0 +) +) +) +*64 (CptPort +uid 169,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 170,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,19625,48750,20375" +) +tg (CPTG +uid 171,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 172,0 +va (VaSet +) +xt "43100,19500,47000,20500" +st "timerDone" +ju 2 +blo "47000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 173,0 +va (VaSet +font "courier,8,0" +) +xt "0,21500,31000,22400" +st "timerDone : OUT std_ulogic_vector (1 TO maxDelayPeriodNb)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "timerDone" +t "std_ulogic_vector" +b "(1 TO maxDelayPeriodNb)" +o 25 +suid 7,0 +) +) +) +*65 (CptPort +uid 174,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 175,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,19625,32000,20375" +) +tg (CPTG +uid 176,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 177,0 +va (VaSet +) +xt "33000,19500,37100,20500" +st "timerStart" +blo "33000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 178,0 +va (VaSet +font "courier,8,0" +) +xt "0,20600,17000,21500" +st "timerStart : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "timerStart" +t "std_ulogic" +o 24 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,16000,48000,28000" +) +oxt "15000,6000,31000,18000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "32400,28000,35400,28900" +st "Memory" +blo "32400,28700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "32400,28900,50400,29800" +st "sdramControllerTimingsShiftRegister" +blo "32400,29600" +) +) +gi *66 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,31200,47500,33900" +st "Generic Declarations + +maxDelayPeriodNb positive 10 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "maxDelayPeriodNb" +type "positive" +value "10" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*67 (Grouping +uid 16,0 +optionalChildren [ +*68 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,48000,51000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,48000,48600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,55000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,54800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,51000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46000,50400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,34000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,33800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,45000,71000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,45200,64400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,44000,71000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,44000,57000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,44000,51000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "36000,44500,45000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,34000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,33200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,34000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,33800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,51000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47000,44400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "30000,44000,71000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *78 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*80 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,16600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "5,46,1391,1000" +viewArea "-3084,-1070,72630,53429" +cachedDiagramExtent "-2000,0,71000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "memory" +entityName "sdramController" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *81 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *82 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,16800,3400,17800" +st "Declarations" +blo "-2000,17600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,17800,700,18800" +st "Ports:" +blo "-2000,18600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,22400,500,23300" +st "User:" +blo "-2000,23100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2000,16800,3800,17800" +st "Internal User:" +blo "-2000,17600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "0,23300,0,23300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2000,16800,-2000,16800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 234,0 +activeModelName "Symbol" +) diff --git a/Libs/Memory_test/hdl/Flash_behav.vhd b/Libs/Memory_test/hdl/Flash_behav.vhd new file mode 100644 index 0000000..3400d3a --- /dev/null +++ b/Libs/Memory_test/hdl/Flash_behav.vhd @@ -0,0 +1,528 @@ +use std.textio.all; + +ARCHITECTURE behav OF flash_28F128J3A IS + -- controls + signal chipSelect : std_ulogic; + signal writePulse : std_ulogic; + signal writePulseDelayed : std_ulogic; + signal memoryCommand : unsigned(7 downto 0); + signal wordProgramBusy : std_ulogic := '0'; + signal blockEraseBusy : std_ulogic := '0'; + signal busy : std_ulogic; + signal readPulseCs : std_ulogic := '0'; + signal readPulseOe : std_ulogic := '0'; + signal readPulse : std_ulogic; + + signal memoryAddressDebug : unsigned(A'range); + + type state_type is ( + READ_ARRAY, + READ_ID_CODES, + READ_QUERY, + READ_STATUS, + WRITE_BUFFER, + WORD_PROGRAM_1, + WORD_PROGRAM_2, + BLOCK_ERASE_1, + BLOCK_ERASE_2, + CONFIG, + PROG_LOCK_BITS, + PROG_PROT, + BOTCH_LOCK,-- + BOTCH_LOCK_ERS_SUSP,-- + LOCK_DONE, + PROG_LOCK_BITS_ERS_SUSP,-- + LOCK_DONE_ERS_SUSP, + PROT_PROG_BUSY,-- + PROT_PROG_DONE,-- + WORD_PROGRAM_1_ERS_SUSP,-- + PROG_BUSY,-- + PROG_BUSY_ERS_SUSP,-- + READ_STATUS_PROG_SUSP,-- + READ_ARRAY_PROG_SUSP,-- + READ_CONFIG_PROG_SUSP,-- + READ_QUERY_PROG_SUSP,-- + PROGRAM_DONE,-- + PROGRAM_DONE_ERS_SUSP,-- + BOTCH_ERS,-- + ERASE_BUSY,-- + READ_STATUS_ERS_SUSP,-- + READ_ARRAY_ERS_SUSP,-- + READ_CONFIG_ERS_SUSP,-- + READ_QUERY_ERS_SUSP,-- + ERASE_DONE-- + ); + + signal currentState : state_type; + signal nextState : state_type; + -- storage + constant blockLength : positive:= 16#10000#; -- 64 Kword blocks + constant memoryLength: positive := 2**(A'length-1); +-- constant memoryLength : positive := 2*blockLength; + subtype memoryWord is std_ulogic_vector(DQ'range); + type memoryArray is array(0 to memoryLength-1) of memoryWord; + signal memoryDataWord : memoryWord; + +BEGIN + + --############################################################################ + -- Controls + ------------------------------------------------------------------------------ + + chipSelect <= ( (not CE(2)) and (not CE(1)) and (not CE(0)) ) or + ( CE(2) and ( (not CE(1)) or (not CE(0)) ) ); + writePulse <= chipSelect and not(WE_n); + writePulseDelayed <= writePulse after 1 ns; + + memoryCommand <= unsigned(DQ(memoryCommand'range)); + + process(chipSelect) + begin + if rising_edge(chipSelect) then + readPulseCs <= '1' after T_R3; + elsif falling_edge(chipSelect) then + readPulseCs <= '0' after T_R8; + end if; + end process; + + process(OE_n) + begin + if falling_edge(OE_n) then + readPulseOe <= '1' after T_R7; + elsif rising_edge(OE_n) then + readPulseOe <= '0' after T_R9; + end if; + end process; + + readPulse <= readPulseCs and readPulseOe; + + ------------------------------------------------------------------------------ + -- Programming delays + ------------------------------------------------------------------------------ + + wordProgramBusy <= '1', '0' after T_W16_program when currentState = WORD_PROGRAM_2; + blockEraseBusy <= '1', '0' after T_W16_erase when currentState = BLOCK_ERASE_2; + busy <= wordProgramBusy or blockEraseBusy; + + + ------------------------------------------------------------------------------ + -- FSM: find next state + ------------------------------------------------------------------------------ + -- Table 4 p. 12 + process(writePulse, busy) + begin + case currentState is + when READ_ARRAY | READ_ID_CODES | READ_QUERY | READ_STATUS => + case to_integer(memoryCommand) is + when 16#FF# => nextState <= READ_ARRAY; + when 16#90# => nextState <= READ_ID_CODES; + when 16#98# => nextState <= READ_QUERY; + when 16#70# => nextState <= READ_STATUS; + when 16#E8# => nextState <= WRITE_BUFFER; + when 16#10# | 16#40# => nextState <= WORD_PROGRAM_1; + when 16#20# => nextState <= BLOCK_ERASE_1; + when 16#B8# => nextState <= CONFIG; + when 16#60# => nextState <= PROG_LOCK_BITS; + when 16#C0# => nextState <= PROG_PROT; + when others => nextState <= READ_ARRAY; + end case; + + when WORD_PROGRAM_1 => + nextState <= WORD_PROGRAM_2; + + when WORD_PROGRAM_2 => + nextState <= READ_ARRAY; + + when BLOCK_ERASE_1 => + if to_integer(memoryCommand) = 16#D0# then + nextState <= BLOCK_ERASE_2; + else + nextState <= READ_ARRAY; + end if; + + when BLOCK_ERASE_2 => + nextState <= READ_ARRAY; + +-- WHEN PROG_LOCK_BITS => +-- IF rising_edge(WENeg) THEN +-- -- SECOND CYCLE CHECK +-- IF data=16#D0# OR data=16#01# OR data=16#2F# THEN +-- nextState<=READ_ARRAY; +-- ELSE +-- nextState <= BOTCH_LOCK; +-- END IF; +-- END IF; +-- +-- WHEN PROG_LOCK_BITS_ERS_SUSP => +-- IF rising_edge(WENeg) THEN +-- IF data=16#D0# OR data=16#01# OR data=16#2F# THEN +-- nextState<=READ_ARRAY_ERS_SUSP; +-- ELSE +-- nextState <= BOTCH_LOCK_ERS_SUSP; +-- END IF; +-- END IF; +-- +-- +-- WHEN LOCK_DONE => +-- IF rising_edge(WENeg) THEN +-- CASE data IS +-- WHEN 16#10# | 16#40# => nextState <= WORD_PROGRAM_1; +-- WHEN 16#20# => nextState <= BLOCK_ERASE_1; +-- WHEN 16#70# => nextState <= READ_STATUS; +-- WHEN 16#90# => nextState <= READ_CONFIG; +-- WHEN 16#98# => nextState <= READ_QUERY; +-- WHEN 16#60# => nextState <= PROG_LOCK_BITS; +-- WHEN 16#C0# => nextState <= PROG_PROT; +-- WHEN OTHERS => nextState <= READ_ARRAY; +-- END CASE; +-- END IF; +-- +-- WHEN LOCK_DONE_ERS_SUSP => +-- IF rising_edge(WENeg) THEN +-- CASE data IS +-- WHEN 16#10# | 16#40# => nextState <= WORD_PROGRAM_1_ERS_SUSP; +-- WHEN 16#70# => nextState <= READ_STATUS_ERS_SUSP; +-- WHEN 16#90# => nextState <= READ_CONFIG_ERS_SUSP; +-- WHEN 16#98# => nextState <= READ_QUERY_ERS_SUSP; +-- WHEN 16#60# => nextState <= PROG_LOCK_BITS_ERS_SUSP; +-- WHEN 16#D0# => nextState <= ERASE_BUSY; +-- WHEN OTHERS => nextState <= READ_ARRAY_ERS_SUSP; +-- END CASE; +-- END IF; +-- +-- WHEN BOTCH_LOCK => +-- IF rising_edge(WENeg) THEN +-- CASE data IS +-- WHEN 16#10# | 16#40# => nextState <= WORD_PROGRAM_1; +-- WHEN 16#20# => nextState <= BLOCK_ERASE_1; +-- WHEN 16#70# => nextState <= READ_STATUS; +-- WHEN 16#90# => nextState <= READ_CONFIG; +-- WHEN 16#98# => nextState <= READ_QUERY; +-- WHEN 16#60# => nextState <= PROG_LOCK_BITS; +-- WHEN 16#C0# => nextState <= PROG_PROT; +-- WHEN OTHERS => nextState <= READ_ARRAY; +-- END CASE; +-- END IF; +-- +-- WHEN BOTCH_LOCK_ERS_SUSP => +-- IF rising_edge(WENeg) THEN +-- CASE data IS +-- WHEN 16#10# | 16#40# => +-- nextState <= WORD_PROGRAM_1_ERS_SUSP; +-- WHEN 16#70# => nextState <= READ_STATUS_ERS_SUSP; +-- WHEN 16#90# => nextState <= READ_CONFIG_ERS_SUSP; +-- WHEN 16#98# => nextState <= READ_QUERY_ERS_SUSP; +-- WHEN 16#60# => nextState <= PROG_LOCK_BITS_ERS_SUSP; +-- WHEN OTHERS => nextState <= READ_ARRAY_ERS_SUSP; +-- END CASE; +-- END IF; +-- +-- +-- WHEN BOTCH_ERS => +-- IF rising_edge(WENeg) THEN +-- CASE data IS +-- WHEN 16#10# | 16#40# => +-- nextState <= WORD_PROGRAM_1; +-- WHEN 16#20# => nextState <=BLOCK_ERASE_1; +-- WHEN 16#70# => nextState <= READ_STATUS; +-- WHEN 16#90# => nextState <= READ_CONFIG; +-- WHEN 16#98# => nextState <= READ_QUERY; +-- WHEN 16#60# => nextState <= PROG_LOCK_BITS; +-- WHEN 16#C0# => nextState <= PROG_PROT; +-- WHEN OTHERS => nextState <= READ_ARRAY; +-- END CASE; +-- END IF; +-- +-- +-- WHEN PROG_PROT => +-- IF rising_edge(WENeg) THEN +-- nextState <= PROT_PROG_BUSY; +-- END IF; +-- +-- WHEN PROT_PROG_BUSY => +-- IF S_Reg(7)='1' THEN +-- nextState <= PROT_PROG_DONE; +-- ELSE +-- nextState <= PROT_PROG_BUSY; +-- END IF; +-- +-- WHEN PROT_PROG_DONE => +-- IF rising_edge(WENeg) THEN +-- CASE data IS +-- WHEN 16#10# | 16#40# => nextState <= WORD_PROGRAM_1; +-- WHEN 16#20# => nextState <= BLOCK_ERASE_1; +-- WHEN 16#70# => nextState <= READ_STATUS; +-- WHEN 16#90# => nextState <= READ_CONFIG; +-- WHEN 16#98# => nextState <= READ_QUERY; +-- WHEN 16#60# => nextState <= PROG_LOCK_BITS; +-- WHEN 16#C0# => nextState <= PROG_PROT; +-- WHEN OTHERS => nextState <= READ_ARRAY; +-- END CASE; +-- END IF; +-- +-- WHEN WORD_PROGRAM_1 => +-- IF rising_edge(WENeg) THEN +-- nextState <= PROG_BUSY; +-- END IF; +-- +-- WHEN WORD_PROGRAM_1_ERS_SUSP => +-- IF rising_edge(WENeg) THEN +-- nextState <= PROG_BUSY_ERS_SUSP; +-- END IF; +-- +-- WHEN PROG_BUSY => +-- IF WDone THEN +-- nextState<=PROGRAM_DONE; +-- ELSIF rising_edge(WENeg) THEN +-- IF data= 16#B0# THEN +-- nextState <= READ_STATUS_PROG_SUSP; +-- ELSE +-- nextState <= PROG_BUSY; +-- END IF; +-- END IF; +-- +-- WHEN PROG_BUSY_ERS_SUSP => +-- IF WDone THEN +-- nextState<=PROGRAM_DONE_ERS_SUSP; +-- ELSIF rising_edge(WENeg) THEN +-- nextState <= PROG_BUSY_ERS_SUSP; +-- END IF; +-- +-- WHEN READ_STATUS_PROG_SUSP | READ_ARRAY_PROG_SUSP | +-- READ_CONFIG_PROG_SUSP | READ_QUERY_PROG_SUSP => +-- IF rising_edge(WENeg) THEN +-- CASE data IS +-- --WHEN 16#D0# => nextState <= READ_ARRAY_PROG_SUSP; +-- WHEN 16#D0# => nextState <= PROG_BUSY; +-- WHEN 16#B0# | 16#70# => nextState <= READ_STATUS_PROG_SUSP; +-- WHEN 16#90# => nextState <= READ_CONFIG_PROG_SUSP; +-- WHEN 16#98# => nextState <= READ_QUERY_PROG_SUSP; +-- WHEN OTHERS => nextState <= READ_ARRAY_PROG_SUSP; +-- END CASE; +-- END IF; +-- +-- WHEN PROGRAM_DONE => +-- IF rising_edge(WENeg) THEN +-- CASE data IS +-- WHEN 16#10# | 16#40# => nextState <= WORD_PROGRAM_1; +-- WHEN 16#20# => nextState <= BLOCK_ERASE_1; +-- WHEN 16#70# => nextState <= READ_STATUS; +-- WHEN 16#90# => nextState <= READ_CONFIG; +-- WHEN 16#98# => nextState <= READ_QUERY; +-- WHEN 16#60# => nextState <= PROG_LOCK_BITS; +-- WHEN 16#C0# => nextState <= PROG_PROT; +-- WHEN OTHERS => nextState <= READ_ARRAY; +-- END CASE; +-- END IF; +-- +-- WHEN PROGRAM_DONE_ERS_SUSP => +-- IF rising_edge(WENeg) THEN +-- CASE data IS +-- WHEN 16#10# | 16#40# => nextState <= WORD_PROGRAM_1_ERS_SUSP; +-- WHEN 16#B0# | 16#70# => nextState <= READ_STATUS_ERS_SUSP; +-- WHEN 16#D0# => nextState <= ERASE_BUSY; +-- WHEN 16#90# => nextState <= READ_CONFIG_ERS_SUSP; +-- WHEN 16#98# => nextState <= READ_QUERY_ERS_SUSP; +-- WHEN 16#60# => nextState <= PROG_LOCK_BITS_ERS_SUSP; +-- WHEN OTHERS => nextState <= READ_ARRAY_ERS_SUSP; +-- END CASE; +-- END IF; +-- +-- +-- WHEN ERASE_BUSY => +-- IF rising_edge(WENeg) AND data= 16#B0# THEN +-- nextState <= READ_STATUS_ERS_SUSP; +-- ELSIF EDone AND ECount=31 THEN +-- nextState<=ERASE_DONE; +-- ELSE +-- nextState <= ERASE_BUSY; +-- END IF; +-- +-- WHEN READ_STATUS_ERS_SUSP | READ_ARRAY_ERS_SUSP | +-- READ_CONFIG_ERS_SUSP | READ_QUERY_ERS_SUSP => +-- IF rising_edge(WENeg) THEN +-- CASE data IS +-- WHEN 16#10# | 16#40# => nextState <=WORD_PROGRAM_1_ERS_SUSP; +-- WHEN 16#B0# | 16#70# | 16#80# => +-- nextState<= READ_STATUS_ERS_SUSP; +-- WHEN 16#D0# => nextState <= ERASE_BUSY; +-- WHEN 16#90# => nextState <= READ_CONFIG_ERS_SUSP; +-- WHEN 16#98# => nextState <= READ_QUERY_ERS_SUSP; +-- WHEN 16#60# => nextState <= PROG_LOCK_BITS_ERS_SUSP; +-- WHEN OTHERS => nextState <= READ_ARRAY_ERS_SUSP; +-- END CASE; +-- END IF; +-- +-- WHEN ERASE_DONE => +-- IF rising_edge(WENeg) THEN +-- CASE data IS +-- WHEN 16#10# | 16#40# => nextState <= WORD_PROGRAM_1; +-- WHEN 16#20# => nextState <= BLOCK_ERASE_1; +-- WHEN 16#70# => nextState <= READ_STATUS; +-- WHEN 16#90# => nextState <= READ_CONFIG; +-- WHEN 16#98# => nextState <= READ_QUERY; +-- WHEN 16#60# => nextState <= PROG_LOCK_BITS; +-- WHEN 16#C0# => nextState <= PROG_PROT; +-- WHEN OTHERS => nextState <= READ_ARRAY; +-- END CASE; +-- END IF; + +when others => nextState <= READ_ARRAY; + + end case; + end process; + + + ------------------------------------------------------------------------------ + -- FSM: update state + ------------------------------------------------------------------------------ + process(RP_N, writePulseDelayed, busy) + begin + if RP_n = '0' then + currentState <= READ_ARRAY; + elsif falling_edge(writePulseDelayed) then + currentState <= nextState; + elsif falling_edge(busy) then + currentState <= nextState; + end if; + end process; + + + ------------------------------------------------------------------------------ + -- STS + ------------------------------------------------------------------------------ + process + begin + STS <= '1'; + wait on busy; + if rising_edge(busy) then + STS <= '0' after T_W13; + wait until falling_edge(busy); + end if; + end process; + + + --############################################################################ + -- Storage + ------------------------------------------------------------------------------ + process(writePulse, A) + variable memContent : memoryArray; -- much faster than using a signal + variable loadMemFromFile : boolean := true; + file memoryFile : text open read_mode is fileSpec; + variable srecLine : line; + variable srecChar : character; + variable srecType : natural; + variable srecAddrLength : natural; + variable srecWordAscii : string(8 downto 1); + variable srecLength : natural; + variable srecAddress : natural; + variable memoryAddress : natural; + variable srecData : natural; + + function readNumber(hexString: string) return natural is + variable currentCharPos: natural; + variable intValue: natural; + variable accValue: natural; + begin + accValue := 0; + for index in hexString'range loop + currentCharPos := character'pos(hexString(index)); + if currentCharPos <= character'pos('9') then + intValue := currentCharPos - character'pos('0'); + else + intValue := currentCharPos - character'pos('A') + 10; + end if; + accValue := accValue * 16 + intValue; + end loop; + return accValue; + end readNumber; + + begin + if loadMemFromFile then + -- only happens at simulation start + while not endfile(memoryFile) loop + readline(memoryFile, srecLine); + --report "-> " & srecLine.all; + -- trim leading whitespaces + while (not (srecLine'length=0)) and (srecLine(srecLine'left) = ' ') loop + read(srecLine, srecChar); + end loop; + -- get record type + if srecLine'length > 0 then + read(srecLine, srecChar); + if (srecChar = 'S') or (srecChar = 's') then + read(srecLine, srecChar); + srecType := character'pos(srecChar) - character'pos('0'); + --report "-> srec type: " & integer'image(srecType); + srecAddrLength := srecType + 1; + if (srecType >= 1) and (srecType <= 3) then + -- get record length + srecWordAscii := (others => '0'); + read(srecLine, srecWordAscii(2)); + read(srecLine, srecWordAscii(1)); + srecLength := readNumber(srecWordAscii); + -- get record base address + srecWordAscii := (others => '0'); + for index in 2*(srecAddrLength) downto 1 loop + read(srecLine, srecWordAscii(index)); + end loop; + srecAddress := readNumber(srecWordAscii); + memoryAddress := srecAddress/2; + -- get record data + for index1 in 1 to (srecLength - srecAddrLength - 1) / 2 loop + srecWordAscii := (others => '0'); + for index2 in 4 downto 1 loop + read(srecLine, srecWordAscii(index2)); + end loop; + srecData := readNumber(srecWordAscii); + if memoryAddress < memoryLength then + memContent(memoryAddress) := std_ulogic_vector(to_unsigned(srecData, memoryWord'length)); + end if; + memoryAddress := memoryAddress + 1; + end loop; + end if; + end if; + end if; + end loop; + loadMemFromFile := false; + else + -- normal functionality + if falling_edge(writePulse) then + -- program a word + if currentState = WORD_PROGRAM_1 then + memoryAddress := to_integer(A(A'high downto 1)); +memoryAddressDebug <= to_unsigned(memoryAddress, memoryAddressDebug'length); + memContent(memoryAddress) := std_ulogic_vector(DQ); + -- erase a block + elsif currentState = BLOCK_ERASE_1 then + memoryAddress := to_integer(A and not(to_unsigned(blockLength-1, A'length))); + for index in 0 to blockLength-1 loop + if memoryAddress < memoryLength then + memContent(memoryAddress) := (others => '1'); + memoryAddress := memoryAddress + 1; + end if; + end loop; + end if; + end if; + -- update readout data + if A'event then + memoryAddress := to_integer(A(A'high downto 1)); +memoryAddressDebug <= to_unsigned(memoryAddress, memoryAddressDebug'length); + memoryDataWord <= memContent(memoryAddress) after T_R2; + end if; + end if; + end process; + + process(memoryDataWord, readPulse) + begin + if readPulse = '1' then + DQ <= std_logic_vector(memoryDataWord); + else + DQ <= (others => 'Z'); + end if; + end process; + + + +END ARCHITECTURE behav; + diff --git a/Libs/Memory_test/hdl/bram_tester_test.vhd b/Libs/Memory_test/hdl/bram_tester_test.vhd new file mode 100644 index 0000000..36c3016 --- /dev/null +++ b/Libs/Memory_test/hdl/bram_tester_test.vhd @@ -0,0 +1,83 @@ +ARCHITECTURE test OF bram_tester IS + + constant clockFrequencyA: real := 66.0E6; + constant clockFrequencyB: real := 20.0E6; + constant clockPeriodA: time := (1.0/clockFrequencyA) * 1 sec; + constant clockPeriodB: time := (1.0/clockFrequencyB) * 1 sec; + signal clockA_int: std_uLogic := '1'; + signal clockB_int: std_uLogic := '1'; + + signal addressA_int: natural; + signal dataA_int: integer; + + signal addressB_int: natural; + signal dataB_int: integer; + +BEGIN + ------------------------------------------------------------------------------ + -- clocks + clockA_int <= not clockA_int after clockPeriodA/2; + clockA <= transport clockA_int after clockPeriodA*9/10; + + clockB_int <= not clockB_int after clockPeriodB/2; + clockB <= transport clockB_int after clockPeriodB*9/10; + + ------------------------------------------------------------------------------ + -- test sequence + portA: process + begin + enA <= '0'; + writeEnA <= '0'; + addressA_int <= 0; + dataA_int <= 0; + -- read initial BRAM data + wait for 5*clockPeriodA; + addressA_int <= 40; + enA <= '1'; + wait for clockPeriodA; + enA <= '0'; + -- write data on port A + wait for 10*clockPeriodA; + addressA_int <= 10; + dataA_int <= 5; + enA <= '1'; + writeEnA <= '1'; + wait for clockPeriodA; + enA <= '0'; + writeEnA <= '0'; + + wait; + end process portA; + + addressA <= std_ulogic_vector(to_unsigned(addressA_int, addressA'length)); + dataInA <= std_ulogic_vector(to_signed(dataA_int, dataInA'length)); + + portB: process + begin + enB <= '0'; + writeEnB <= '0'; + addressB_int <= 0; + dataB_int <= 0; + -- write data on port B + wait for 10*clockPeriodB; + addressB_int <= 20; + dataB_int <= 10; + enB <= '1'; + writeEnB <= '1'; + wait for clockPeriodB; + enB <= '0'; + writeEnB <= '0'; + -- read data written on port A + wait for 2*clockPeriodB; + addressB_int <= 10; + enB <= '1'; + wait for clockPeriodB; + enB <= '0'; + + wait; + end process portB; + + addressB <= std_ulogic_vector(to_unsigned(addressB_int, addressB'length)); + dataInB <= std_ulogic_vector(to_signed(dataB_int, dataInB'length)); + +END ARCHITECTURE test; diff --git a/Libs/Memory_test/hdl/fifo_tester_test.vhd b/Libs/Memory_test/hdl/fifo_tester_test.vhd new file mode 100644 index 0000000..388de8a --- /dev/null +++ b/Libs/Memory_test/hdl/fifo_tester_test.vhd @@ -0,0 +1,339 @@ +--LIBRARY std; +-- USE std.textio.ALL; +LIBRARY COMMON_TEST; + USE COMMON_TEST.testUtils.all; + +ARCHITECTURE test OF fifo_tester IS + + constant clockFrequency: real := 66.0E6; + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal clock_int: std_ulogic := '1'; + + constant testInterval: time := 10*clockPeriod; + signal dataIn_int: integer; + signal read_int: std_ulogic; + signal dataOffset: integer; + + signal dataValid: std_ulogic; + signal dataRead: integer; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= '1', '0' after 2*clockPeriod; + + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9/10; + + ------------------------------------------------------------------------------ + -- test sequence + process + variable readIndex: integer; + begin + write <= '0'; + read_int <= '0'; + dataOffset <= -16#10#; + wait for 5*clockPeriod; + print( + lf & lf & lf & + "----------------------------------------------------------------" & lf & + "Starting testbench" & lf & + lf & lf + ); + + --.......................................................................... + -- full write / read after end of write + wait for testInterval; + print( + "At time " & sprintf("%9.3tu", now) & + ", full FIFO write direclty followed by full read" & + lf & lf + ); + -- write FIFO + dataOffset <= dataOffset + 16#10#; + wait until rising_edge(clock_int); + write <= '1'; + for index in 0 to fifoDepth-1 loop + dataIn_int <= dataOffset + index; + wait for clockPeriod; + end loop; + write <= '0'; + -- read FIFO + read_int <= '1'; + readIndex := 0; + while empty = '0' loop + assert unsigned(dataOut) = dataOffset + readIndex + report "FIFO readback error" + severity error; + readIndex := readIndex + 1; + wait until rising_edge(clock_int); + end loop; + read_int <= '0'; + + --.......................................................................... + -- full write / read after some time + wait for testInterval; + print( + "At time " & sprintf("%9.3tu", now) & + ", full FIFO write and delay before read" & + lf & lf + ); + -- write FIFO + dataOffset <= dataOffset + 16#10#; + wait until rising_edge(clock_int); + write <= '1'; + for index in 0 to fifoDepth-1 loop + dataIn_int <= dataOffset + index; + wait for clockPeriod; + end loop; + write <= '0'; + -- wait before read + wait for 4*clockPeriod; + -- read FIFO + read_int <= '1'; + readIndex := 0; + while empty = '0' loop + assert unsigned(dataOut) = dataOffset + readIndex + report "FIFO readback error" + severity error; + readIndex := readIndex + 1; + wait until rising_edge(clock_int); + end loop; + read_int <= '0'; + + --.......................................................................... + -- write / read direct + wait for testInterval; + print( + "At time " & sprintf("%9.3tu", now) & + ", full FIFO write with asynchronous direct read" & + lf & lf + ); + -- write FIFO + dataOffset <= dataOffset + 16#10#; + wait until rising_edge(clock_int); + write <= '1', '0' after 8*clockPeriod; + dataIn_int <= dataOffset + 16#00#, + dataOffset + 16#01# after 1*clockPeriod, + dataOffset + 16#02# after 2*clockPeriod, + dataOffset + 16#03# after 3*clockPeriod, + dataOffset + 16#04# after 4*clockPeriod, + dataOffset + 16#05# after 5*clockPeriod, + dataOffset + 16#06# after 6*clockPeriod, + dataOffset + 16#07# after 7*clockPeriod; + -- read FIFO + wait until empty = '0'; + read_int <= '1'; + readIndex := -1; + while empty = '0' loop + if readIndex >= 0 then + assert unsigned(dataOut) = dataOffset + readIndex + report "FIFO readback error" + severity error; + end if; + readIndex := readIndex + 1; + wait until rising_edge(clock_int); + end loop; + read_int <= '0'; + + --.......................................................................... + -- write / read direct with clock period delay + wait for testInterval; + print( + "At time " & sprintf("%9.3tu", now) & + ", full FIFO write with synchronous direct read" & + lf & lf + ); + -- write FIFO + dataOffset <= dataOffset + 16#10#; + wait until rising_edge(clock_int); + write <= '1', '0' after 8*clockPeriod; + dataIn_int <= dataOffset + 16#00#, + dataOffset + 16#01# after 1*clockPeriod, + dataOffset + 16#02# after 2*clockPeriod, + dataOffset + 16#03# after 3*clockPeriod, + dataOffset + 16#04# after 4*clockPeriod, + dataOffset + 16#05# after 5*clockPeriod, + dataOffset + 16#06# after 6*clockPeriod, + dataOffset + 16#07# after 7*clockPeriod; + -- read FIFO + wait until empty = '0'; + wait until rising_edge(clock_int); + read_int <= '1'; + readIndex := 0; + while empty = '0' loop + assert unsigned(dataOut) = dataOffset + readIndex + report "FIFO readback error" + severity error; + readIndex := readIndex + 1; + wait until rising_edge(clock_int); + end loop; + read_int <= '0'; + + --.......................................................................... + -- slow read sets FIFO full + wait for testInterval; + print( + "At time " & sprintf("%9.3tu", now) & + ", slow reading sets FIFO full and requires waiting before writing on" & + lf & lf + ); + -- prepare slow FIFO reading + wait until rising_edge(clock_int); + wait for 2*clockPeriod; + read_int <= '1' after 4*clockPeriod, + '0' after 5*clockPeriod, + '1' after 14*clockPeriod, + '0' after 15*clockPeriod, + '1' after 24*clockPeriod, + '0' after 25*clockPeriod, + '1' after 34*clockPeriod, + '0' after 35*clockPeriod, + '1' after 44*clockPeriod, + '0' after (45+2*fifoDepth-5)*clockPeriod; + -- write 2*FIFO depth + dataOffset <= dataOffset + 16#10#; + wait until rising_edge(clock_int); + for index in 0 to 2*fifoDepth-1 loop + dataIn_int <= dataOffset + index; + if full = '1' then + wait until full = '0'; + wait for clockPeriod/8; + end if; + write <= '1'; + wait until rising_edge(clock_int); + write <= '0'; + end loop; + + --.......................................................................... + -- write over full + wait for testInterval; + print( + "At time " & sprintf("%9.3tu", now) & + ", attempt to write after FIFO full" & + lf & lf + ); + -- write FIFO + dataOffset <= dataOffset + 16#10#; + wait until rising_edge(clock_int); + write <= '1'; + for index in 0 to fifoDepth+3 loop + dataIn_int <= dataOffset + index; + wait for clockPeriod; + end loop; + write <= '0'; + + --.......................................................................... + -- read FIFO once too much + print( + "At time " & sprintf("%9.3tu", now) & + ", attempt to read after FIFO empty" & + lf & lf + ); + read_int <= '1'; + wait for clockPeriod; + wait until empty = '1'; + wait for clockPeriod; + read_int <= '0'; + -- read when empty + wait until rising_edge(clock_int); + wait for 2*clockPeriod; + read_int <= '1'; + wait for 2*clockPeriod; + read_int <= '0'; + + --.......................................................................... + -- read constantly + wait for testInterval; + print( + "At time " & sprintf("%9.3tu", now) & + ", reading FIFO constantly (data valid when empty = '0')" & + lf & lf + ); + + dataOffset <= dataOffset + 16#10#; + wait until rising_edge(clock_int); + read_int <= '1'; + wait for 2*clockPeriod; + wait until rising_edge(clock_int); + readIndex := -1; + write <= '1'; + for index in 0 to fifoDepth-1 loop + if empty = '0' then + readIndex := readIndex + 1; + end if; + if (readIndex >= 0) and (empty = '0') then + assert unsigned(dataOut) = dataOffset + readIndex + report "FIFO readback error" + severity error; + end if; + dataIn_int <= dataOffset + index; + wait until rising_edge(clock_int); + end loop; + write <= '0'; + + wait until empty = '1'; + wait for 2*clockPeriod; + read_int <= '0'; + + --.......................................................................... + -- full write / read with breaks + wait for testInterval; + print( + "At time " & sprintf("%9.3tu", now) & + ", reading FIFO with breaks" & + lf & lf + ); + -- write FIFO + dataOffset <= dataOffset + 16#10#; + wait until rising_edge(clock_int); + write <= '1'; + for index in 0 to fifoDepth-1 loop + dataIn_int <= dataOffset + index; + wait for clockPeriod; + end loop; + write <= '0'; + -- wait before read + wait for 2*clockPeriod; + -- read FIFO + wait until rising_edge(clock_int); + readIndex := 0; + for index in 0 to fifoDepth/4-1 loop + read_int <= '1'; + for rdIndex in 1 to 2 loop + assert unsigned(dataOut) = dataOffset + readIndex + report "FIFO readback error" + severity error; + readIndex := readIndex + 1; + wait until rising_edge(clock_int); + end loop; + read_int <= '0'; + wait for 2*clockPeriod; + end loop; + read_int <= '1'; + while empty = '0' loop + assert unsigned(dataOut) = dataOffset + readIndex + report "FIFO readback error" + severity error; + readIndex := readIndex + 1; + wait until rising_edge(clock_int); + end loop; + read_int <= '0'; + --.......................................................................... + -- end of tests + wait for testInterval; + assert false + report "END SIMULATION" + severity failure; + wait; + end process; + + dataIn <= std_ulogic_vector(to_signed(dataIn_int, dataIn'length)); + read <= read_int; + + dataValid <= '1' when (read_int = '1') and (empty = '0') + else '0'; + dataRead <= to_integer(signed(dataOut)) when dataValid = '1' + else 0; + +END ARCHITECTURE test; diff --git a/Libs/Memory_test/hdl/flashController_tester_test.vhd b/Libs/Memory_test/hdl/flashController_tester_test.vhd new file mode 100644 index 0000000..77157e6 --- /dev/null +++ b/Libs/Memory_test/hdl/flashController_tester_test.vhd @@ -0,0 +1,88 @@ +LIBRARY BoardTester_test; + USE BoardTester_test.testUtils.all; + +ARCHITECTURE test OF flashController_tester IS + + constant clockFrequency: real := 66.0E6; + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal clock_int: std_uLogic := '1'; + + signal flashAddr_int: natural := 0; + signal flashDataOut_int: natural := 0; + + signal refreshEn: std_uLogic := '0'; + + constant separator : string(1 to 80) := (others => '-'); + constant indent : string(1 to 2) := (others => ' '); + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= '1', '0' after 2*clockPeriod; + + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9/10; + + ------------------------------------------------------------------------------ + -- flash access + process + begin + flashRd <= '0'; + flashWr <= '0'; + flashEn <= '1'; + wait for 1 us; + print(cr & separator); + -- erase block 0 + print(sprintf("%tu", now) & ": Erasing block 0"); + flashAddr_int <= 16#10000#; + flashDataOut_int <= 16#0020#; + flashWr <= '1', '0' after clockPeriod; + wait until falling_edge(flashDataValid); + wait for clockPeriod/10; + flashDataOut_int <= 16#00D0#; + flashWr <= '1', '0' after clockPeriod; + wait for 2 us; + -- program word + print(sprintf("%tu", now) & ": Writing data into Flash"); + flashAddr_int <= 16#0000#; + flashDataOut_int <= 16#0040#; + flashWr <= '1', '0' after clockPeriod; + wait until falling_edge(flashDataValid); + wait for clockPeriod/10; + flashAddr_int <= 16#0010#; + flashDataOut_int <= 16#CAFE#; + flashWr <= '1', '0' after clockPeriod; + wait for 2 us; + -- read word + print(sprintf("%tu", now) & ": Reading data from Flash"); + flashAddr_int <= 16#0000#; + flashRd <= '1', '0' after clockPeriod; + wait for 1 us; + -- read word + print(sprintf("%tu", now) & ": Reading data from Flash"); + flashAddr_int <= 16#000F#; + flashRd <= '1', '0' after clockPeriod; + wait for 500 ns; + flashAddr_int <= 16#0010#; + flashRd <= '1', '0' after clockPeriod; + wait for 500 ns; + flashAddr_int <= 16#0011#; + flashRd <= '1', '0' after clockPeriod; + wait for 500 ns; + + wait; + end process; + + ------------------------------------------------------------------------------ + -- address and data + flashAddr <= to_unsigned(flashAddr_int, flashAddr'length); + flashDataOut <= std_ulogic_vector(to_unsigned(flashDataOut_int, flashDataOut'length)); + + ------------------------------------------------------------------------------ + -- memory bus hold + refreshEn <= '1' after 15*clockPeriod when refreshEn = '0' + else '0' after clockPeriod; + memBusEn_n <= refreshEn; + +END ARCHITECTURE test; + diff --git a/Libs/Memory_test/hdl/flash_tester_test.vhd b/Libs/Memory_test/hdl/flash_tester_test.vhd new file mode 100644 index 0000000..023ea1a --- /dev/null +++ b/Libs/Memory_test/hdl/flash_tester_test.vhd @@ -0,0 +1,102 @@ +LIBRARY BoardTester_test; + USE BoardTester_test.testUtils.all; + +ARCHITECTURE test OF flash_tester IS + + constant T_W2: time := 0 ns; + constant T_W3: time := 70 ns; + constant T_W4: time := 50 ns; + constant T_W5: time := 55 ns; + constant T_W6: time := 10 ns; + constant T_R3: time := 120 ns; + + signal addr: natural; + signal data: integer; + + signal writeFlash: std_ulogic := '0'; + signal readFlash: std_ulogic := '0'; + + constant separator : string(1 to 80) := (others => '-'); + constant indent : string(1 to 2) := (others => ' '); + +BEGIN + + ------------------------------------------------------------------------------ + -- Test + ------------------------------------------------------------------------------ + process + begin + RP_n <= '1'; + wait for 1 us; + print(cr & separator); + -- erase block 0 + print(sprintf("%tu", now) & ": Erasing block 0"); + addr <= 16#10000#; + data <= 16#20#; + writeFlash <= '1', '0' after 1 ns; + wait for 100 ns; + data <= 16#D0#; + writeFlash <= '1', '0' after 1 ns; + wait for 2 us; + -- program word + print(sprintf("%tu", now) & ": Writing data into Flash"); + addr <= 16#0000#; + data <= 16#0040#; + writeFlash <= '1', '0' after 1 ns; + wait for 100 ns; + addr <= 16#0010#; + data <= 16#CAFE#; + writeFlash <= '1', '0' after 1 ns; + wait for 2 us; + -- read word + print(sprintf("%tu", now) & ": Reading data from Flash"); + addr <= 16#0000#; + readFlash <= '1', '0' after 1 ns; + wait for 500 ns; + -- read word + print(sprintf("%tu", now) & ": Reading data from Flash"); + addr <= 16#0010#; + readFlash <= '1', '0' after 1 ns; + wait for 500 ns; + + wait; + end process; + + ------------------------------------------------------------------------------ + -- Board connections + ------------------------------------------------------------------------------ + CE(2 downto 1) <= (others => '0'); + BYTE_n <= '1'; + + + ------------------------------------------------------------------------------ + -- Write access + ------------------------------------------------------------------------------ + process + begin + CE(0) <= '1'; + WE_N <= '1'; + OE_N <= '1'; + DQ <= (others => 'Z'); + wait on writeFlash, readFlash; + if rising_edge(writeFlash) then + A <= to_unsigned(addr, A'length) after T_W3 - T_W5; + DQ <= std_logic_vector(to_unsigned(data, DQ'length)) after T_W3 - T_W4; + CE(0) <= '0'; + wait for T_W2; + WE_N <= '0'; + wait for T_W3; + WE_N <= '1'; + wait for T_W6; +-- CE(0) <= '1'; + elsif rising_edge(readFlash) then + OE_N <= '0'; + A <= to_unsigned(addr, A'length); + CE(0) <= '0'; + wait for T_R3 + 10 ns; +-- CE(0) <= '1'; + end if; + end process; + +END ARCHITECTURE test; + diff --git a/Libs/Memory_test/hdl/mti_pkg_pkg.vhd b/Libs/Memory_test/hdl/mti_pkg_pkg.vhd new file mode 100644 index 0000000..a83599d --- /dev/null +++ b/Libs/Memory_test/hdl/mti_pkg_pkg.vhd @@ -0,0 +1,18 @@ +LIBRARY ieee; + USE ieee.std_logic_1164.ALL; + USE std.textio.all; + +PACKAGE mti_pkg IS + + FUNCTION To_StdLogic (s : BIT) RETURN STD_LOGIC; + FUNCTION TO_INTEGER (input : STD_LOGIC) RETURN INTEGER; + FUNCTION TO_INTEGER (input : BIT_VECTOR) RETURN INTEGER; + FUNCTION TO_INTEGER (input : STD_LOGIC_VECTOR) RETURN INTEGER; + FUNCTION TO_INTEGER (input : STD_ULOGIC_VECTOR) RETURN INTEGER; + PROCEDURE TO_BITVECTOR (VARIABLE input : IN INTEGER; VARIABLE output : OUT BIT_VECTOR); + + function conv_std_logic_vector(i : integer; w : integer) return std_logic_vector; + procedure hexread(L : inout line; value:out bit_vector); + procedure hexread(L : inout line; value:out std_logic_vector); + +END mti_pkg; diff --git a/Libs/Memory_test/hdl/mti_pkg_pkg_body.vhd b/Libs/Memory_test/hdl/mti_pkg_pkg_body.vhd new file mode 100644 index 0000000..422a4ab --- /dev/null +++ b/Libs/Memory_test/hdl/mti_pkg_pkg_body.vhd @@ -0,0 +1,234 @@ +LIBRARY ieee; + USE ieee.numeric_std.all; + +PACKAGE BODY mti_pkg IS + + -- Convert BIT to STD_LOGIC + FUNCTION To_StdLogic (s : BIT) RETURN STD_LOGIC IS + BEGIN + CASE s IS + WHEN '0' => RETURN ('0'); + WHEN '1' => RETURN ('1'); + WHEN OTHERS => RETURN ('0'); + END CASE; + END; + + -- Convert STD_LOGIC to INTEGER + FUNCTION TO_INTEGER (input : STD_LOGIC) RETURN INTEGER IS + VARIABLE result : INTEGER := 0; + VARIABLE weight : INTEGER := 1; + BEGIN + IF input = '1' THEN + result := weight; + ELSE + result := 0; -- if unknowns, default to logic 0 + END IF; + RETURN result; + END TO_INTEGER; + + -- Convert BIT_VECTOR to INTEGER + FUNCTION TO_INTEGER (input : BIT_VECTOR) RETURN INTEGER IS + VARIABLE result : INTEGER := 0; + VARIABLE weight : INTEGER := 1; + BEGIN + FOR i IN input'LOW TO input'HIGH LOOP + IF input(i) = '1' THEN + result := result + weight; + ELSE + result := result + 0; -- if unknowns, default to logic 0 + END IF; + weight := weight * 2; + END LOOP; + RETURN result; + END TO_INTEGER; + + -- Convert STD_LOGIC_VECTOR to INTEGER + FUNCTION TO_INTEGER (input : STD_LOGIC_VECTOR) RETURN INTEGER IS + VARIABLE result : INTEGER := 0; + VARIABLE weight : INTEGER := 1; + BEGIN + FOR i IN input'LOW TO input'HIGH LOOP + IF input(i) = '1' THEN + result := result + weight; + ELSE + result := result + 0; -- if unknowns, default to logic 0 + END IF; + weight := weight * 2; + END LOOP; + RETURN result; + END TO_INTEGER; + + -- Convert STD_ULOGIC_VECTOR to INTEGER + FUNCTION TO_INTEGER (input : STD_ULOGIC_VECTOR) RETURN INTEGER IS + VARIABLE result : INTEGER := 0; + VARIABLE weight : INTEGER := 1; + BEGIN + FOR i IN input'LOW TO input'HIGH LOOP + IF input(i) = '1' THEN + result := result + weight; + ELSE + result := result + 0; -- if unknowns, default to logic 0 + END IF; + weight := weight * 2; + END LOOP; + RETURN result; + END TO_INTEGER; + + -- Conver INTEGER to BIT_VECTOR + PROCEDURE TO_BITVECTOR (VARIABLE input : IN INTEGER; VARIABLE output : OUT BIT_VECTOR) IS + VARIABLE work,offset,outputlen,j : INTEGER := 0; + BEGIN + --length of vector + IF output'LENGTH > 32 THEN --' + outputlen := 32; + offset := output'LENGTH - 32; --' + IF input >= 0 THEN + FOR i IN offset-1 DOWNTO 0 LOOP + output(output'HIGH - i) := '0'; --' + END LOOP; + ELSE + FOR i IN offset-1 DOWNTO 0 LOOP + output(output'HIGH - i) := '1'; --' + END LOOP; + END IF; + ELSE + outputlen := output'LENGTH; --' + END IF; + --positive value + IF (input >= 0) THEN + work := input; + j := outputlen - 1; + FOR i IN 1 to 32 LOOP + IF j >= 0 then + IF (work MOD 2) = 0 THEN + output(output'HIGH-j-offset) := '0'; --' + ELSE + output(output'HIGH-j-offset) := '1'; --' + END IF; + END IF; + work := work / 2; + j := j - 1; + END LOOP; + IF outputlen = 32 THEN + output(output'HIGH) := '0'; --' + END IF; + --negative value + ELSE + work := (-input) - 1; + j := outputlen - 1; + FOR i IN 1 TO 32 LOOP + IF j>= 0 THEN + IF (work MOD 2) = 0 THEN + output(output'HIGH-j-offset) := '1'; --' + ELSE + output(output'HIGH-j-offset) := '0'; --' + END IF; + END IF; + work := work / 2; + j := j - 1; + END LOOP; + IF outputlen = 32 THEN + output(output'HIGH) := '1'; --' + END IF; + END IF; + END TO_BITVECTOR; + + + function conv_std_logic_vector(i : integer; w : integer) return std_logic_vector is + variable tmp : std_logic_vector(w-1 downto 0); + begin + tmp := std_logic_vector(to_unsigned(i, w)); + return(tmp); + end; + + procedure char2hex(C: character; result: out bit_vector(3 downto 0); + good: out boolean; report_error: in boolean) is + begin + good := true; + case C is + when '0' => result := x"0"; + when '1' => result := x"1"; + when '2' => result := X"2"; + when '3' => result := X"3"; + when '4' => result := X"4"; + when '5' => result := X"5"; + when '6' => result := X"6"; + when '7' => result := X"7"; + when '8' => result := X"8"; + when '9' => result := X"9"; + when 'A' => result := X"A"; + when 'B' => result := X"B"; + when 'C' => result := X"C"; + when 'D' => result := X"D"; + when 'E' => result := X"E"; + when 'F' => result := X"F"; + + when 'a' => result := X"A"; + when 'b' => result := X"B"; + when 'c' => result := X"C"; + when 'd' => result := X"D"; + when 'e' => result := X"E"; + when 'f' => result := X"F"; + when others => + if report_error then + assert false report + "hexread error: read a '" & C & "', expected a hex character (0-F)."; + end if; + good := false; + end case; + end; + + procedure hexread(L:inout line; value:out bit_vector) is + variable OK: boolean; + variable C: character; + constant NE: integer := value'length/4; --' + variable BV: bit_vector(0 to value'length-1); --' + variable S: string(1 to NE-1); + begin + if value'length mod 4 /= 0 then --' + assert false report + "hexread Error: Trying to read vector " & + "with an odd (non multiple of 4) length"; + return; + end if; + + loop -- skip white space + read(L,C); + exit when ((C /= ' ') and (C /= CR) and (C /= HT)); + end loop; + + char2hex(C, BV(0 to 3), OK, false); + if not OK then + return; + end if; + + read(L, S, OK); +-- if not OK then +-- assert false report "hexread Error: Failed to read the STRING"; +-- return; +-- end if; + + for I in 1 to NE-1 loop + char2hex(S(I), BV(4*I to 4*I+3), OK, false); + if not OK then + return; + end if; + end loop; + value := BV; + end hexread; + + procedure hexread(L:inout line; value:out std_ulogic_vector) is + variable tmp: bit_vector(value'length-1 downto 0); --' + begin + hexread(L, tmp); + value := TO_X01(tmp); + end hexread; + + procedure hexread(L:inout line; value:out std_logic_vector) is + variable tmp: std_ulogic_vector(value'length-1 downto 0); --' + begin + hexread(L, tmp); + value := std_logic_vector(tmp); + end hexread; + +END mti_pkg; diff --git a/Libs/Memory_test/hdl/sdramController_tester_test.vhd b/Libs/Memory_test/hdl/sdramController_tester_test.vhd new file mode 100644 index 0000000..317fb6d --- /dev/null +++ b/Libs/Memory_test/hdl/sdramController_tester_test.vhd @@ -0,0 +1,82 @@ +ARCHITECTURE test OF sdramController_tester IS + + constant clockFrequency: real := 66.0E6; + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal clock_int: std_uLogic := '1'; + + signal ramAddr_int: natural := 0; + signal ramDataOut_int: natural := 0; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= '1', '0' after 2*clockPeriod; + + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9/10; + + ------------------------------------------------------------------------------ + -- test sequence + process + begin + ramRd <= '0'; + ramWr <= '0'; + ramEn <= '1'; + -- wait for SDRAM ready + wait for 154.3 us - now; + -- write AAAA at address 000010 + ramAddr_int <= 16#000010#; + ramDataOut_int <= 16#AAAA#; + ramWr <= '1', '0' after clockPeriod; + -- wait for SDRAM ready + wait for 164.5 us - now; + -- write AAAA at address 000011 + ramAddr_int <= 16#000011#; + ramDataOut_int <= 16#BBBD#; + ramWr <= '1', '0' after clockPeriod; + -- wait for SDRAM ready + wait for 196.1 us - now; + -- read back from address 000010 + ramAddr_int <= 16#000010#; + ramRd <= '1', '0' after clockPeriod; +-- -- wait for SDRAM ready +-- wait for 130 us; +-- -- write AAAA at address 000010 +-- ramAddr_int <= 16#000010#; +-- ramDataOut_int <= 16#AAAA#; +-- ramWr <= '1', '0' after clockPeriod; +-- -- read back from same address +-- wait for 10*clockPeriod; +-- ramRd <= '1', '0' after clockPeriod; +-- -- wait for a refresh +-- wait for 140.3 us - now; +-- -- write 5555 at address 600010 +-- ramAddr_int <= 16#600020#; +-- ramDataOut_int <= 16#5555#; +-- ramWr <= '1', '0' after clockPeriod; +-- -- read back from same address +-- wait for 1*clockPeriod; +-- ramRd <= '1', '0' after clockPeriod; +-- -- read back from address 600010 +-- addr_from_up_int <= 16#600010#; +-- mem_read <= '1', '0' after clockPeriod; +-- wait for 10*clockPeriod; +-- -- wait for 3 refresh periods +-- wait until falling_edge(dram_busy); +-- wait until falling_edge(dram_busy); +-- wait until falling_edge(dram_busy); +-- -- read back from address 000010 +-- addr_from_up_int <= 16#000010#; +-- mem_read <= '1', '0' after clockPeriod; +-- wait for 10*clockPeriod; + -- end of tests + wait; + end process; + + ------------------------------------------------------------------------------ + -- address and data + ramAddr <= to_unsigned(ramAddr_int, ramAddr'length); + ramDataOut <= std_ulogic_vector(to_unsigned(ramDataOut_int, ramDataOut'length)); + +END ARCHITECTURE test; + diff --git a/Libs/Memory_test/hdl/sdram_mt48lc16m16a2_sim.vhd b/Libs/Memory_test/hdl/sdram_mt48lc16m16a2_sim.vhd new file mode 100644 index 0000000..a31c3fd --- /dev/null +++ b/Libs/Memory_test/hdl/sdram_mt48lc16m16a2_sim.vhd @@ -0,0 +1,1363 @@ +----------------------------------------------------------------------------------------- +-- +-- File Name: MT48LC16M16A2.VHD +-- Version: 0.0g +-- Date: June 29th, 2000 +-- Model: Behavioral +-- Simulator: Model Technology (PC version 5.3 PE) +-- +-- Dependencies: None +-- +-- Author: Son P. Huynh +-- Email: sphuynh@micron.com +-- Phone: (208) 368-3825 +-- Company: Micron Technology, Inc. +-- Part Number: MT48LC16M16A2 (4Mb x 16 x 4 Banks) +-- +-- Description: Micron 256Mb SDRAM +-- +-- Limitation: - Doesn't check for 4096-cycle refresh --' +-- +-- Note: - Set simulator resolution to "ps" accuracy +-- +-- Disclaimer: THESE DESIGNS ARE PROVIDED "AS IS" WITH NO WARRANTY +-- WHATSOEVER AND MICRON SPECIFICALLY DISCLAIMS ANY +-- IMPLIED WARRANTIES OF MERCHANTABILITY, FITNESS FOR +-- A PARTICULAR PURPOSE, OR AGAINST INFRINGEMENT. +-- +-- Copyright (c) 1998 Micron Semiconductor Products, Inc. +-- All rights researved +-- +-- Rev Author Phone Date Changes +-- ---- ---------------------------- ---------- ------------------------------------- +-- 0.0g Son Huynh 208-368-3825 06/29/2000 Add Load/Dump memory array +-- Micron Technology Inc. Modify tWR + tRAS timing check +-- +-- 0.0f Son Huynh 208-368-3825 07/08/1999 Fix tWR = 1 Clk + 7.5 ns (Auto) +-- Micron Technology Inc. Fix tWR = 15 ns (Manual) +-- Fix tRP (Autoprecharge to AutoRefresh) +-- +-- 0.0c Son P. Huynh 208-368-3825 04/08/1999 Fix tWR + tRP in Write with AP +-- Micron Technology Inc. Fix tRC check in Load Mode Register +-- +-- 0.0b Son P. Huynh 208-368-3825 01/06/1998 Derive from 64Mb SDRAM model +-- Micron Technology Inc. +-- +----------------------------------------------------------------------------------------- + +--LIBRARY STD; +-- use std.textio.all; +--LIBRARY IEEE; +-- USE IEEE.STD_LOGIC_1164.ALL; +--LIBRARY WORK; +-- USE WORK.MTI_PKG.ALL; +LIBRARY memory_test; + USE memory_test.mti_pkg.all; + +--library grlib; +--use grlib.stdlib.all; +--library gaisler; +--use gaisler.sim.all; + +--ENTITY mt48lc16m16a2 IS +-- GENERIC ( +-- -- Timing Parameters for -75 (PC133) and CAS Latency = 2 +-- tAC : TIME := 6.0 ns; +-- tHZ : TIME := 7.0 ns; +-- tOH : TIME := 2.7 ns; +-- tMRD : INTEGER := 2; -- 2 Clk Cycles +-- tRAS : TIME := 44.0 ns; +-- tRC : TIME := 66.0 ns; +-- tRCD : TIME := 20.0 ns; +-- tRP : TIME := 20.0 ns; +-- tRRD : TIME := 15.0 ns; +-- tWRa : TIME := 7.5 ns; -- A2 Version - Auto precharge mode only (1 Clk + 7.5 ns) +-- tWRp : TIME := 15.0 ns; -- A2 Version - Precharge mode only (15 ns) +-- +-- tAH : TIME := 0.8 ns; +-- tAS : TIME := 1.5 ns; +-- tCH : TIME := 2.5 ns; +-- tCL : TIME := 2.5 ns; +-- tCK : TIME := 10.0 ns; +-- tDH : TIME := 0.8 ns; +-- tDS : TIME := 1.5 ns; +-- tCKH : TIME := 0.8 ns; +-- tCKS : TIME := 1.5 ns; +-- tCMH : TIME := 0.8 ns; +-- tCMS : TIME := 1.5 ns; +-- +-- addr_bits : INTEGER := 13; +-- data_bits : INTEGER := 16; +-- col_bits : INTEGER := 9; +-- index : INTEGER := 0; +-- fname : string := "sdram.srec" -- File to read from +-- ); +-- PORT ( +-- Dq : INOUT STD_LOGIC_VECTOR (data_bits - 1 DOWNTO 0) := (OTHERS => 'Z'); +-- Addr : IN STD_LOGIC_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0'); +-- Ba : IN STD_LOGIC_VECTOR := "00"; +-- Clk : IN STD_LOGIC := '0'; +-- Cke : IN STD_LOGIC := '1'; +-- Cs_n : IN STD_LOGIC := '1'; +-- Ras_n : IN STD_LOGIC := '1'; +-- Cas_n : IN STD_LOGIC := '1'; +-- We_n : IN STD_LOGIC := '1'; +-- Dqm : IN STD_LOGIC_VECTOR (1 DOWNTO 0) := "00" +-- ); +--END mt48lc16m16a2; + +ARCHITECTURE sim OF sdram_mt48lc16m16a2 IS + + TYPE State IS (ACT, A_REF, BST, LMR, NOP, PRECH, READ, READ_A, WRITE, WRITE_A, LOAD_FILE, DUMP_FILE); + TYPE Array4xI IS ARRAY (3 DOWNTO 0) OF INTEGER; + TYPE Array4xT IS ARRAY (3 DOWNTO 0) OF TIME; + TYPE Array4xB IS ARRAY (3 DOWNTO 0) OF BIT; + TYPE Array4x2BV IS ARRAY (3 DOWNTO 0) OF BIT_VECTOR (1 DOWNTO 0); + TYPE Array4xCBV IS ARRAY (4 DOWNTO 0) OF BIT_VECTOR (Col_bits - 1 DOWNTO 0); + TYPE Array_state IS ARRAY (4 DOWNTO 0) OF State; + SIGNAL Operation : State := NOP; + SIGNAL Mode_reg : BIT_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0'); + SIGNAL Active_enable, Aref_enable, Burst_term : BIT := '0'; + SIGNAL Mode_reg_enable, Prech_enable, Read_enable, Write_enable : BIT := '0'; + SIGNAL Burst_length_1, Burst_length_2, Burst_length_4, Burst_length_8 : BIT := '0'; + SIGNAL Cas_latency_2, Cas_latency_3 : BIT := '0'; + SIGNAL Ras_in, Cas_in, We_in : BIT := '0'; + SIGNAL Write_burst_mode : BIT := '0'; + SIGNAL RAS_clk, Sys_clk, CkeZ : BIT := '0'; + + -- Checking internal wires + SIGNAL Pre_chk : BIT_VECTOR (3 DOWNTO 0) := "0000"; + SIGNAL Act_chk : BIT_VECTOR (3 DOWNTO 0) := "0000"; + SIGNAL Dq_in_chk, Dq_out_chk : BIT := '0'; + SIGNAL Bank_chk : BIT_VECTOR (1 DOWNTO 0) := "00"; + SIGNAL Row_chk : BIT_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0'); + SIGNAL Col_chk : BIT_VECTOR (col_bits - 1 DOWNTO 0) := (OTHERS => '0'); + +BEGIN + -- CS# Decode + WITH Cs_n SELECT + Cas_in <= TO_BIT (Cas_n, '1') WHEN '0', + '1' WHEN '1', + '1' WHEN OTHERS; + WITH Cs_n SELECT + Ras_in <= TO_BIT (Ras_n, '1') WHEN '0', + '1' WHEN '1', + '1' WHEN OTHERS; + WITH Cs_n SELECT + We_in <= TO_BIT (We_n, '1') WHEN '0', + '1' WHEN '1', + '1' WHEN OTHERS; + + -- Commands Decode + Active_enable <= NOT(Ras_in) AND Cas_in AND We_in; + Aref_enable <= NOT(Ras_in) AND NOT(Cas_in) AND We_in; + Burst_term <= Ras_in AND Cas_in AND NOT(We_in); + Mode_reg_enable <= NOT(Ras_in) AND NOT(Cas_in) AND NOT(We_in); + Prech_enable <= NOT(Ras_in) AND Cas_in AND NOT(We_in); + Read_enable <= Ras_in AND NOT(Cas_in) AND We_in; + Write_enable <= Ras_in AND NOT(Cas_in) AND NOT(We_in); + + -- Burst Length Decode + Burst_length_1 <= NOT(Mode_reg(2)) AND NOT(Mode_reg(1)) AND NOT(Mode_reg(0)); + Burst_length_2 <= NOT(Mode_reg(2)) AND NOT(Mode_reg(1)) AND Mode_reg(0); + Burst_length_4 <= NOT(Mode_reg(2)) AND Mode_reg(1) AND NOT(Mode_reg(0)); + Burst_length_8 <= NOT(Mode_reg(2)) AND Mode_reg(1) AND Mode_reg(0); + + -- CAS Latency Decode + Cas_latency_2 <= NOT(Mode_reg(6)) AND Mode_reg(5) AND NOT(Mode_reg(4)); + Cas_latency_3 <= NOT(Mode_reg(6)) AND Mode_reg(5) AND Mode_reg(4); + + -- Write Burst Mode + Write_burst_mode <= Mode_reg(9); + + -- RAS Clock for checking tWR and tRP + PROCESS + variable Clk0, Clk1 : integer := 0; + begin + RAS_clk <= '1'; + wait for 0.5 ns; + RAS_clk <= '0'; + wait for 0.5 ns; + if Clk0 > 100 or Clk1 > 100 then + wait; + else + if Clk = '1' and Cke = '1' then + Clk0 := 0; + Clk1 := Clk1 + 1; + elsif Clk = '0' and Cke = '1' then + Clk0 := Clk0 + 1; + Clk1 := 0; + end if; + end if; + END PROCESS; + + -- System Clock + int_clk : PROCESS (Clk) + begin + IF Clk'LAST_VALUE = '0' AND Clk = '1' THEN --' + CkeZ <= TO_BIT(Cke, '1'); + END IF; + Sys_clk <= CkeZ AND TO_BIT(Clk, '0'); + END PROCESS; + + state_register : PROCESS + -- NOTE: The extra bits in RAM_TYPE is for checking memory access. A logic 1 means + -- the location is in use. This will be checked when doing memory DUMP. + TYPE ram_type IS ARRAY (2**col_bits - 1 DOWNTO 0) OF BIT_VECTOR (data_bits DOWNTO 0); + TYPE ram_pntr IS ACCESS ram_type; + TYPE ram_stor IS ARRAY (2**addr_bits - 1 DOWNTO 0) OF ram_pntr; + VARIABLE Bank0 : ram_stor; + VARIABLE Bank1 : ram_stor; + VARIABLE Bank2 : ram_stor; + VARIABLE Bank3 : ram_stor; + VARIABLE Row_index, Col_index : INTEGER := 0; + VARIABLE Dq_temp : BIT_VECTOR (data_bits DOWNTO 0) := (OTHERS => '0'); + + VARIABLE Col_addr : Array4xCBV; + VARIABLE Bank_addr : Array4x2BV; + VARIABLE Dqm_reg0, Dqm_reg1 : BIT_VECTOR (1 DOWNTO 0) := "00"; + + VARIABLE Bank, Previous_bank : BIT_VECTOR (1 DOWNTO 0) := "00"; + VARIABLE B0_row_addr, B1_row_addr, B2_row_addr, B3_row_addr : BIT_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0'); + VARIABLE Col_brst : BIT_VECTOR (col_bits - 1 DOWNTO 0) := (OTHERS => '0'); + VARIABLE Row : BIT_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0'); + VARIABLE Col : BIT_VECTOR (col_bits - 1 DOWNTO 0) := (OTHERS => '0'); + VARIABLE Burst_counter : INTEGER := 0; + + VARIABLE Command : Array_state; + VARIABLE Bank_precharge : Array4x2BV; + VARIABLE A10_precharge : Array4xB := ('0' & '0' & '0' & '0'); + VARIABLE Auto_precharge : Array4xB := ('0' & '0' & '0' & '0'); + VARIABLE Read_precharge : Array4xB := ('0' & '0' & '0' & '0'); + VARIABLE Write_precharge : Array4xB := ('0' & '0' & '0' & '0'); + VARIABLE RW_interrupt_read : Array4xB := ('0' & '0' & '0' & '0'); + VARIABLE RW_interrupt_write : Array4xB := ('0' & '0' & '0' & '0'); + VARIABLE RW_interrupt_bank : BIT_VECTOR (1 DOWNTO 0) := "00"; + VARIABLE Count_time : Array4xT := (0 ns & 0 ns & 0 ns & 0 ns); + VARIABLE Count_precharge : Array4xI := (0 & 0 & 0 & 0); + + VARIABLE Data_in_enable, Data_out_enable : BIT := '0'; + VARIABLE Pc_b0, Pc_b1, Pc_b2, Pc_b3 : BIT := '0'; + VARIABLE Act_b0, Act_b1, Act_b2, Act_b3 : BIT := '0'; + + -- Timing Check + VARIABLE MRD_chk : INTEGER := 0; + VARIABLE WR_counter : Array4xI := (0 & 0 & 0 & 0); + VARIABLE WR_time : Array4xT := (0 ns & 0 ns & 0 ns & 0 ns); + VARIABLE WR_chkp : Array4xT := (0 ns & 0 ns & 0 ns & 0 ns); + VARIABLE RC_chk, RRD_chk : TIME := 0 ns; + VARIABLE RAS_chk0, RAS_chk1, RAS_chk2, RAS_chk3 : TIME := 0 ns; + VARIABLE RCD_chk0, RCD_chk1, RCD_chk2, RCD_chk3 : TIME := 0 ns; + VARIABLE RP_chk0, RP_chk1, RP_chk2, RP_chk3 : TIME := 0 ns; + + -- Load and Dumb variables + FILE file_load : TEXT open read_mode is fname; -- Data load + FILE file_dump : TEXT open write_mode is "dumpdata.txt"; -- Data dump + VARIABLE bank_load : bit_vector ( 1 DOWNTO 0); + VARIABLE rows_load : BIT_VECTOR (12 DOWNTO 0); + VARIABLE cols_load : BIT_VECTOR ( 8 DOWNTO 0); + VARIABLE data_load : BIT_VECTOR (15 DOWNTO 0); + VARIABLE i, j : INTEGER; + VARIABLE good_load : BOOLEAN; + VARIABLE l : LINE; + variable load : std_logic := '1'; + variable dump : std_logic := '0'; + variable ch : character; + variable rectype : bit_vector(3 downto 0); + variable recaddr : bit_vector(31 downto 0); + variable reclen : bit_vector(7 downto 0); + variable recdata : bit_vector(0 to 16*8-1); + + -- Initialize empty rows + PROCEDURE Init_mem (Bank : bit_vector (1 DOWNTO 0); Row_index : INTEGER) IS + VARIABLE i, j : INTEGER := 0; + BEGIN + IF Bank = "00" THEN + IF Bank0 (Row_index) = NULL THEN -- Check to see if row empty + Bank0 (Row_index) := NEW ram_type; -- Open new row for access + FOR i IN (2**col_bits - 1) DOWNTO 0 LOOP -- Filled row with zeros + FOR j IN (data_bits) DOWNTO 0 LOOP + Bank0 (Row_index) (i) (j) := '0'; + END LOOP; + END LOOP; + END IF; + ELSIF Bank = "01" THEN + IF Bank1 (Row_index) = NULL THEN + Bank1 (Row_index) := NEW ram_type; + FOR i IN (2**col_bits - 1) DOWNTO 0 LOOP + FOR j IN (data_bits) DOWNTO 0 LOOP + Bank1 (Row_index) (i) (j) := '0'; + END LOOP; + END LOOP; + END IF; + ELSIF Bank = "10" THEN + IF Bank2 (Row_index) = NULL THEN + Bank2 (Row_index) := NEW ram_type; + FOR i IN (2**col_bits - 1) DOWNTO 0 LOOP + FOR j IN (data_bits) DOWNTO 0 LOOP + Bank2 (Row_index) (i) (j) := '0'; + END LOOP; + END LOOP; + END IF; + ELSIF Bank = "11" THEN + IF Bank3 (Row_index) = NULL THEN + Bank3 (Row_index) := NEW ram_type; + FOR i IN (2**col_bits - 1) DOWNTO 0 LOOP + FOR j IN (data_bits) DOWNTO 0 LOOP + Bank3 (Row_index) (i) (j) := '0'; + END LOOP; + END LOOP; + END IF; + END IF; + END; + + -- Burst Counter + PROCEDURE Burst_decode IS + VARIABLE Col_int : INTEGER := 0; + VARIABLE Col_vec, Col_temp : BIT_VECTOR (col_bits - 1 DOWNTO 0) := (OTHERS => '0'); + BEGIN + -- Advance Burst Counter + Burst_counter := Burst_counter + 1; + + -- Burst Type + IF Mode_reg (3) = '0' THEN + Col_int := TO_INTEGER(Col); + Col_int := Col_int + 1; + TO_BITVECTOR (Col_int, Col_temp); + ELSIF Mode_reg (3) = '1' THEN + TO_BITVECTOR (Burst_counter, Col_vec); + Col_temp (2) := Col_vec (2) XOR Col_brst (2); + Col_temp (1) := Col_vec (1) XOR Col_brst (1); + Col_temp (0) := Col_vec (0) XOR Col_brst (0); + END IF; + + -- Burst Length + IF Burst_length_2 = '1' THEN + Col (0) := Col_temp (0); + ELSIF Burst_length_4 = '1' THEN + Col (1 DOWNTO 0) := Col_temp (1 DOWNTO 0); + ELSIF Burst_length_8 = '1' THEN + Col (2 DOWNTO 0) := Col_temp (2 DOWNTO 0); + ELSE + Col := Col_temp; + END IF; + + -- Burst Read Single Write + IF Write_burst_mode = '1' AND Data_in_enable = '1' THEN + Data_in_enable := '0'; + END IF; + + -- Data counter + IF Burst_length_1 = '1' THEN + IF Burst_counter >= 1 THEN + IF Data_in_enable = '1' THEN + Data_in_enable := '0'; + ELSIF Data_out_enable = '1' THEN + Data_out_enable := '0'; + END IF; + END IF; + ELSIF Burst_length_2 = '1' THEN + IF Burst_counter >= 2 THEN + IF Data_in_enable = '1' THEN + Data_in_enable := '0'; + ELSIF Data_out_enable = '1' THEN + Data_out_enable := '0'; + END IF; + END IF; + ELSIF Burst_length_4 = '1' THEN + IF Burst_counter >= 4 THEN + IF Data_in_enable = '1' THEN + Data_in_enable := '0'; + ELSIF Data_out_enable = '1' THEN + Data_out_enable := '0'; + END IF; + END IF; + ELSIF Burst_length_8 = '1' THEN + IF Burst_counter >= 8 THEN + IF Data_in_enable = '1' THEN + Data_in_enable := '0'; + ELSIF Data_out_enable = '1' THEN + Data_out_enable := '0'; + END IF; + END IF; + END IF; + END; + + BEGIN + WAIT ON Sys_clk, RAS_clk; + IF Sys_clk'event AND Sys_clk = '1' AND Load = '0' AND Dump = '0' THEN --' + -- Internal Command Pipeline + Command(0) := Command(1); + Command(1) := Command(2); + Command(2) := Command(3); + Command(3) := NOP; + + Col_addr(0) := Col_addr(1); + Col_addr(1) := Col_addr(2); + Col_addr(2) := Col_addr(3); + Col_addr(3) := (OTHERS => '0'); + + Bank_addr(0) := Bank_addr(1); + Bank_addr(1) := Bank_addr(2); + Bank_addr(2) := Bank_addr(3); + Bank_addr(3) := "00"; + + Bank_precharge(0) := Bank_precharge(1); + Bank_precharge(1) := Bank_precharge(2); + Bank_precharge(2) := Bank_precharge(3); + Bank_precharge(3) := "00"; + + A10_precharge(0) := A10_precharge(1); + A10_precharge(1) := A10_precharge(2); + A10_precharge(2) := A10_precharge(3); + A10_precharge(3) := '0'; + + -- Operation Decode (Optional for showing current command on posedge clock / debug feature) + IF Active_enable = '1' THEN + Operation <= ACT; + ELSIF Aref_enable = '1' THEN + Operation <= A_REF; + ELSIF Burst_term = '1' THEN + Operation <= BST; + ELSIF Mode_reg_enable = '1' THEN + Operation <= LMR; + ELSIF Prech_enable = '1' THEN + Operation <= PRECH; + ELSIF Read_enable = '1' THEN + IF Addr(10) = '0' THEN + Operation <= READ; + ELSE + Operation <= READ_A; + END IF; + ELSIF Write_enable = '1' THEN + IF Addr(10) = '0' THEN + Operation <= WRITE; + ELSE + Operation <= WRITE_A; + END IF; + ELSE + Operation <= NOP; + END IF; + + -- Dqm pipeline for Read + Dqm_reg0 := Dqm_reg1; + Dqm_reg1 := TO_BITVECTOR(Dqm); + + -- Read or Write with Auto Precharge Counter + IF Auto_precharge (0) = '1' THEN + Count_precharge (0) := Count_precharge (0) + 1; + END IF; + IF Auto_precharge (1) = '1' THEN + Count_precharge (1) := Count_precharge (1) + 1; + END IF; + IF Auto_precharge (2) = '1' THEN + Count_precharge (2) := Count_precharge (2) + 1; + END IF; + IF Auto_precharge (3) = '1' THEN + Count_precharge (3) := Count_precharge (3) + 1; + END IF; + + -- Auto Precharge Timer for tWR + if (Burst_length_1 = '1' OR Write_burst_mode = '1') then + if (Count_precharge(0) = 1) then + Count_time(0) := NOW; + end if; + if (Count_precharge(1) = 1) then + Count_time(1) := NOW; + end if; + if (Count_precharge(2) = 1) then + Count_time(2) := NOW; + end if; + if (Count_precharge(3) = 1) then + Count_time(3) := NOW; + end if; + elsif (Burst_length_2 = '1') then + if (Count_precharge(0) = 2) then + Count_time(0) := NOW; + end if; + if (Count_precharge(1) = 2) then + Count_time(1) := NOW; + end if; + if (Count_precharge(2) = 2) then + Count_time(2) := NOW; + end if; + if (Count_precharge(3) = 2) then + Count_time(3) := NOW; + end if; + elsif (Burst_length_4 = '1') then + if (Count_precharge(0) = 4) then + Count_time(0) := NOW; + end if; + if (Count_precharge(1) = 4) then + Count_time(1) := NOW; + end if; + if (Count_precharge(2) = 4) then + Count_time(2) := NOW; + end if; + if (Count_precharge(3) = 4) then + Count_time(3) := NOW; + end if; + elsif (Burst_length_8 = '1') then + if (Count_precharge(0) = 8) then + Count_time(0) := NOW; + end if; + if (Count_precharge(1) = 8) then + Count_time(1) := NOW; + end if; + if (Count_precharge(2) = 8) then + Count_time(2) := NOW; + end if; + if (Count_precharge(3) = 8) then + Count_time(3) := NOW; + end if; + end if; + + -- tMRD Counter + MRD_chk := MRD_chk + 1; + + -- tWR Counter + WR_counter(0) := WR_counter(0) + 1; + WR_counter(1) := WR_counter(1) + 1; + WR_counter(2) := WR_counter(2) + 1; + WR_counter(3) := WR_counter(3) + 1; + + + -- Auto Refresh + IF Aref_enable = '1' THEN + -- Auto Refresh to Auto Refresh + ASSERT (NOW - RC_chk >= tRC) + REPORT "tRC violation during Auto Refresh" + SEVERITY WARNING; + -- Precharge to Auto Refresh + ASSERT (NOW - RP_chk0 >= tRP OR NOW - RP_chk1 >= tRP OR NOW - RP_chk2 >= tRP OR NOW - RP_chk3 >= tRP) + REPORT "tRP violation during Auto Refresh" + SEVERITY WARNING; + -- All banks must be idle before refresh + IF (Pc_b3 ='0' OR Pc_b2 = '0' OR Pc_b1 ='0' OR Pc_b0 = '0') THEN + ASSERT (FALSE) + REPORT "All banks must be Precharge before Auto Refresh" + SEVERITY WARNING; + END IF; + -- Record current tRC time + RC_chk := NOW; + END IF; + + -- Load Mode Register + IF Mode_reg_enable = '1' THEN + Mode_reg <= TO_BITVECTOR (Addr); + IF (Pc_b3 ='0' OR Pc_b2 = '0' OR Pc_b1 ='0' OR Pc_b0 = '0') THEN + ASSERT (FALSE) + REPORT "All bank must be Precharge before Load Mode Register" + SEVERITY WARNING; + END IF; + -- REF to LMR + ASSERT (NOW - RC_chk >= tRC) + REPORT "tRC violation during Load Mode Register" + SEVERITY WARNING; + -- LMR to LMR + ASSERT (MRD_chk >= tMRD) + REPORT "tMRD violation during Load Mode Register" + SEVERITY WARNING; + -- Record current tMRD time + MRD_chk := 0; + END IF; + + -- Active Block (latch Bank and Row Address) + IF Active_enable = '1' THEN + IF Ba = "00" AND Pc_b0 = '1' THEN + Act_b0 := '1'; + Pc_b0 := '0'; + B0_row_addr := TO_BITVECTOR (Addr); + RCD_chk0 := NOW; + RAS_chk0 := NOW; + -- Precharge to Active Bank 0 + ASSERT (NOW - RP_chk0 >= tRP) + REPORT "tRP violation during Activate Bank 0" + SEVERITY WARNING; + ELSIF Ba = "01" AND Pc_b1 = '1' THEN + Act_b1 := '1'; + Pc_b1 := '0'; + B1_row_addr := TO_BITVECTOR (Addr); + RCD_chk1 := NOW; + RAS_chk1 := NOW; + -- Precharge to Active Bank 1 + ASSERT (NOW - RP_chk1 >= tRP) + REPORT "tRP violation during Activate Bank 1" + SEVERITY WARNING; + ELSIF Ba = "10" AND Pc_b2 = '1' THEN + Act_b2 := '1'; + Pc_b2 := '0'; + B2_row_addr := TO_BITVECTOR (Addr); + RCD_chk2 := NOW; + RAS_chk2 := NOW; + -- Precharge to Active Bank 2 + ASSERT (NOW - RP_chk2 >= tRP) + REPORT "tRP violation during Activate Bank 2" + SEVERITY WARNING; + ELSIF Ba = "11" AND Pc_b3 = '1' THEN + Act_b3 := '1'; + Pc_b3 := '0'; + B3_row_addr := TO_BITVECTOR (Addr); + RCD_chk3 := NOW; + RAS_chk3 := NOW; + -- Precharge to Active Bank 3 + ASSERT (NOW - RP_chk3 >= tRP) + REPORT "tRP violation during Activate Bank 3" + SEVERITY WARNING; + ELSIF Ba = "00" AND Pc_b0 = '0' THEN + ASSERT (FALSE) + REPORT "Bank 0 is not Precharged" + SEVERITY WARNING; + ELSIF Ba = "01" AND Pc_b1 = '0' THEN + ASSERT (FALSE) + REPORT "Bank 1 is not Precharged" + SEVERITY WARNING; + ELSIF Ba = "10" AND Pc_b2 = '0' THEN + ASSERT (FALSE) + REPORT "Bank 2 is not Precharged" + SEVERITY WARNING; + ELSIF Ba = "11" AND Pc_b3 = '0' THEN + ASSERT (FALSE) + REPORT "Bank 3 is not Precharged" + SEVERITY WARNING; + END IF; + -- Active Bank A to Active Bank B + IF ((Previous_bank /= TO_BITVECTOR (Ba)) AND (NOW - RRD_chk < tRRD)) THEN + ASSERT (FALSE) + REPORT "tRRD violation during Activate" + SEVERITY WARNING; + END IF; + -- LMR to ACT + ASSERT (MRD_chk >= tMRD) + REPORT "tMRD violation during Activate" + SEVERITY WARNING; + -- AutoRefresh to Activate + ASSERT (NOW - RC_chk >= tRC) + REPORT "tRC violation during Activate" + SEVERITY WARNING; + -- Record variable for checking violation + RRD_chk := NOW; + Previous_bank := TO_BITVECTOR (Ba); + END IF; + + -- Precharge Block + IF Prech_enable = '1' THEN + IF Addr(10) = '1' THEN + Pc_b0 := '1'; + Pc_b1 := '1'; + Pc_b2 := '1'; + Pc_b3 := '1'; + Act_b0 := '0'; + Act_b1 := '0'; + Act_b2 := '0'; + Act_b3 := '0'; + RP_chk0 := NOW; + RP_chk1 := NOW; + RP_chk2 := NOW; + RP_chk3 := NOW; + -- Activate to Precharge all banks + ASSERT ((NOW - RAS_chk0 >= tRAS) OR (NOW - RAS_chk1 >= tRAS)) + REPORT "tRAS violation during Precharge all banks" + SEVERITY WARNING; + -- tWR violation check for Write + IF ((NOW - WR_chkp(0) < tWRp) OR (NOW - WR_chkp(1) < tWRp) OR + (NOW - WR_chkp(2) < tWRp) OR (NOW - WR_chkp(3) < tWRp)) THEN + ASSERT (FALSE) + REPORT "tWR violation during Precharge ALL banks" + SEVERITY WARNING; + END IF; + ELSIF Addr(10) = '0' THEN + IF Ba = "00" THEN + Pc_b0 := '1'; + Act_b0 := '0'; + RP_chk0 := NOW; + -- Activate to Precharge bank 0 + ASSERT (NOW - RAS_chk0 >= tRAS) + REPORT "tRAS violation during Precharge bank 0" + SEVERITY WARNING; + ELSIF Ba = "01" THEN + Pc_b1 := '1'; + Act_b1 := '0'; + RP_chk1 := NOW; + -- Activate to Precharge bank 1 + ASSERT (NOW - RAS_chk1 >= tRAS) + REPORT "tRAS violation during Precharge bank 1" + SEVERITY WARNING; + ELSIF Ba = "10" THEN + Pc_b2 := '1'; + Act_b2 := '0'; + RP_chk2 := NOW; + -- Activate to Precharge bank 2 + ASSERT (NOW - RAS_chk2 >= tRAS) + REPORT "tRAS violation during Precharge bank 2" + SEVERITY WARNING; + ELSIF Ba = "11" THEN + Pc_b3 := '1'; + Act_b3 := '0'; + RP_chk3 := NOW; + -- Activate to Precharge bank 3 + ASSERT (NOW - RAS_chk3 >= tRAS) + REPORT "tRAS violation during Precharge bank 3" + SEVERITY WARNING; + END IF; + -- tWR violation check for Write + ASSERT (NOW - WR_chkp(TO_INTEGER(Ba)) >= tWRp) + REPORT "tWR violation during Precharge" + SEVERITY WARNING; + END IF; + -- Terminate a Write Immediately (if same bank or all banks) + IF (Data_in_enable = '1' AND (Bank = TO_BITVECTOR(Ba) OR Addr(10) = '1')) THEN + Data_in_enable := '0'; + END IF; + -- Precharge Command Pipeline for READ + IF CAS_latency_3 = '1' THEN + Command(2) := PRECH; + Bank_precharge(2) := TO_BITVECTOR (Ba); + A10_precharge(2) := TO_BIT(Addr(10)); + ELSIF CAS_latency_2 = '1' THEN + Command(1) := PRECH; + Bank_precharge(1) := TO_BITVECTOR (Ba); + A10_precharge(1) := TO_BIT(Addr(10)); + END IF; + END IF; + + -- Burst Terminate + IF Burst_term = '1' THEN + -- Terminate a Write immediately + IF Data_in_enable = '1' THEN + Data_in_enable := '0'; + END IF; + -- Terminate a Read depend on CAS Latency + IF CAS_latency_3 = '1' THEN + Command(2) := BST; + ELSIF CAS_latency_2 = '1' THEN + Command(1) := BST; + END IF; + END IF; + + -- Read, Write, Column Latch + IF Read_enable = '1' OR Write_enable = '1' THEN + -- Check to see if bank is open (ACT) for Read or Write + IF ((Ba="00" AND Pc_b0='1') OR (Ba="01" AND Pc_b1='1') OR (Ba="10" AND Pc_b2='1') OR (Ba="11" AND Pc_b3='1')) THEN + ASSERT (FALSE) + REPORT "Cannot Read or Write - Bank is not Activated" + SEVERITY WARNING; + END IF; + -- Activate to Read or Write + IF Ba = "00" THEN + ASSERT (NOW - RCD_chk0 >= tRCD) + REPORT "tRCD violation during Read or Write to Bank 0" + SEVERITY WARNING; + ELSIF Ba = "01" THEN + ASSERT (NOW - RCD_chk1 >= tRCD) + REPORT "tRCD violation during Read or Write to Bank 1" + SEVERITY WARNING; + ELSIF Ba = "10" THEN + ASSERT (NOW - RCD_chk2 >= tRCD) + REPORT "tRCD violation during Read or Write to Bank 2" + SEVERITY WARNING; + ELSIF Ba = "11" THEN + ASSERT (NOW - RCD_chk3 >= tRCD) + REPORT "tRCD violation during Read or Write to Bank 3" + SEVERITY WARNING; + END IF; + + -- Read Command + IF Read_enable = '1' THEN + -- CAS Latency Pipeline + IF Cas_latency_3 = '1' THEN + IF Addr(10) = '1' THEN + Command(2) := READ_A; + ELSE + Command(2) := READ; + END IF; + Col_addr (2) := TO_BITVECTOR (Addr(col_bits - 1 DOWNTO 0)); + Bank_addr (2) := TO_BITVECTOR (Ba); + ELSIF Cas_latency_2 = '1' THEN + IF Addr(10) = '1' THEN + Command(1) := READ_A; + ELSE + Command(1) := READ; + END IF; + Col_addr (1) := TO_BITVECTOR (Addr(col_bits - 1 DOWNTO 0)); + Bank_addr (1) := TO_BITVECTOR (Ba); + END IF; + + -- Read intterupt a Write (terminate Write immediately) + IF Data_in_enable = '1' THEN + Data_in_enable := '0'; + END IF; + + -- Write Command + ELSIF Write_enable = '1' THEN + IF Addr(10) = '1' THEN + Command(0) := WRITE_A; + ELSE + Command(0) := WRITE; + END IF; + Col_addr (0) := TO_BITVECTOR (Addr(col_bits - 1 DOWNTO 0)); + Bank_addr (0) := TO_BITVECTOR (Ba); + + -- Write intterupt a Write (terminate Write immediately) + IF Data_in_enable = '1' THEN + Data_in_enable := '0'; + END IF; + + -- Write interrupt a Read (terminate Read immediately) + IF Data_out_enable = '1' THEN + Data_out_enable := '0'; + END IF; + END IF; + + -- Interrupt a Write with Auto Precharge + IF Auto_precharge(TO_INTEGER(RW_Interrupt_Bank)) = '1' AND Write_precharge(TO_INTEGER(RW_Interrupt_Bank)) = '1' THEN + RW_interrupt_write(TO_INTEGER(RW_Interrupt_Bank)) := '1'; + END IF; + + -- Interrupt a Read with Auto Precharge + IF Auto_precharge(TO_INTEGER(RW_Interrupt_Bank)) = '1' AND Read_precharge(TO_INTEGER(RW_Interrupt_Bank)) = '1' THEN + RW_interrupt_read(TO_INTEGER(RW_Interrupt_Bank)) := '1'; + END IF; + + -- Read or Write with Auto Precharge + IF Addr(10) = '1' THEN + Auto_precharge (TO_INTEGER(Ba)) := '1'; + Count_precharge (TO_INTEGER(Ba)) := 0; + RW_Interrupt_Bank := TO_BitVector(Ba); + IF Read_enable = '1' THEN + Read_precharge (TO_INTEGER(Ba)) := '1'; + ELSIF Write_enable = '1' THEN + Write_precharge (TO_INTEGER(Ba)) := '1'; + END IF; + END IF; + END IF; + + -- Read with AutoPrecharge Calculation + -- The device start internal precharge when: + -- 1. BL/2 cycles after command + -- and 2. Meet tRAS requirement + -- or 3. Interrupt by a Read or Write (with or without Auto Precharge) + IF ((Auto_precharge(0) = '1') AND (Read_precharge(0) = '1')) THEN + IF (((NOW - RAS_chk0 >= tRAS) AND + ((Burst_length_1 = '1' AND Count_precharge(0) >= 1) OR + (Burst_length_2 = '1' AND Count_precharge(0) >= 2) OR + (Burst_length_4 = '1' AND Count_precharge(0) >= 4) OR + (Burst_length_8 = '1' AND Count_precharge(0) >= 8))) OR + (RW_interrupt_read(0) = '1')) THEN + Pc_b0 := '1'; + Act_b0 := '0'; + RP_chk0 := NOW; + Auto_precharge(0) := '0'; + Read_precharge(0) := '0'; + RW_interrupt_read(0) := '0'; + END IF; + END IF; + IF ((Auto_precharge(1) = '1') AND (Read_precharge(1) = '1')) THEN + IF (((NOW - RAS_chk1 >= tRAS) AND + ((Burst_length_1 = '1' AND Count_precharge(1) >= 1) OR + (Burst_length_2 = '1' AND Count_precharge(1) >= 2) OR + (Burst_length_4 = '1' AND Count_precharge(1) >= 4) OR + (Burst_length_8 = '1' AND Count_precharge(1) >= 8))) OR + (RW_interrupt_read(1) = '1')) THEN + Pc_b1 := '1'; + Act_b1 := '0'; + RP_chk1 := NOW; + Auto_precharge(1) := '0'; + Read_precharge(1) := '0'; + RW_interrupt_read(1) := '0'; + END IF; + END IF; + IF ((Auto_precharge(2) = '1') AND (Read_precharge(2) = '1')) THEN + IF (((NOW - RAS_chk2 >= tRAS) AND + ((Burst_length_1 = '1' AND Count_precharge(2) >= 1) OR + (Burst_length_2 = '1' AND Count_precharge(2) >= 2) OR + (Burst_length_4 = '1' AND Count_precharge(2) >= 4) OR + (Burst_length_8 = '1' AND Count_precharge(2) >= 8))) OR + (RW_interrupt_read(2) = '1')) THEN + Pc_b2 := '1'; + Act_b2 := '0'; + RP_chk2 := NOW; + Auto_precharge(2) := '0'; + Read_precharge(2) := '0'; + RW_interrupt_read(2) := '0'; + END IF; + END IF; + IF ((Auto_precharge(3) = '1') AND (Read_precharge(3) = '1')) THEN + IF (((NOW - RAS_chk3 >= tRAS) AND + ((Burst_length_1 = '1' AND Count_precharge(3) >= 1) OR + (Burst_length_2 = '1' AND Count_precharge(3) >= 2) OR + (Burst_length_4 = '1' AND Count_precharge(3) >= 4) OR + (Burst_length_8 = '1' AND Count_precharge(3) >= 8))) OR + (RW_interrupt_read(3) = '1')) THEN + Pc_b3 := '1'; + Act_b3 := '0'; + RP_chk3 := NOW; + Auto_precharge(3) := '0'; + Read_precharge(3) := '0'; + RW_interrupt_read(3) := '0'; + END IF; + END IF; + + -- Internal Precharge or Bst + IF Command(0) = PRECH THEN -- PRECH terminate a read if same bank or all banks + IF Bank_precharge(0) = Bank OR A10_precharge(0) = '1' THEN + IF Data_out_enable = '1' THEN + Data_out_enable := '0'; + END IF; + END IF; + ELSIF Command(0) = BST THEN -- BST terminate a read regardless of bank + IF Data_out_enable = '1' THEN + Data_out_enable := '0'; + END IF; + END IF; + + IF Data_out_enable = '0' THEN + Dq <= TRANSPORT (OTHERS => 'Z') AFTER tOH; + END IF; + + -- Detect Read or Write Command + IF Command(0) = READ OR Command(0) = READ_A THEN + Bank := Bank_addr (0); + Col := Col_addr (0); + Col_brst := Col_addr (0); + IF Bank_addr (0) = "00" THEN + Row := B0_row_addr; + ELSIF Bank_addr (0) = "01" THEN + Row := B1_row_addr; + ELSIF Bank_addr (0) = "10" THEN + Row := B2_row_addr; + ELSE + Row := B3_row_addr; + END IF; + Burst_counter := 0; + Data_in_enable := '0'; + Data_out_enable := '1'; + ELSIF Command(0) = WRITE OR Command(0) = WRITE_A THEN + Bank := Bank_addr(0); + Col := Col_addr(0); + Col_brst := Col_addr(0); + IF Bank_addr (0) = "00" THEN + Row := B0_row_addr; + ELSIF Bank_addr (0) = "01" THEN + Row := B1_row_addr; + ELSIF Bank_addr (0) = "10" THEN + Row := B2_row_addr; + ELSE + Row := B3_row_addr; + END IF; + Burst_counter := 0; + Data_in_enable := '1'; + Data_out_enable := '0'; + END IF; + + -- DQ (Driver / Receiver) + Row_index := TO_INTEGER (Row); + Col_index := TO_INTEGER (Col); + IF Data_in_enable = '1' THEN + IF Dqm /= "11" THEN + Init_mem (Bank, Row_index); + IF Bank = "00" THEN + Dq_temp := Bank0 (Row_index) (Col_index); + IF Dqm = "01" THEN + Dq_temp (15 DOWNTO 8) := TO_BITVECTOR (Dq (15 DOWNTO 8)); + ELSIF Dqm = "10" THEN + Dq_temp (7 DOWNTO 0) := TO_BITVECTOR (Dq (7 DOWNTO 0)); + ELSE + Dq_temp (15 DOWNTO 0) := TO_BITVECTOR (Dq (15 DOWNTO 0)); + END IF; + Bank0 (Row_index) (Col_index) := ('1' & Dq_temp(data_bits - 1 DOWNTO 0)); + ELSIF Bank = "01" THEN + Dq_temp := Bank1 (Row_index) (Col_index); + IF Dqm = "01" THEN + Dq_temp (15 DOWNTO 8) := TO_BITVECTOR (Dq (15 DOWNTO 8)); + ELSIF Dqm = "10" THEN + Dq_temp (7 DOWNTO 0) := TO_BITVECTOR (Dq (7 DOWNTO 0)); + ELSE + Dq_temp (15 DOWNTO 0) := TO_BITVECTOR (Dq (15 DOWNTO 0)); + END IF; + Bank1 (Row_index) (Col_index) := ('1' & Dq_temp(data_bits - 1 DOWNTO 0)); + ELSIF Bank = "10" THEN + Dq_temp := Bank2 (Row_index) (Col_index); + IF Dqm = "01" THEN + Dq_temp (15 DOWNTO 8) := TO_BITVECTOR (Dq (15 DOWNTO 8)); + ELSIF Dqm = "10" THEN + Dq_temp (7 DOWNTO 0) := TO_BITVECTOR (Dq (7 DOWNTO 0)); + ELSE + Dq_temp (15 DOWNTO 0) := TO_BITVECTOR (Dq (15 DOWNTO 0)); + END IF; + Bank2 (Row_index) (Col_index) := ('1' & Dq_temp(data_bits - 1 DOWNTO 0)); + ELSIF Bank = "11" THEN + Dq_temp := Bank3 (Row_index) (Col_index); + IF Dqm = "01" THEN + Dq_temp (15 DOWNTO 8) := TO_BITVECTOR (Dq (15 DOWNTO 8)); + ELSIF Dqm = "10" THEN + Dq_temp (7 DOWNTO 0) := TO_BITVECTOR (Dq (7 DOWNTO 0)); + ELSE + Dq_temp (15 DOWNTO 0) := TO_BITVECTOR (Dq (15 DOWNTO 0)); + END IF; + Bank3 (Row_index) (Col_index) := ('1' & Dq_temp(data_bits - 1 DOWNTO 0)); + END IF; + WR_chkp(TO_INTEGER(Bank)) := NOW; + WR_counter(TO_INTEGER(Bank)) := 0; + END IF; + Burst_decode; + ELSIF Data_out_enable = '1' THEN + IF Dqm_reg0 /= "11" THEN + Init_mem (Bank, Row_index); + IF Bank = "00" THEN + Dq_temp := Bank0 (Row_index) (Col_index); + IF Dqm_reg0 = "00" THEN + Dq (15 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 0)) AFTER tAC; + ELSIF Dqm_reg0 = "01" THEN + Dq (15 DOWNTO 8) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 8)) AFTER tAC; + Dq (7 DOWNTO 0) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; + ELSIF Dqm_reg0 = "10" THEN + Dq (15 DOWNTO 8) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; + Dq (7 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (7 DOWNTO 0)) AFTER tAC; + END IF; + ELSIF Bank = "01" THEN + Dq_temp := Bank1 (Row_index) (Col_index); + IF Dqm_reg0 = "00" THEN + Dq (15 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 0)) AFTER tAC; + ELSIF Dqm_reg0 = "01" THEN + Dq (15 DOWNTO 8) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 8)) AFTER tAC; + Dq (7 DOWNTO 0) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; + ELSIF Dqm_reg0 = "10" THEN + Dq (15 DOWNTO 8) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; + Dq (7 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (7 DOWNTO 0)) AFTER tAC; + END IF; + ELSIF Bank = "10" THEN + Dq_temp := Bank2 (Row_index) (Col_index); + IF Dqm_reg0 = "00" THEN + Dq (15 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 0)) AFTER tAC; + ELSIF Dqm_reg0 = "01" THEN + Dq (15 DOWNTO 8) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 8)) AFTER tAC; + Dq (7 DOWNTO 0) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; + ELSIF Dqm_reg0 = "10" THEN + Dq (15 DOWNTO 8) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; + Dq (7 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (7 DOWNTO 0)) AFTER tAC; + END IF; + ELSIF Bank = "11" THEN + Dq_temp := Bank3 (Row_index) (Col_index); + IF Dqm_reg0 = "00" THEN + Dq (15 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 0)) AFTER tAC; + ELSIF Dqm_reg0 = "01" THEN + Dq (15 DOWNTO 8) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 8)) AFTER tAC; + Dq (7 DOWNTO 0) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; + ELSIF Dqm_reg0 = "10" THEN + Dq (15 DOWNTO 8) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; + Dq (7 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (7 DOWNTO 0)) AFTER tAC; + END IF; + END IF; + ELSE + Dq <= TRANSPORT (OTHERS => 'Z') AFTER tHZ; + END IF; + Burst_decode; + END IF; + ELSIF Sys_clk'event AND Sys_clk = '1' AND Load = '1' AND Dump = '0' THEN --' + Operation <= LOAD_FILE; + load := '0'; +-- ASSERT (FALSE) REPORT "Reading memory array from file. This operation may take several minutes. Please wait..." +-- SEVERITY NOTE; + WHILE NOT endfile(file_load) LOOP + readline(file_load, l); + read(l, ch); + if (ch /= 'S') or (ch /= 's') then + hexread(l, rectype); + hexread(l, reclen); + recaddr := (others => '0'); + case rectype is + when "0001" => + hexread(l, recaddr(15 downto 0)); + when "0010" => + hexread(l, recaddr(23 downto 0)); + when "0011" => + hexread(l, recaddr); + recaddr(31 downto 24) := (others => '0'); + when others => next; + end case; + if true then + hexread(l, recdata); + Bank_Load := recaddr(25 downto 24); + Rows_Load := recaddr(23 downto 11); + Cols_Load := recaddr(10 downto 2); + Init_Mem (Bank_Load, To_Integer(Rows_Load)); + + IF Bank_Load = "00" THEN + for i in 0 to 3 loop + Bank0 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*32+index to i*32+index+15)); + end loop; + ELSIF Bank_Load = "01" THEN + for i in 0 to 3 loop + Bank1 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*32+index to i*32+index+15)); + end loop; + ELSIF Bank_Load = "10" THEN + for i in 0 to 3 loop + Bank2 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*32+index to i*32+index+15)); + end loop; + ELSIF Bank_Load = "11" THEN + for i in 0 to 3 loop + Bank3 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*32+index to i*32+index+15)); + end loop; + END IF; + + END IF; + END IF; + END LOOP; + ELSIF Sys_clk'event AND Sys_clk = '1' AND Load = '0' AND Dump = '1' THEN --' + Operation <= DUMP_FILE; + ASSERT (FALSE) REPORT "Writing memory array to file. This operation may take several minutes. Please wait..." + SEVERITY NOTE; + WRITE (l, string'("# Micron Technology, Inc. (FILE DUMP / MEMORY DUMP)")); --' + WRITELINE (file_dump, l); + WRITE (l, string'("# BA ROWS COLS DQ")); --' + WRITELINE (file_dump, l); + WRITE (l, string'("# -- ------------- --------- ----------------")); --' + WRITELINE (file_dump, l); + -- Dumping Bank 0 + FOR i IN 0 TO 2**addr_bits -1 LOOP + -- Check if ROW is NULL + IF Bank0 (i) /= NULL THEN + For j IN 0 TO 2**col_bits - 1 LOOP + -- Check if COL is NULL + NEXT WHEN Bank0 (i) (j) (data_bits) = '0'; + WRITE (l, string'("00"), right, 4); --' + WRITE (l, To_BitVector(Conv_Std_Logic_Vector(i, addr_bits)), right, addr_bits+1); + WRITE (l, To_BitVector(Conv_std_Logic_Vector(j, col_bits)), right, col_bits+1); + WRITE (l, Bank0 (i) (j) (data_bits -1 DOWNTO 0), right, data_bits+1); + WRITELINE (file_dump, l); + END LOOP; + END IF; + END LOOP; + -- Dumping Bank 1 + FOR i IN 0 TO 2**addr_bits -1 LOOP + -- Check if ROW is NULL + IF Bank1 (i) /= NULL THEN + For j IN 0 TO 2**col_bits - 1 LOOP + -- Check if COL is NULL + NEXT WHEN Bank1 (i) (j) (data_bits) = '0'; + WRITE (l, string'("01"), right, 4); --' + WRITE (l, To_BitVector(Conv_Std_Logic_Vector(i, addr_bits)), right, addr_bits+1); + WRITE (l, To_BitVector(Conv_std_Logic_Vector(j, col_bits)), right, col_bits+1); + WRITE (l, Bank1 (i) (j) (data_bits -1 DOWNTO 0), right, data_bits+1); + WRITELINE (file_dump, l); + END LOOP; + END IF; + END LOOP; + -- Dumping Bank 2 + FOR i IN 0 TO 2**addr_bits -1 LOOP + -- Check if ROW is NULL + IF Bank2 (i) /= NULL THEN + For j IN 0 TO 2**col_bits - 1 LOOP + -- Check if COL is NULL + NEXT WHEN Bank2 (i) (j) (data_bits) = '0'; + WRITE (l, string'("10"), right, 4); --' + WRITE (l, To_BitVector(Conv_Std_Logic_Vector(i, addr_bits)), right, addr_bits+1); + WRITE (l, To_BitVector(Conv_std_Logic_Vector(j, col_bits)), right, col_bits+1); + WRITE (l, Bank2 (i) (j) (data_bits -1 DOWNTO 0), right, data_bits+1); + WRITELINE (file_dump, l); + END LOOP; + END IF; + END LOOP; + -- Dumping Bank 3 + FOR i IN 0 TO 2**addr_bits -1 LOOP + -- Check if ROW is NULL + IF Bank3 (i) /= NULL THEN + For j IN 0 TO 2**col_bits - 1 LOOP + -- Check if COL is NULL + NEXT WHEN Bank3 (i) (j) (data_bits) = '0'; + WRITE (l, string'("11"), right, 4); --' + WRITE (l, To_BitVector(Conv_Std_Logic_Vector(i, addr_bits)), right, addr_bits+1); + WRITE (l, To_BitVector(Conv_std_Logic_Vector(j, col_bits)), right, col_bits+1); + WRITE (l, Bank3 (i) (j) (data_bits -1 DOWNTO 0), right, data_bits+1); + WRITELINE (file_dump, l); + END LOOP; + END IF; + END LOOP; + END IF; + + -- Write with AutoPrecharge Calculation + -- The device start internal precharge when: + -- 1. tWR cycles after command + -- and 2. Meet tRAS requirement + -- or 3. Interrupt by a Read or Write (with or without Auto Precharge) + IF ((Auto_precharge(0) = '1') AND (Write_precharge(0) = '1')) THEN + IF (((NOW - RAS_chk0 >= tRAS) AND + (((Burst_length_1 = '1' OR Write_burst_mode = '1' ) AND Count_precharge(0) >= 1 AND NOW - Count_time(0) >= tWRa) OR + (Burst_length_2 = '1' AND Count_precharge(0) >= 2 AND NOW - Count_time(0) >= tWRa) OR + (Burst_length_4 = '1' AND Count_precharge(0) >= 4 AND NOW - Count_time(0) >= tWRa) OR + (Burst_length_8 = '1' AND Count_precharge(0) >= 8 AND NOW - Count_time(0) >= tWRa))) OR + (RW_interrupt_write(0) = '1' AND WR_counter(0) >= 1 AND NOW - WR_time(0) >= tWRa)) THEN + Auto_precharge(0) := '0'; + Write_precharge(0) := '0'; + RW_interrupt_write(0) := '0'; + Pc_b0 := '1'; + Act_b0 := '0'; + RP_chk0 := NOW; + ASSERT FALSE REPORT "Start Internal Precharge Bank 0" SEVERITY NOTE; + END IF; + END IF; + IF ((Auto_precharge(1) = '1') AND (Write_precharge(1) = '1')) THEN + IF (((NOW - RAS_chk1 >= tRAS) AND + (((Burst_length_1 = '1' OR Write_burst_mode = '1' ) AND Count_precharge(1) >= 1 AND NOW - Count_time(1) >= tWRa) OR + (Burst_length_2 = '1' AND Count_precharge(1) >= 2 AND NOW - Count_time(1) >= tWRa) OR + (Burst_length_4 = '1' AND Count_precharge(1) >= 4 AND NOW - Count_time(1) >= tWRa) OR + (Burst_length_8 = '1' AND Count_precharge(1) >= 8 AND NOW - Count_time(1) >= tWRa))) OR + (RW_interrupt_write(1) = '1' AND WR_counter(1) >= 1 AND NOW - WR_time(1) >= tWRa)) THEN + Auto_precharge(1) := '0'; + Write_precharge(1) := '0'; + RW_interrupt_write(1) := '0'; + Pc_b1 := '1'; + Act_b1 := '0'; + RP_chk1 := NOW; + END IF; + END IF; + IF ((Auto_precharge(2) = '1') AND (Write_precharge(2) = '1')) THEN + IF (((NOW - RAS_chk2 >= tRAS) AND + (((Burst_length_1 = '1' OR Write_burst_mode = '1' ) AND Count_precharge(2) >= 1 AND NOW - Count_time(2) >= tWRa) OR + (Burst_length_2 = '1' AND Count_precharge(2) >= 2 AND NOW - Count_time(2) >= tWRa) OR + (Burst_length_4 = '1' AND Count_precharge(2) >= 4 AND NOW - Count_time(2) >= tWRa) OR + (Burst_length_8 = '1' AND Count_precharge(2) >= 8 AND NOW - Count_time(2) >= tWRa))) OR + (RW_interrupt_write(2) = '1' AND WR_counter(2) >= 1 AND NOW - WR_time(2) >= tWRa)) THEN + Auto_precharge(2) := '0'; + Write_precharge(2) := '0'; + RW_interrupt_write(2) := '0'; + Pc_b2 := '1'; + Act_b2 := '0'; + RP_chk2 := NOW; + END IF; + END IF; + IF ((Auto_precharge(3) = '1') AND (Write_precharge(3) = '1')) THEN + IF (((NOW - RAS_chk3 >= tRAS) AND + (((Burst_length_1 = '1' OR Write_burst_mode = '1' ) AND Count_precharge(3) >= 1 AND NOW - Count_time(3) >= tWRa) OR + (Burst_length_2 = '1' AND Count_precharge(3) >= 2 AND NOW - Count_time(3) >= tWRa) OR + (Burst_length_4 = '1' AND Count_precharge(3) >= 4 AND NOW - Count_time(3) >= tWRa) OR + (Burst_length_8 = '1' AND Count_precharge(3) >= 8 AND NOW - Count_time(3) >= tWRa))) OR + (RW_interrupt_write(0) = '1' AND WR_counter(0) >= 1 AND NOW - WR_time(3) >= tWRa)) THEN + Auto_precharge(3) := '0'; + Write_precharge(3) := '0'; + RW_interrupt_write(3) := '0'; + Pc_b3 := '1'; + Act_b3 := '0'; + RP_chk3 := NOW; + END IF; + END IF; + + -- Checking internal wires (Optional for debug purpose) + Pre_chk (0) <= Pc_b0; + Pre_chk (1) <= Pc_b1; + Pre_chk (2) <= Pc_b2; + Pre_chk (3) <= Pc_b3; + Act_chk (0) <= Act_b0; + Act_chk (1) <= Act_b1; + Act_chk (2) <= Act_b2; + Act_chk (3) <= Act_b3; + Dq_in_chk <= Data_in_enable; + Dq_out_chk <= Data_out_enable; + Bank_chk <= Bank; + Row_chk <= Row; + Col_chk <= Col; + END PROCESS; + + + -- Clock timing checks +-- Clock_check : PROCESS +-- VARIABLE Clk_low, Clk_high : TIME := 0 ns; +-- BEGIN +-- WAIT ON Clk; +-- IF (Clk = '1' AND NOW >= 10 ns) THEN +-- ASSERT (NOW - Clk_low >= tCL) +-- REPORT "tCL violation" +-- SEVERITY WARNING; +-- ASSERT (NOW - Clk_high >= tCK) +-- REPORT "tCK violation" +-- SEVERITY WARNING; +-- Clk_high := NOW; +-- ELSIF (Clk = '0' AND NOW /= 0 ns) THEN +-- ASSERT (NOW - Clk_high >= tCH) +-- REPORT "tCH violation" +-- SEVERITY WARNING; +-- Clk_low := NOW; +-- END IF; +-- END PROCESS; + + -- Setup timing checks + Setup_check : PROCESS + BEGIN + wait; + WAIT ON Clk; + IF Clk = '1' THEN + ASSERT(Cke'LAST_EVENT >= tCKS) --' + REPORT "CKE Setup time violation -- tCKS" + SEVERITY WARNING; + ASSERT(Cs_n'LAST_EVENT >= tCMS) --' + REPORT "CS# Setup time violation -- tCMS" + SEVERITY WARNING; + ASSERT(Cas_n'LAST_EVENT >= tCMS) --' + REPORT "CAS# Setup time violation -- tCMS" + SEVERITY WARNING; + ASSERT(Ras_n'LAST_EVENT >= tCMS) --' + REPORT "RAS# Setup time violation -- tCMS" + SEVERITY WARNING; + ASSERT(We_n'LAST_EVENT >= tCMS) --' + REPORT "WE# Setup time violation -- tCMS" + SEVERITY WARNING; + ASSERT(Dqm'LAST_EVENT >= tCMS) --' + REPORT "Dqm Setup time violation -- tCMS" + SEVERITY WARNING; + ASSERT(Addr'LAST_EVENT >= tAS) --' + REPORT "ADDR Setup time violation -- tAS" + SEVERITY WARNING; + ASSERT(Ba'LAST_EVENT >= tAS) --' + REPORT "BA Setup time violation -- tAS" + SEVERITY WARNING; + ASSERT(Dq'LAST_EVENT >= tDS) --' + REPORT "Dq Setup time violation -- tDS" + SEVERITY WARNING; + END IF; + END PROCESS; + + -- Hold timing checks + Hold_check : PROCESS + BEGIN + wait; + WAIT ON Clk'DELAYED (tCKH), Clk'DELAYED (tCMH), Clk'DELAYED (tAH), Clk'DELAYED (tDH); + IF Clk'DELAYED (tCKH) = '1' THEN --' + ASSERT(Cke'LAST_EVENT > tCKH) --' + REPORT "CKE Hold time violation -- tCKH" + SEVERITY WARNING; + END IF; + IF Clk'DELAYED (tCMH) = '1' THEN --' + ASSERT(Cs_n'LAST_EVENT > tCMH) --' + REPORT "CS# Hold time violation -- tCMH" + SEVERITY WARNING; + ASSERT(Cas_n'LAST_EVENT > tCMH) --' + REPORT "CAS# Hold time violation -- tCMH" + SEVERITY WARNING; + ASSERT(Ras_n'LAST_EVENT > tCMH) --' + REPORT "RAS# Hold time violation -- tCMH" + SEVERITY WARNING; + ASSERT(We_n'LAST_EVENT > tCMH) --' + REPORT "WE# Hold time violation -- tCMH" + SEVERITY WARNING; + ASSERT(Dqm'LAST_EVENT > tCMH) --' + REPORT "Dqm Hold time violation -- tCMH" + SEVERITY WARNING; + END IF; + IF Clk'DELAYED (tAH) = '1' THEN --' + ASSERT(Addr'LAST_EVENT > tAH) --' + REPORT "ADDR Hold time violation -- tAH" + SEVERITY WARNING; + ASSERT(Ba'LAST_EVENT > tAH) --' + REPORT "BA Hold time violation -- tAH" + SEVERITY WARNING; + END IF; + IF Clk'DELAYED (tDH) = '1' THEN --' + ASSERT(Dq'LAST_EVENT > tDH) --' + REPORT "Dq Hold time violation -- tDH" + SEVERITY WARNING; + END IF; + END PROCESS; + +END ARCHITECTURE sim; + diff --git a/Libs/Memory_test/hds/.hdlsidedata/_Flash_behav.vhd._fpf b/Libs/Memory_test/hds/.hdlsidedata/_Flash_behav.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory_test/hds/.hdlsidedata/_Flash_behav.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory_test/hds/.hdlsidedata/_bram_tester_test.vhd._fpf b/Libs/Memory_test/hds/.hdlsidedata/_bram_tester_test.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory_test/hds/.hdlsidedata/_bram_tester_test.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory_test/hds/.hdlsidedata/_fifo_tester_test.vhd._fpf b/Libs/Memory_test/hds/.hdlsidedata/_fifo_tester_test.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory_test/hds/.hdlsidedata/_fifo_tester_test.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory_test/hds/.hdlsidedata/_flashController_tester_test.vhd._fpf b/Libs/Memory_test/hds/.hdlsidedata/_flashController_tester_test.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory_test/hds/.hdlsidedata/_flashController_tester_test.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory_test/hds/.hdlsidedata/_flash_tester_test.vhd._fpf b/Libs/Memory_test/hds/.hdlsidedata/_flash_tester_test.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory_test/hds/.hdlsidedata/_flash_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory_test/hds/.hdlsidedata/_mti_pkg_pkg.vhd._fpf b/Libs/Memory_test/hds/.hdlsidedata/_mti_pkg_pkg.vhd._fpf new file mode 100644 index 0000000..5732f99 --- /dev/null +++ b/Libs/Memory_test/hds/.hdlsidedata/_mti_pkg_pkg.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_93 diff --git a/Libs/Memory_test/hds/.hdlsidedata/_mti_pkg_pkg_body.vhd._fpf b/Libs/Memory_test/hds/.hdlsidedata/_mti_pkg_pkg_body.vhd._fpf new file mode 100644 index 0000000..5732f99 --- /dev/null +++ b/Libs/Memory_test/hds/.hdlsidedata/_mti_pkg_pkg_body.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_93 diff --git a/Libs/Memory_test/hds/.hdlsidedata/_sdramController_tester_test.vhd._fpf b/Libs/Memory_test/hds/.hdlsidedata/_sdramController_tester_test.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory_test/hds/.hdlsidedata/_sdramController_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory_test/hds/.hdlsidedata/_sdram_mt48lc16m16a2_sim.vhd._fpf b/Libs/Memory_test/hds/.hdlsidedata/_sdram_mt48lc16m16a2_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory_test/hds/.hdlsidedata/_sdram_mt48lc16m16a2_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory_test/hds/_bram_tb._epf b/Libs/Memory_test/hds/_bram_tb._epf new file mode 100644 index 0000000..174551f --- /dev/null +++ b/Libs/Memory_test/hds/_bram_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom bram_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Memory_test/hds/_bram_tester._epf b/Libs/Memory_test/hds/_bram_tester._epf new file mode 100644 index 0000000..559360f --- /dev/null +++ b/Libs/Memory_test/hds/_bram_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom bram_tester_test.vhd diff --git a/Libs/Memory_test/hds/_fifo_tb._epf b/Libs/Memory_test/hds/_fifo_tb._epf new file mode 100644 index 0000000..1b086f1 --- /dev/null +++ b/Libs/Memory_test/hds/_fifo_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom fifo_tb/struct.bd +TOP_MARKER atom 1 diff --git a/Libs/Memory_test/hds/_flash_28f128j3a._epf b/Libs/Memory_test/hds/_flash_28f128j3a._epf new file mode 100644 index 0000000..ef7aef2 --- /dev/null +++ b/Libs/Memory_test/hds/_flash_28f128j3a._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom Flash_behav.vhd +DEFAULT_ARCHITECTURE atom behav diff --git a/Libs/Memory_test/hds/_flash_tb._epf b/Libs/Memory_test/hds/_flash_tb._epf new file mode 100644 index 0000000..99c6d2b --- /dev/null +++ b/Libs/Memory_test/hds/_flash_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom flash_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Memory_test/hds/_flash_tester._epf b/Libs/Memory_test/hds/_flash_tester._epf new file mode 100644 index 0000000..a7aa7e2 --- /dev/null +++ b/Libs/Memory_test/hds/_flash_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom flash_tester_test.vhd +DEFAULT_ARCHITECTURE atom test diff --git a/Libs/Memory_test/hds/_flashcontroller_tb._epf b/Libs/Memory_test/hds/_flashcontroller_tb._epf new file mode 100644 index 0000000..3f59750 --- /dev/null +++ b/Libs/Memory_test/hds/_flashcontroller_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom flash@controller_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Memory_test/hds/_flashcontroller_tester._epf b/Libs/Memory_test/hds/_flashcontroller_tester._epf new file mode 100644 index 0000000..d81ef79 --- /dev/null +++ b/Libs/Memory_test/hds/_flashcontroller_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom flashController_tester_test.vhd diff --git a/Libs/Memory_test/hds/_sdramcontroller_tb._epf b/Libs/Memory_test/hds/_sdramcontroller_tb._epf new file mode 100644 index 0000000..318858b --- /dev/null +++ b/Libs/Memory_test/hds/_sdramcontroller_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom sdram@controller_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Memory_test/hds/_sdramcontroller_tester._epf b/Libs/Memory_test/hds/_sdramcontroller_tester._epf new file mode 100644 index 0000000..cd1908b --- /dev/null +++ b/Libs/Memory_test/hds/_sdramcontroller_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom sdramController_tester_test.vhd +DEFAULT_ARCHITECTURE atom test diff --git a/Libs/Memory_test/hds/bram_tb/struct.bd b/Libs/Memory_test/hds/bram_tb/struct.bd new file mode 100644 index 0000000..cc5de3c --- /dev/null +++ b/Libs/Memory_test/hds/bram_tb/struct.bd @@ -0,0 +1,3661 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I1" +duLibraryName "memory_test" +duName "bram_tester" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 1774,0 +) +(Instance +name "I0" +duLibraryName "memory" +duName "bramDualportWritefirst" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "initFile" +type "string" +value "\"U:/ELN_board/Simulation/bramInit.txt\"" +) +] +mwi 0 +uid 5605,0 +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "bram_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:28" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "bram_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:45:28" +) +(vvPair +variable "unit" +value "bram_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 198,0 +optionalChildren [ +*1 (Grouping +uid 1487,0 +optionalChildren [ +*2 (CommentText +uid 1489,0 +shape (Rectangle +uid 1490,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,77000,91000,79000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 1491,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,77500,87800,78500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 1492,0 +shape (Rectangle +uid 1493,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,77000,66000,79000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 1494,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "47750,77350,58250,78650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 1495,0 +shape (Rectangle +uid 1496,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "45000,83000,66000,85000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 1497,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "45200,83500,61400,84500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 1498,0 +shape (Rectangle +uid 1499,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "66000,77000,72000,79000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 1500,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "66200,77500,71000,78500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 1501,0 +shape (Rectangle +uid 1502,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "45000,79000,66000,81000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 1503,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "45200,79500,61400,80500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 1504,0 +shape (Rectangle +uid 1505,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,79000,45000,81000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 1506,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,79500,43800,80500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 1507,0 +shape (Rectangle +uid 1508,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,81000,45000,83000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 1509,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,81500,43200,82500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 1510,0 +shape (Rectangle +uid 1511,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "66000,79000,91000,85000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 1512,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "66200,79200,79400,80200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*10 (CommentText +uid 1513,0 +shape (Rectangle +uid 1514,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "45000,81000,66000,83000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 1515,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "45200,81500,61400,82500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 1516,0 +shape (Rectangle +uid 1517,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,83000,45000,85000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 1518,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,83500,44400,84500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 1488,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "40000,77000,91000,85000" +) +oxt "13000,22000,64000,30000" +) +*12 (Blk +uid 1774,0 +shape (Rectangle +uid 1775,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "11000,58000,71000,66000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1776,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 1777,0 +va (VaSet +font "courier,12,1" +) +xt "11600,65900,22200,67300" +st "memory_test" +blo "11600,67100" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 1778,0 +va (VaSet +font "courier,12,1" +) +xt "11600,67300,21600,68700" +st "bram_tester" +blo "11600,68500" +tm "BlkNameMgr" +) +*15 (Text +uid 1779,0 +va (VaSet +font "courier,12,1" +) +xt "11600,68700,14000,70100" +st "I1" +blo "11600,69900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1780,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1781,0 +text (MLText +uid 1782,0 +va (VaSet +font "courier,9,0" +) +xt "11000,70800,34000,72600" +st "addressBitNb = addressBitNb ( positive ) +dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +) +*16 (Net +uid 5380,0 +lang 11 +decl (Decl +n "clockA" +t "std_ulogic" +o 3 +suid 55,0 +) +declText (MLText +uid 5381,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,14500,900" +st "SIGNAL clockA : std_ulogic +" +) +) +*17 (Net +uid 5388,0 +lang 11 +decl (Decl +n "enA" +t "std_ulogic" +o 9 +suid 56,0 +) +declText (MLText +uid 5389,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,14500,900" +st "SIGNAL enA : std_ulogic +" +) +) +*18 (Net +uid 5396,0 +lang 11 +decl (Decl +n "writeEnA" +t "std_ulogic" +o 11 +suid 57,0 +) +declText (MLText +uid 5397,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,14500,900" +st "SIGNAL writeEnA : std_ulogic +" +) +) +*19 (Net +uid 5440,0 +lang 11 +decl (Decl +n "clockB" +t "std_ulogic" +o 4 +suid 61,0 +) +declText (MLText +uid 5441,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,14500,900" +st "SIGNAL clockB : std_ulogic +" +) +) +*20 (Net +uid 5448,0 +lang 11 +decl (Decl +n "enB" +t "std_ulogic" +o 10 +suid 62,0 +) +declText (MLText +uid 5449,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,14500,900" +st "SIGNAL enB : std_ulogic +" +) +) +*21 (Net +uid 5456,0 +lang 11 +decl (Decl +n "writeEnB" +t "std_ulogic" +o 12 +suid 63,0 +) +declText (MLText +uid 5457,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,14500,900" +st "SIGNAL writeEnB : std_ulogic +" +) +) +*22 (SaComponent +uid 5605,0 +optionalChildren [ +*23 (CptPort +uid 5557,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5558,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,47625,33000,48375" +) +tg (CPTG +uid 5559,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5560,0 +va (VaSet +) +xt "34000,47500,36600,48500" +st "clockA" +blo "34000,48300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "clockA" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*24 (CptPort +uid 5561,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5562,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,45625,33000,46375" +) +tg (CPTG +uid 5563,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5564,0 +va (VaSet +) +xt "34000,45500,35700,46500" +st "enA" +blo "34000,46300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "enA" +t "std_ulogic" +o 2 +suid 3,0 +) +) +) +*25 (CptPort +uid 5565,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5566,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,41625,33000,42375" +) +tg (CPTG +uid 5567,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5568,0 +va (VaSet +) +xt "34000,41500,37400,42500" +st "writeEnA" +blo "34000,42300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "writeEnA" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*26 (CptPort +uid 5569,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5570,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,35625,33000,36375" +) +tg (CPTG +uid 5571,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5572,0 +va (VaSet +) +xt "34000,35500,37600,36500" +st "addressA" +blo "34000,36300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "addressA" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 4 +suid 5,0 +) +) +) +*27 (CptPort +uid 5573,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5574,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,39625,33000,40375" +) +tg (CPTG +uid 5575,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5576,0 +va (VaSet +) +xt "34000,39500,36900,40500" +st "dataInA" +blo "34000,40300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "dataInA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 6,0 +) +) +) +*28 (CptPort +uid 5577,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5578,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,37625,33000,38375" +) +tg (CPTG +uid 5579,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5580,0 +va (VaSet +) +xt "34000,37500,37500,38500" +st "dataOutA" +blo "34000,38300" +) +) +thePort (LogicalPort +lang 10 +m 1 +decl (Decl +n "dataOutA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 6 +suid 7,0 +) +) +) +*29 (CptPort +uid 5581,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5582,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,47625,49750,48375" +) +tg (CPTG +uid 5583,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5584,0 +va (VaSet +) +xt "45400,47500,48000,48500" +st "clockB" +ju 2 +blo "48000,48300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "clockB" +t "std_ulogic" +o 7 +suid 8,0 +) +) +) +*30 (CptPort +uid 5585,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5586,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,45625,49750,46375" +) +tg (CPTG +uid 5587,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5588,0 +va (VaSet +) +xt "46300,45500,48000,46500" +st "enB" +ju 2 +blo "48000,46300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "enB" +t "std_ulogic" +o 8 +suid 10,0 +) +) +) +*31 (CptPort +uid 5589,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5590,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,41625,49750,42375" +) +tg (CPTG +uid 5591,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5592,0 +va (VaSet +) +xt "44600,41500,48000,42500" +st "writeEnB" +ju 2 +blo "48000,42300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "writeEnB" +t "std_ulogic" +o 9 +suid 11,0 +) +) +) +*32 (CptPort +uid 5593,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5594,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,35625,49750,36375" +) +tg (CPTG +uid 5595,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5596,0 +va (VaSet +) +xt "44400,35500,48000,36500" +st "addressB" +ju 2 +blo "48000,36300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "addressB" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 10 +suid 12,0 +) +) +) +*33 (CptPort +uid 5597,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5598,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,39625,49750,40375" +) +tg (CPTG +uid 5599,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5600,0 +va (VaSet +) +xt "45100,39500,48000,40500" +st "dataInB" +ju 2 +blo "48000,40300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "dataInB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 13,0 +) +) +) +*34 (CptPort +uid 5601,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5602,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,37625,49750,38375" +) +tg (CPTG +uid 5603,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5604,0 +va (VaSet +) +xt "44500,37500,48000,38500" +st "dataOutB" +ju 2 +blo "48000,38300" +) +) +thePort (LogicalPort +lang 10 +m 1 +decl (Decl +n "dataOutB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 14,0 +) +) +) +] +shape (Rectangle +uid 5606,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,32000,49000,50000" +) +oxt "39000,11000,55000,29000" +ttg (MlTextGroup +uid 5607,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 5608,0 +va (VaSet +font "courier,8,1" +) +xt "33200,50000,36500,51000" +st "memory" +blo "33200,50800" +tm "BdLibraryNameMgr" +) +*36 (Text +uid 5609,0 +va (VaSet +font "courier,8,1" +) +xt "33200,51000,43200,52000" +st "bramDualportWritefirst" +blo "33200,51800" +tm "CptNameMgr" +) +*37 (Text +uid 5610,0 +va (VaSet +font "courier,8,1" +) +xt "33200,52000,34200,53000" +st "I0" +blo "33200,52800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 5611,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 5612,0 +text (MLText +uid 5613,0 +va (VaSet +font "courier,8,0" +) +xt "33000,53000,69000,55700" +st "addressBitNb = addressBitNb ( positive ) +dataBitNb = dataBitNb ( positive ) +initFile = \"U:/ELN_board/Simulation/bramInit.txt\" ( string ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "initFile" +type "string" +value "\"U:/ELN_board/Simulation/bramInit.txt\"" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +archFileType "UNKNOWN" +) +*38 (Net +uid 5614,0 +lang 11 +decl (Decl +n "dataInB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 67,0 +) +declText (MLText +uid 5615,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,29000,900" +st "SIGNAL dataInB : std_ulogic_vector(dataBitNb-1 DOWNTO 0) +" +) +) +*39 (Net +uid 5622,0 +lang 11 +decl (Decl +n "dataOutB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 68,0 +) +declText (MLText +uid 5623,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,29000,900" +st "SIGNAL dataOutB : std_ulogic_vector(dataBitNb-1 DOWNTO 0) +" +) +) +*40 (Net +uid 5630,0 +lang 11 +decl (Decl +n "addressB" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 2 +suid 69,0 +) +declText (MLText +uid 5631,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,30500,900" +st "SIGNAL addressB : std_ulogic_vector(addressBitNb-1 DOWNTO 0) +" +) +) +*41 (Net +uid 5638,0 +lang 11 +decl (Decl +n "dataInA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 70,0 +) +declText (MLText +uid 5639,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,29000,900" +st "SIGNAL dataInA : std_ulogic_vector(dataBitNb-1 DOWNTO 0) +" +) +) +*42 (Net +uid 5646,0 +lang 11 +decl (Decl +n "dataOutA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 7 +suid 71,0 +) +declText (MLText +uid 5647,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,29000,900" +st "SIGNAL dataOutA : std_ulogic_vector(dataBitNb-1 DOWNTO 0) +" +) +) +*43 (Net +uid 5654,0 +lang 11 +decl (Decl +n "addressA" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 1 +suid 72,0 +) +declText (MLText +uid 5655,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,30500,900" +st "SIGNAL addressA : std_ulogic_vector(addressBitNb-1 DOWNTO 0) +" +) +) +*44 (Wire +uid 5382,0 +shape (OrthoPolyLine +uid 5383,0 +va (VaSet +vasetType 3 +) +xt "31000,48000,32250,58000" +pts [ +"32250,48000" +"31000,48000" +"31000,58000" +] +) +start &23 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5386,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5387,0 +va (VaSet +font "courier,12,0" +) +xt "26250,46600,30450,47900" +st "clockA" +blo "26250,47600" +tm "WireNameMgr" +) +) +on &16 +) +*45 (Wire +uid 5390,0 +shape (OrthoPolyLine +uid 5391,0 +va (VaSet +vasetType 3 +) +xt "29000,46000,32250,58000" +pts [ +"32250,46000" +"29000,46000" +"29000,58000" +] +) +start &24 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5394,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5395,0 +va (VaSet +font "courier,12,0" +) +xt "28250,44600,30350,45900" +st "enA" +blo "28250,45600" +tm "WireNameMgr" +) +) +on &17 +) +*46 (Wire +uid 5398,0 +shape (OrthoPolyLine +uid 5399,0 +va (VaSet +vasetType 3 +) +xt "25000,42000,32250,58000" +pts [ +"32250,42000" +"25000,42000" +"25000,58000" +] +) +start &25 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5402,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5403,0 +va (VaSet +font "courier,12,0" +) +xt "25250,40600,30850,41900" +st "writeEnA" +blo "25250,41600" +tm "WireNameMgr" +) +) +on &18 +) +*47 (Wire +uid 5442,0 +shape (OrthoPolyLine +uid 5443,0 +va (VaSet +vasetType 3 +) +xt "49750,48000,51000,58000" +pts [ +"49750,48000" +"51000,48000" +"51000,58000" +] +) +start &29 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5446,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5447,0 +va (VaSet +font "courier,12,0" +) +xt "51750,46600,55950,47900" +st "clockB" +blo "51750,47600" +tm "WireNameMgr" +) +) +on &19 +) +*48 (Wire +uid 5450,0 +shape (OrthoPolyLine +uid 5451,0 +va (VaSet +vasetType 3 +) +xt "49750,46000,53000,58000" +pts [ +"49750,46000" +"53000,46000" +"53000,58000" +] +) +start &30 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5454,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5455,0 +va (VaSet +font "courier,12,0" +) +xt "51750,44600,53850,45900" +st "enB" +blo "51750,45600" +tm "WireNameMgr" +) +) +on &20 +) +*49 (Wire +uid 5458,0 +shape (OrthoPolyLine +uid 5459,0 +va (VaSet +vasetType 3 +) +xt "49750,42000,57000,58000" +pts [ +"49750,42000" +"57000,42000" +"57000,58000" +] +) +start &31 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5462,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5463,0 +va (VaSet +font "courier,12,0" +) +xt "51750,40600,57350,41900" +st "writeEnB" +blo "51750,41600" +tm "WireNameMgr" +) +) +on &21 +) +*50 (Wire +uid 5616,0 +shape (OrthoPolyLine +uid 5617,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "49750,40000,59000,58000" +pts [ +"49750,40000" +"59000,40000" +"59000,58000" +] +) +start &33 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5620,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5621,0 +va (VaSet +font "courier,12,0" +) +xt "51750,38600,56650,39900" +st "dataInB" +blo "51750,39600" +tm "WireNameMgr" +) +) +on &38 +) +*51 (Wire +uid 5624,0 +shape (OrthoPolyLine +uid 5625,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "49750,38000,61000,58000" +pts [ +"49750,38000" +"61000,38000" +"61000,58000" +] +) +start &34 +end &12 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5628,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5629,0 +va (VaSet +font "courier,12,0" +) +xt "51750,36600,57350,37900" +st "dataOutB" +blo "51750,37600" +tm "WireNameMgr" +) +) +on &39 +) +*52 (Wire +uid 5632,0 +shape (OrthoPolyLine +uid 5633,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "49750,36000,63000,58000" +pts [ +"49750,36000" +"63000,36000" +"63000,58000" +] +) +start &32 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5636,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5637,0 +va (VaSet +font "courier,12,0" +) +xt "51750,34600,57350,35900" +st "addressB" +blo "51750,35600" +tm "WireNameMgr" +) +) +on &40 +) +*53 (Wire +uid 5640,0 +shape (OrthoPolyLine +uid 5641,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "23000,40000,32250,58000" +pts [ +"32250,40000" +"23000,40000" +"23000,58000" +] +) +start &27 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5644,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5645,0 +va (VaSet +font "courier,12,0" +) +xt "25250,38600,30150,39900" +st "dataInA" +blo "25250,39600" +tm "WireNameMgr" +) +) +on &41 +) +*54 (Wire +uid 5648,0 +shape (OrthoPolyLine +uid 5649,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "21000,38000,32250,58000" +pts [ +"32250,38000" +"21000,38000" +"21000,58000" +] +) +start &28 +end &12 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5652,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5653,0 +va (VaSet +font "courier,12,0" +) +xt "24250,36600,29850,37900" +st "dataOutA" +blo "24250,37600" +tm "WireNameMgr" +) +) +on &42 +) +*55 (Wire +uid 5656,0 +shape (OrthoPolyLine +uid 5657,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "19000,36000,32250,58000" +pts [ +"32250,36000" +"19000,36000" +"19000,58000" +] +) +start &26 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5660,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5661,0 +va (VaSet +font "courier,12,0" +) +xt "24250,34600,29850,35900" +st "addressA" +blo "24250,35600" +tm "WireNameMgr" +) +) +on &43 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *56 (PackageList +uid 187,0 +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +uid 1297,0 +va (VaSet +font "courier,12,0" +) +xt "-7000,19600,2500,21000" +st "Package List" +blo "-7000,20800" +) +*58 (MLText +uid 1298,0 +va (VaSet +) +xt "-7000,21000,11600,24000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 190,0 +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +uid 191,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,0,31000,1200" +st "Compiler Directives" +blo "20000,1000" +) +*60 (Text +uid 192,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,1400,33000,2600" +st "Pre-module directives:" +blo "20000,2400" +) +*61 (MLText +uid 193,0 +va (VaSet +isHidden 1 +) +xt "20000,2800,32000,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*62 (Text +uid 194,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,5600,33500,6800" +st "Post-module directives:" +blo "20000,6600" +) +*63 (MLText +uid 195,0 +va (VaSet +isHidden 1 +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*64 (Text +uid 196,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,7200,33200,8400" +st "End-module directives:" +blo "20000,8200" +) +*65 (MLText +uid 197,0 +va (VaSet +isHidden 1 +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "7,31,1392,967" +viewArea "-8439,18143,93001,87220" +cachedDiagramExtent "-7000,0,91000,85000" +pageSetupInfo (PageSetupInfo +ptrCmd "Generic PostScript Printer,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +scale 75 +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,19000" +lastUid 5767,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "1000,1000,3300,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*66 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,2550,7900,3950" +st "" +blo "1500,3750" +tm "BdLibraryNameMgr" +) +*67 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,3950,7000,5350" +st "" +blo "1500,5150" +tm "BlkNameMgr" +) +*68 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,5350,3000,6750" +st "I0" +blo "1500,6550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "1500,12550,1500,12550" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*69 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*70 (Text +va (VaSet +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*71 (Text +va (VaSet +) +xt "-100,5000,500,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*72 (Text +va (VaSet +) +xt "-350,2550,1950,3550" +st "Library" +blo "-350,3350" +tm "BdLibraryNameMgr" +) +*73 (Text +va (VaSet +) +xt "-350,3550,5150,4550" +st "SaComponent" +blo "-350,4350" +tm "CptNameMgr" +) +*74 (Text +va (VaSet +) +xt "-350,4550,250,5550" +st "I0" +blo "-350,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7350,550,-7350,550" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1350,0,9350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +va (VaSet +) +xt "-850,2550,1450,3550" +st "Library" +blo "-850,3350" +) +*76 (Text +va (VaSet +) +xt "-850,3550,5250,4550" +st "VhdlComponent" +blo "-850,4350" +) +*77 (Text +va (VaSet +) +xt "-850,4550,-250,5550" +st "I0" +blo "-850,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7850,550,-7850,550" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-2100,0,10100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +va (VaSet +) +xt "-1600,2550,700,3550" +st "Library" +blo "-1600,3350" +) +*79 (Text +va (VaSet +) +xt "-1600,3550,5500,4550" +st "VerilogComponent" +blo "-1600,4350" +) +*80 (Text +va (VaSet +) +xt "-1600,4550,-1000,5550" +st "I0" +blo "-1600,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-8600,550,-8600,550" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +va (VaSet +) +xt "2950,3400,4150,4400" +st "eb1" +blo "2950,4200" +tm "HdlTextNameMgr" +) +*82 (Text +va (VaSet +) +xt "2950,4400,3350,5400" +st "1" +blo "2950,5200" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineStyle 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,5100,1400" +st "bundle0" +blo "0,1200" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,12,0" +) +xt "0,1400,1400,2700" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,12,0" +) +xt "0,0,6300,1300" +st "Auto list" +) +second (MLText +va (VaSet +font "courier,12,0" +) +xt "0,1400,12600,2700" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,17400,-400" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +va (VaSet +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*84 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,10800,-400" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +va (VaSet +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*86 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-7000,25800,1600,27000" +st "Declarations" +blo "-7000,26800" +) +portLabel (Text +uid 3,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-7000,27000,-2800,28200" +st "Ports:" +blo "-7000,28000" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "-7000,27000,-1000,28200" +st "Pre User:" +blo "-7000,28000" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "-5000,28200,19000,30200" +st "constant addressBitNb : positive := 12; +constant dataBitNb : positive := 16;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-7000,27000,4000,28200" +st "Diagram Signals:" +blo "-7000,28000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-7000,27000,300,28200" +st "Post User:" +blo "-7000,28000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "-5000,41400,-5000,41400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 72,0 +usingSuid 1 +emptyRow *87 (LEmptyRow +) +uid 3310,0 +optionalChildren [ +*88 (RefLabelRowHdr +) +*89 (TitleRowHdr +) +*90 (FilterRowHdr +) +*91 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*92 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*93 (GroupColHdr +tm "GroupColHdrMgr" +) +*94 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*95 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*96 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*97 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*98 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*99 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*100 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "clockA" +t "std_ulogic" +o 3 +suid 55,0 +) +) +uid 5428,0 +) +*101 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "enA" +t "std_ulogic" +o 9 +suid 56,0 +) +) +uid 5430,0 +) +*102 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "writeEnA" +t "std_ulogic" +o 11 +suid 57,0 +) +) +uid 5432,0 +) +*103 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "clockB" +t "std_ulogic" +o 4 +suid 61,0 +) +) +uid 5488,0 +) +*104 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "enB" +t "std_ulogic" +o 10 +suid 62,0 +) +) +uid 5490,0 +) +*105 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "writeEnB" +t "std_ulogic" +o 12 +suid 63,0 +) +) +uid 5492,0 +) +*106 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "dataInB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 67,0 +) +) +uid 5662,0 +) +*107 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "dataOutB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 68,0 +) +) +uid 5664,0 +) +*108 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "addressB" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 2 +suid 69,0 +) +) +uid 5666,0 +) +*109 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "dataInA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 70,0 +) +) +uid 5668,0 +) +*110 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "dataOutA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 7 +suid 71,0 +) +) +uid 5670,0 +) +*111 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "addressA" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 1 +suid 72,0 +) +) +uid 5672,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 3323,0 +optionalChildren [ +*112 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *113 (MRCItem +litem &87 +pos 12 +dimension 20 +) +uid 3325,0 +optionalChildren [ +*114 (MRCItem +litem &88 +pos 0 +dimension 20 +uid 3326,0 +) +*115 (MRCItem +litem &89 +pos 1 +dimension 23 +uid 3327,0 +) +*116 (MRCItem +litem &90 +pos 2 +hidden 1 +dimension 20 +uid 3328,0 +) +*117 (MRCItem +litem &100 +pos 0 +dimension 20 +uid 5429,0 +) +*118 (MRCItem +litem &101 +pos 1 +dimension 20 +uid 5431,0 +) +*119 (MRCItem +litem &102 +pos 2 +dimension 20 +uid 5433,0 +) +*120 (MRCItem +litem &103 +pos 3 +dimension 20 +uid 5489,0 +) +*121 (MRCItem +litem &104 +pos 4 +dimension 20 +uid 5491,0 +) +*122 (MRCItem +litem &105 +pos 5 +dimension 20 +uid 5493,0 +) +*123 (MRCItem +litem &106 +pos 6 +dimension 20 +uid 5663,0 +) +*124 (MRCItem +litem &107 +pos 7 +dimension 20 +uid 5665,0 +) +*125 (MRCItem +litem &108 +pos 8 +dimension 20 +uid 5667,0 +) +*126 (MRCItem +litem &109 +pos 9 +dimension 20 +uid 5669,0 +) +*127 (MRCItem +litem &110 +pos 10 +dimension 20 +uid 5671,0 +) +*128 (MRCItem +litem &111 +pos 11 +dimension 20 +uid 5673,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 3329,0 +optionalChildren [ +*129 (MRCItem +litem &91 +pos 0 +dimension 20 +uid 3330,0 +) +*130 (MRCItem +litem &93 +pos 1 +dimension 50 +uid 3331,0 +) +*131 (MRCItem +litem &94 +pos 2 +dimension 100 +uid 3332,0 +) +*132 (MRCItem +litem &95 +pos 3 +dimension 50 +uid 3333,0 +) +*133 (MRCItem +litem &96 +pos 4 +dimension 100 +uid 3334,0 +) +*134 (MRCItem +litem &97 +pos 5 +dimension 100 +uid 3335,0 +) +*135 (MRCItem +litem &98 +pos 6 +dimension 50 +uid 3336,0 +) +*136 (MRCItem +litem &99 +pos 7 +dimension 80 +uid 3337,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 3324,0 +vaOverrides [ +] +) +] +) +uid 3309,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *137 (LEmptyRow +) +uid 3339,0 +optionalChildren [ +*138 (RefLabelRowHdr +) +*139 (TitleRowHdr +) +*140 (FilterRowHdr +) +*141 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*142 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*143 (GroupColHdr +tm "GroupColHdrMgr" +) +*144 (NameColHdr +tm "GenericNameColHdrMgr" +) +*145 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*146 (InitColHdr +tm "GenericValueColHdrMgr" +) +*147 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*148 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 3351,0 +optionalChildren [ +*149 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *150 (MRCItem +litem &137 +pos 0 +dimension 20 +) +uid 3353,0 +optionalChildren [ +*151 (MRCItem +litem &138 +pos 0 +dimension 20 +uid 3354,0 +) +*152 (MRCItem +litem &139 +pos 1 +dimension 23 +uid 3355,0 +) +*153 (MRCItem +litem &140 +pos 2 +hidden 1 +dimension 20 +uid 3356,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 3357,0 +optionalChildren [ +*154 (MRCItem +litem &141 +pos 0 +dimension 20 +uid 3358,0 +) +*155 (MRCItem +litem &143 +pos 1 +dimension 50 +uid 3359,0 +) +*156 (MRCItem +litem &144 +pos 2 +dimension 100 +uid 3360,0 +) +*157 (MRCItem +litem &145 +pos 3 +dimension 100 +uid 3361,0 +) +*158 (MRCItem +litem &146 +pos 4 +dimension 50 +uid 3362,0 +) +*159 (MRCItem +litem &147 +pos 5 +dimension 50 +uid 3363,0 +) +*160 (MRCItem +litem &148 +pos 6 +dimension 80 +uid 3364,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 3352,0 +vaOverrides [ +] +) +] +) +uid 3338,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Memory_test/hds/bram_tb/symbol.sb b/Libs/Memory_test/hds/bram_tb/symbol.sb new file mode 100644 index 0000000..abf403b --- /dev/null +++ b/Libs/Memory_test/hds/bram_tb/symbol.sb @@ -0,0 +1,1256 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 107,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 55,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 58,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 60,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 62,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 56,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 64,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 68,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 70,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 74,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 76,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 78,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 54,0 +vaOverrides [ +] +) +] +) +uid 106,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 111,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 82,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 85,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 87,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 89,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 83,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 91,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 95,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 97,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 99,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 101,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 103,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 105,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "bram_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:28" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\SysLo\\Master\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "bram_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:28" +) +(vvPair +variable "unit" +value "bram_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29000,13000,43000,27000" +) +oxt "15000,6000,20000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "29950,21900,37150,22900" +st "Memory_test" +blo "29950,22700" +) +second (Text +uid 12,0 +va (VaSet +) +xt "29950,22900,34150,23900" +st "bram_tb" +blo "29950,23700" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,27600,42500,28500" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sT 1 +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41500,69800,42500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 23,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "29750,41350,40250,42650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47500,41000,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41500,53000,42500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43500,43400,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43500,25800,44500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 38,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45500,25200,46500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,61400,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45500,43400,46500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47500,26400,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 52,0 +va (VaSet +font "courier,12,1" +) +xt "0,0,8800,1500" +st "Package List" +blo "0,1200" +) +*66 (MLText +uid 53,0 +va (VaSet +font "courier,12,0" +) +xt "0,1500,0,1500" +tm "PackageList" +) +] +) +windowSize "102,76,952,712" +viewArea "-8021,-1136,81281,50396" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,6000,20000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "15950,14900,19050,15900" +st "" +blo "15950,15700" +) +second (Text +va (VaSet +) +xt "15950,15900,18150,16900" +st "" +blo "15950,16700" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,3400,7400,4600" +st "Declarations" +blo "0,4400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "0,4600,3500,5800" +st "Ports:" +blo "0,5600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "0,5800,3000,6800" +st "User:" +blo "0,6600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,3400,7800,4600" +st "Internal User:" +blo "0,4400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,6800,2000,6800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,3400,0,3400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 134,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/Libs/Memory_test/hds/bram_tester/interface b/Libs/Memory_test/hds/bram_tester/interface new file mode 100644 index 0000000..a2dd414 --- /dev/null +++ b/Libs/Memory_test/hds/bram_tester/interface @@ -0,0 +1,2134 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 60,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 137,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "addressA" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 1 +suid 49,0 +) +) +uid 646,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "addressB" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 2 +suid 50,0 +) +) +uid 648,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "clockA" +t "std_ulogic" +o 3 +suid 51,0 +) +) +uid 650,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "clockB" +t "std_ulogic" +o 4 +suid 52,0 +) +) +uid 652,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataInA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 53,0 +) +) +uid 654,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataInB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 54,0 +) +) +uid 656,0 +) +*20 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "dataOutA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 7 +suid 55,0 +) +) +uid 658,0 +) +*21 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "dataOutB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 56,0 +) +) +uid 660,0 +) +*22 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "enA" +t "std_ulogic" +o 9 +suid 57,0 +) +) +uid 662,0 +) +*23 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "enB" +t "std_ulogic" +o 10 +suid 58,0 +) +) +uid 664,0 +) +*24 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "writeEnA" +t "std_ulogic" +o 11 +suid 59,0 +) +) +uid 666,0 +) +*25 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "writeEnB" +t "std_ulogic" +o 12 +suid 60,0 +) +) +uid 668,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 150,0 +optionalChildren [ +*26 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *27 (MRCItem +litem &1 +pos 12 +dimension 20 +) +uid 152,0 +optionalChildren [ +*28 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 153,0 +) +*29 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 154,0 +) +*30 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 155,0 +) +*31 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 647,0 +) +*32 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 649,0 +) +*33 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 651,0 +) +*34 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 653,0 +) +*35 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 655,0 +) +*36 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 657,0 +) +*37 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 659,0 +) +*38 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 661,0 +) +*39 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 663,0 +) +*40 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 665,0 +) +*41 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 667,0 +) +*42 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 669,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 156,0 +optionalChildren [ +*43 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 157,0 +) +*44 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 158,0 +) +*45 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 159,0 +) +*46 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 160,0 +) +*47 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 161,0 +) +*48 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 162,0 +) +*49 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 163,0 +) +*50 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 164,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 151,0 +vaOverrides [ +] +) +] +) +uid 136,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *51 (LEmptyRow +) +uid 166,0 +optionalChildren [ +*52 (RefLabelRowHdr +) +*53 (TitleRowHdr +) +*54 (FilterRowHdr +) +*55 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*56 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*57 (GroupColHdr +tm "GroupColHdrMgr" +) +*58 (NameColHdr +tm "GenericNameColHdrMgr" +) +*59 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*60 (InitColHdr +tm "GenericValueColHdrMgr" +) +*61 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*62 (EolColHdr +tm "GenericEolColHdrMgr" +) +*63 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "8" +) +uid 215,0 +) +*64 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 217,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 178,0 +optionalChildren [ +*65 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *66 (MRCItem +litem &51 +pos 2 +dimension 20 +) +uid 180,0 +optionalChildren [ +*67 (MRCItem +litem &52 +pos 0 +dimension 20 +uid 181,0 +) +*68 (MRCItem +litem &53 +pos 1 +dimension 23 +uid 182,0 +) +*69 (MRCItem +litem &54 +pos 2 +hidden 1 +dimension 20 +uid 183,0 +) +*70 (MRCItem +litem &63 +pos 0 +dimension 20 +uid 216,0 +) +*71 (MRCItem +litem &64 +pos 1 +dimension 20 +uid 218,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 184,0 +optionalChildren [ +*72 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 185,0 +) +*73 (MRCItem +litem &57 +pos 1 +dimension 50 +uid 186,0 +) +*74 (MRCItem +litem &58 +pos 2 +dimension 100 +uid 187,0 +) +*75 (MRCItem +litem &59 +pos 3 +dimension 100 +uid 188,0 +) +*76 (MRCItem +litem &60 +pos 4 +dimension 50 +uid 189,0 +) +*77 (MRCItem +litem &61 +pos 5 +dimension 50 +uid 190,0 +) +*78 (MRCItem +litem &62 +pos 6 +dimension 80 +uid 191,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 179,0 +vaOverrides [ +] +) +] +) +uid 165,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tester/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tester/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tester" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tester" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "bram_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:28" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "memory_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "bram_tester" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tester/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tester/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:45:28" +) +(vvPair +variable "unit" +value "bram_tester" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 135,0 +optionalChildren [ +*79 (SymbolBody +uid 8,0 +optionalChildren [ +*80 (CptPort +uid 586,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 587,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 588,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 589,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "22550,7000,23450,11000" +st "addressA" +ju 2 +blo "23250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 590,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,76000,4700" +st "addressA : OUT std_ulogic_vector (addressBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "addressA" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 1 +suid 49,0 +) +) +) +*81 (CptPort +uid 591,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 592,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "66625,5250,67375,6000" +) +tg (CPTG +uid 593,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 594,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "66550,7000,67450,11000" +st "addressB" +ju 2 +blo "67250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 595,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,76000,5600" +st "addressB : OUT std_ulogic_vector (addressBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "addressB" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 2 +suid 50,0 +) +) +) +*82 (CptPort +uid 596,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 597,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34625,5250,35375,6000" +) +tg (CPTG +uid 598,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 599,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "34550,7000,35450,10000" +st "clockA" +ju 2 +blo "35250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 600,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5600,60000,6500" +st "clockA : OUT std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clockA" +t "std_ulogic" +o 3 +suid 51,0 +) +) +) +*83 (CptPort +uid 601,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 602,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54625,5250,55375,6000" +) +tg (CPTG +uid 603,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 604,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "54550,7000,55450,10000" +st "clockB" +ju 2 +blo "55250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 605,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,60000,7400" +st "clockB : OUT std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clockB" +t "std_ulogic" +o 4 +suid 52,0 +) +) +) +*84 (CptPort +uid 606,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 607,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 608,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 609,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "26550,7000,27450,10500" +st "dataInA" +ju 2 +blo "27250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 610,0 +va (VaSet +font "courier,8,0" +) +xt "44000,7400,74500,8300" +st "dataInA : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataInA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 53,0 +) +) +) +*85 (CptPort +uid 611,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 612,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62625,5250,63375,6000" +) +tg (CPTG +uid 613,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 614,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "62550,7000,63450,10500" +st "dataInB" +ju 2 +blo "63250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 615,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8300,74500,9200" +st "dataInB : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataInB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 54,0 +) +) +) +*86 (CptPort +uid 616,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 617,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 618,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 619,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "24550,7000,25450,11000" +st "dataOutA" +ju 2 +blo "25250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 620,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,74500,2900" +st "dataOutA : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "dataOutA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 7 +suid 55,0 +) +) +) +*87 (CptPort +uid 621,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 622,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "64625,5250,65375,6000" +) +tg (CPTG +uid 623,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 624,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "64550,7000,65450,11000" +st "dataOutB" +ju 2 +blo "65250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 625,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,74500,3800" +st "dataOutB : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "dataOutB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 56,0 +) +) +) +*88 (CptPort +uid 626,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 627,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32625,5250,33375,6000" +) +tg (CPTG +uid 628,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 629,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "32550,7000,33450,8500" +st "enA" +ju 2 +blo "33250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 630,0 +va (VaSet +font "courier,8,0" +) +xt "44000,9200,60000,10100" +st "enA : OUT std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "enA" +t "std_ulogic" +o 9 +suid 57,0 +) +) +) +*89 (CptPort +uid 631,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 632,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56625,5250,57375,6000" +) +tg (CPTG +uid 633,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 634,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "56550,7000,57450,8500" +st "enB" +ju 2 +blo "57250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 635,0 +va (VaSet +font "courier,8,0" +) +xt "44000,10100,60000,11000" +st "enB : OUT std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "enB" +t "std_ulogic" +o 10 +suid 58,0 +) +) +) +*90 (CptPort +uid 636,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 637,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 638,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 639,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "28550,7000,29450,11000" +st "writeEnA" +ju 2 +blo "29250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 640,0 +va (VaSet +font "courier,8,0" +) +xt "44000,11000,60000,11900" +st "writeEnA : OUT std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "writeEnA" +t "std_ulogic" +o 11 +suid 59,0 +) +) +) +*91 (CptPort +uid 641,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 642,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60625,5250,61375,6000" +) +tg (CPTG +uid 643,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 644,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "60550,7000,61450,11000" +st "writeEnB" +ju 2 +blo "61250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 645,0 +va (VaSet +font "courier,8,0" +) +xt "44000,11900,59000,12800" +st "writeEnB : OUT std_ulogic +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "writeEnB" +t "std_ulogic" +o 12 +suid 60,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,75000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "42000,9100,48000,10000" +st "memory_test" +blo "42000,9800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "42000,10000,48000,10900" +st "bram_tester" +blo "42000,10700" +) +) +gi *92 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "21000,6000,34000,9600" +st "Generic Declarations + +addressBitNb positive 8 +dataBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "8" +) +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*93 (Grouping +uid 16,0 +optionalChildren [ +*94 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*95 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*96 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*97 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*98 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*99 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*100 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*101 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*102 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*103 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *104 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*105 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*106 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1016,690" +viewArea "-500,-500,71230,48820" +cachedDiagramExtent "0,0,77000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Memory_test" +entityName "bram_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *107 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *108 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,12800,44500,13700" +st "User:" +blo "42000,13500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,13700,44000,13700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 669,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory_test/hds/fifo_tb/struct.bd b/Libs/Memory_test/hds/fifo_tb/struct.bd new file mode 100644 index 0000000..f02d952 --- /dev/null +++ b/Libs/Memory_test/hds/fifo_tb/struct.bd @@ -0,0 +1,3219 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_tester" +duLibraryName "Memory_test" +duName "fifo_tester" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "fifoDepth" +type "positive" +value "fifoDepth" +) +] +mwi 0 +uid 1774,0 +) +(Instance +name "I_DUT" +duLibraryName "Memory" +duName "FIFO" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "depth" +type "positive" +value "fifoDepth" +) +] +mwi 0 +uid 5921,0 +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "fifo_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:28" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "fifo_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:45:28" +) +(vvPair +variable "unit" +value "fifo_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 198,0 +optionalChildren [ +*1 (Grouping +uid 1487,0 +optionalChildren [ +*2 (CommentText +uid 1489,0 +shape (Rectangle +uid 1490,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,77000,91000,79000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 1491,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,77500,87800,78500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 1492,0 +shape (Rectangle +uid 1493,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,77000,66000,79000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 1494,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "47750,77350,58250,78650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 1495,0 +shape (Rectangle +uid 1496,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "45000,83000,66000,85000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 1497,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "45200,83500,61400,84500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 1498,0 +shape (Rectangle +uid 1499,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "66000,77000,72000,79000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 1500,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "66200,77500,71000,78500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 1501,0 +shape (Rectangle +uid 1502,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "45000,79000,66000,81000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 1503,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "45200,79500,61400,80500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 1504,0 +shape (Rectangle +uid 1505,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,79000,45000,81000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 1506,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,79500,43800,80500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 1507,0 +shape (Rectangle +uid 1508,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,81000,45000,83000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 1509,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,81500,43200,82500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 1510,0 +shape (Rectangle +uid 1511,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "66000,79000,91000,85000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 1512,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "66200,79200,79400,80200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*10 (CommentText +uid 1513,0 +shape (Rectangle +uid 1514,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "45000,81000,66000,83000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 1515,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "45200,81500,61400,82500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 1516,0 +shape (Rectangle +uid 1517,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,83000,45000,85000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 1518,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,83500,44400,84500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 1488,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "40000,77000,91000,85000" +) +oxt "13000,22000,64000,30000" +) +*12 (Blk +uid 1774,0 +shape (Rectangle +uid 1775,0 +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "15000,58000,67000,66000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1776,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 1777,0 +va (VaSet +) +xt "15600,65900,23600,67100" +st "Memory_test" +blo "15600,66900" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 1778,0 +va (VaSet +) +xt "15600,67100,22100,68300" +st "fifo_tester" +blo "15600,68100" +tm "BlkNameMgr" +) +*15 (Text +uid 1779,0 +va (VaSet +) +xt "15600,68300,20400,69500" +st "I_tester" +blo "15600,69300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1780,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1781,0 +text (MLText +uid 1782,0 +va (VaSet +) +xt "15000,70600,39000,72600" +st "dataBitNb = dataBitNb ( positive ) +fifoDepth = fifoDepth ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "fifoDepth" +type "positive" +value "fifoDepth" +) +] +) +viewicon (ZoomableIcon +uid 5954,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "15250,64250,16750,65750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*16 (Net +uid 5809,0 +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 73,0 +) +declText (MLText +uid 5810,0 +va (VaSet +isHidden 1 +) +xt "0,0,16800,1000" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 5817,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 74,0 +) +declText (MLText +uid 5818,0 +va (VaSet +isHidden 1 +) +xt "0,0,16800,1000" +st "SIGNAL clock : std_ulogic" +) +) +*18 (Net +uid 5825,0 +decl (Decl +n "write" +t "std_ulogic" +o 8 +suid 75,0 +) +declText (MLText +uid 5826,0 +va (VaSet +isHidden 1 +) +xt "0,0,16800,1000" +st "SIGNAL write : std_ulogic" +) +) +*19 (Net +uid 5833,0 +decl (Decl +n "full" +t "std_ulogic" +o 5 +suid 76,0 +) +declText (MLText +uid 5834,0 +va (VaSet +isHidden 1 +) +xt "0,0,16800,1000" +st "SIGNAL full : std_ulogic" +) +) +*20 (Net +uid 5841,0 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 77,0 +) +declText (MLText +uid 5842,0 +va (VaSet +isHidden 1 +) +xt "0,0,34200,1000" +st "SIGNAL dataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*21 (Net +uid 5849,0 +decl (Decl +n "read" +t "std_ulogic" +o 6 +suid 78,0 +) +declText (MLText +uid 5850,0 +va (VaSet +isHidden 1 +) +xt "0,0,16800,1000" +st "SIGNAL read : std_ulogic" +) +) +*22 (Net +uid 5857,0 +decl (Decl +n "empty" +t "std_ulogic" +o 4 +suid 79,0 +) +declText (MLText +uid 5858,0 +va (VaSet +isHidden 1 +) +xt "0,0,16800,1000" +st "SIGNAL empty : std_ulogic" +) +) +*23 (Net +uid 5865,0 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 80,0 +) +declText (MLText +uid 5866,0 +va (VaSet +isHidden 1 +) +xt "0,0,34200,1000" +st "SIGNAL dataOut : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*24 (SaComponent +uid 5921,0 +optionalChildren [ +*25 (CptPort +uid 5889,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5890,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,41625,35000,42375" +) +tg (CPTG +uid 5891,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5892,0 +va (VaSet +) +xt "36000,41400,39100,42600" +st "write" +blo "36000,42400" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*26 (CptPort +uid 5893,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5894,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,45625,35000,46375" +) +tg (CPTG +uid 5895,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5896,0 +va (VaSet +) +xt "36000,45400,39400,46600" +st "clock" +blo "36000,46400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*27 (CptPort +uid 5897,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5898,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,47625,35000,48375" +) +tg (CPTG +uid 5899,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5900,0 +va (VaSet +) +xt "36000,47400,39300,48600" +st "reset" +blo "36000,48400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*28 (CptPort +uid 5901,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5902,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,37625,51750,38375" +) +tg (CPTG +uid 5903,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5904,0 +va (VaSet +) +xt "45201,37400,50001,38600" +st "dataOut" +ju 2 +blo "50001,38400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*29 (CptPort +uid 5905,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5906,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,41625,51750,42375" +) +tg (CPTG +uid 5907,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5908,0 +va (VaSet +) +xt "47100,41400,50000,42600" +st "read" +ju 2 +blo "50000,42400" +) +) +thePort (LogicalPort +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*30 (CptPort +uid 5909,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5910,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,37625,35000,38375" +) +tg (CPTG +uid 5911,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5912,0 +va (VaSet +) +xt "35999,37400,39999,38600" +st "dataIn" +blo "35999,38400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*31 (CptPort +uid 5913,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5914,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,39625,51750,40375" +) +tg (CPTG +uid 5915,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5916,0 +va (VaSet +) +xt "46200,39400,50000,40600" +st "empty" +ju 2 +blo "50000,40400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 7,0 +) +) +) +*32 (CptPort +uid 5917,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5918,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,39625,35000,40375" +) +tg (CPTG +uid 5919,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5920,0 +va (VaSet +) +xt "36000,39400,38200,40600" +st "full" +blo "36000,40400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 5922,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,34000,51000,50000" +) +oxt "34000,12000,50000,28000" +ttg (MlTextGroup +uid 5923,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 5924,0 +va (VaSet +) +xt "35600,49800,40300,51000" +st "Memory" +blo "35600,50800" +tm "BdLibraryNameMgr" +) +*34 (Text +uid 5925,0 +va (VaSet +) +xt "35600,51000,38900,52200" +st "FIFO" +blo "35600,52000" +tm "CptNameMgr" +) +*35 (Text +uid 5926,0 +va (VaSet +) +xt "35600,52200,39700,53400" +st "I_DUT" +blo "35600,53200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 5927,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 5928,0 +text (MLText +uid 5929,0 +va (VaSet +font "courier,8,0" +) +xt "35000,54000,55000,55800" +st "dataBitNb = dataBitNb ( positive ) +depth = fifoDepth ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "depth" +type "positive" +value "fifoDepth" +) +] +) +viewicon (ZoomableIcon +uid 5930,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "35250,48250,36750,49750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +archFileType "UNKNOWN" +) +*36 (Wire +uid 5811,0 +shape (OrthoPolyLine +uid 5812,0 +va (VaSet +vasetType 3 +) +xt "33000,48000,34250,58000" +pts [ +"34250,48000" +"33000,48000" +"33000,58000" +] +) +start &27 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5815,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5816,0 +va (VaSet +font "courier,12,0" +) +xt "29250,46600,32750,47900" +st "reset" +blo "29250,47600" +tm "WireNameMgr" +) +) +on &16 +) +*37 (Wire +uid 5819,0 +shape (OrthoPolyLine +uid 5820,0 +va (VaSet +vasetType 3 +) +xt "31000,46000,34250,58000" +pts [ +"34250,46000" +"31000,46000" +"31000,58000" +] +) +start &26 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5823,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5824,0 +va (VaSet +font "courier,12,0" +) +xt "29250,44600,32750,45900" +st "clock" +blo "29250,45600" +tm "WireNameMgr" +) +) +on &17 +) +*38 (Wire +uid 5827,0 +shape (OrthoPolyLine +uid 5828,0 +va (VaSet +vasetType 3 +) +xt "27000,42000,34250,58000" +pts [ +"34250,42000" +"27000,42000" +"27000,58000" +] +) +start &25 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5831,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5832,0 +va (VaSet +font "courier,12,0" +) +xt "29250,40600,32750,41900" +st "write" +blo "29250,41600" +tm "WireNameMgr" +) +) +on &18 +) +*39 (Wire +uid 5835,0 +shape (OrthoPolyLine +uid 5836,0 +va (VaSet +vasetType 3 +) +xt "25000,40000,34250,58000" +pts [ +"34250,40000" +"25000,40000" +"25000,58000" +] +) +start &32 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5839,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5840,0 +va (VaSet +font "courier,12,0" +) +xt "30250,38600,33050,39900" +st "full" +blo "30250,39600" +tm "WireNameMgr" +) +) +on &19 +) +*40 (Wire +uid 5843,0 +shape (OrthoPolyLine +uid 5844,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "23000,38000,34250,58000" +pts [ +"34250,38000" +"23000,38000" +"23000,58000" +] +) +start &30 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5847,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5848,0 +va (VaSet +font "courier,12,0" +) +xt "28250,36600,32450,37900" +st "dataIn" +blo "28250,37600" +tm "WireNameMgr" +) +) +on &20 +) +*41 (Wire +uid 5851,0 +shape (OrthoPolyLine +uid 5852,0 +va (VaSet +vasetType 3 +) +xt "51750,42000,55000,58000" +pts [ +"51750,42000" +"55000,42000" +"55000,58000" +] +) +start &29 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5855,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5856,0 +va (VaSet +font "courier,12,0" +) +xt "53750,40600,57450,42000" +st "read" +blo "53750,41800" +tm "WireNameMgr" +) +) +on &21 +) +*42 (Wire +uid 5859,0 +shape (OrthoPolyLine +uid 5860,0 +va (VaSet +vasetType 3 +) +xt "51750,40000,57000,58000" +pts [ +"51750,40000" +"57000,40000" +"57000,58000" +] +) +start &31 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5863,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5864,0 +va (VaSet +font "courier,12,0" +) +xt "53750,38600,57250,39900" +st "empty" +blo "53750,39600" +tm "WireNameMgr" +) +) +on &22 +) +*43 (Wire +uid 5867,0 +shape (OrthoPolyLine +uid 5868,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "51750,38000,59000,58000" +pts [ +"51750,38000" +"59000,38000" +"59000,58000" +] +) +start &28 +end &12 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5871,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5872,0 +va (VaSet +font "courier,12,0" +) +xt "53750,36600,58650,37900" +st "dataOut" +blo "53750,37600" +tm "WireNameMgr" +) +) +on &23 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *44 (PackageList +uid 187,0 +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +uid 1297,0 +va (VaSet +font "courier,8,1" +) +xt "-7000,19600,-1600,20600" +st "Package List" +blo "-7000,20400" +) +*46 (MLText +uid 1298,0 +va (VaSet +font "courier,8,0" +) +xt "-7000,20600,8500,23300" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 190,0 +stg "VerticalLayoutStrategy" +textVec [ +*47 (Text +uid 191,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,0,31000,1200" +st "Compiler Directives" +blo "20000,1000" +) +*48 (Text +uid 192,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,1400,33000,2600" +st "Pre-module directives:" +blo "20000,2400" +) +*49 (MLText +uid 193,0 +va (VaSet +isHidden 1 +) +xt "20000,2800,32000,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*50 (Text +uid 194,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,5600,33500,6800" +st "Post-module directives:" +blo "20000,6600" +) +*51 (MLText +uid 195,0 +va (VaSet +isHidden 1 +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*52 (Text +uid 196,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,7200,33200,8400" +st "End-module directives:" +blo "20000,8200" +) +*53 (MLText +uid 197,0 +va (VaSet +isHidden 1 +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "118,40,1394,908" +viewArea "10133,25086,76534,68615" +cachedDiagramExtent "-7000,0,91000,85000" +pageSetupInfo (PageSetupInfo +ptrCmd "Generic PostScript Printer,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +scale 75 +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,19000" +lastUid 6048,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "-150,900,4450,2100" +st "Panel0" +blo "-150,1900" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +) +xt "1500,2550,6800,3750" +st "" +blo "1500,3550" +tm "BdLibraryNameMgr" +) +*55 (Text +va (VaSet +) +xt "1500,3750,6300,4950" +st "" +blo "1500,4750" +tm "BlkNameMgr" +) +*56 (Text +va (VaSet +) +xt "1500,4950,3400,6150" +st "I0" +blo "1500,5950" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1500,12550,1500,12550" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "250,8250,1750,9750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*58 (Text +va (VaSet +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*59 (Text +va (VaSet +) +xt "-100,5000,1800,6200" +st "I0" +blo "-100,6000" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +va (VaSet +) +xt "-350,2550,1950,3550" +st "Library" +blo "-350,3350" +tm "BdLibraryNameMgr" +) +*61 (Text +va (VaSet +) +xt "-350,3550,5150,4550" +st "SaComponent" +blo "-350,4350" +tm "CptNameMgr" +) +*62 (Text +va (VaSet +) +xt "-350,4550,1550,5750" +st "I0" +blo "-350,5550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-7350,550,-7350,550" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "-600,8250,900,9750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-1350,0,9350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +va (VaSet +) +xt "-850,2550,1450,3550" +st "Library" +blo "-850,3350" +) +*64 (Text +va (VaSet +) +xt "-850,3550,5250,4550" +st "VhdlComponent" +blo "-850,4350" +) +*65 (Text +va (VaSet +) +xt "-850,4550,1050,5750" +st "I0" +blo "-850,5550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-7850,550,-7850,550" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-2100,0,10100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*66 (Text +va (VaSet +) +xt "-1600,2550,700,3550" +st "Library" +blo "-1600,3350" +) +*67 (Text +va (VaSet +) +xt "-1600,3550,5500,4550" +st "VerilogComponent" +blo "-1600,4350" +) +*68 (Text +va (VaSet +) +xt "-1600,4550,300,5750" +st "I0" +blo "-1600,5550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-8600,550,-8600,550" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*69 (Text +va (VaSet +font "courier,8,0" +) +xt "2950,3400,4950,4400" +st "eb1" +blo "2950,4200" +tm "HdlTextNameMgr" +) +*70 (Text +va (VaSet +font "courier,8,0" +) +xt "2950,4400,3950,5400" +st "1" +blo "2950,5200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "250,8250,1750,9750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,0" +) +xt "-550,-500,550,500" +st "G" +blo "-550,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "courier,12,0" +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "courier,12,0" +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "courier,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "courier,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,3400,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,4700,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,0" +) +xt "0,400,3700,1400" +st "bundle0" +blo "0,1200" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,8,0" +) +xt "0,1400,1000,2300" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,8,0" +) +xt "0,0,4500,900" +st "Auto list" +) +second (MLText +va (VaSet +font "courier,8,0" +) +xt "0,1000,9000,1900" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "2150,-1300,16650,-400" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "50,400,1050,1400" +st "1" +blo "50,1200" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*71 (Text +va (VaSet +font "courier,8,1" +) +xt "11800,20000,21800,21000" +st "Frame Declarations" +blo "11800,20800" +) +*72 (MLText +va (VaSet +font "courier,8,0" +) +xt "11800,21000,11800,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "1100,-1300,10100,-400" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "50,400,1050,1400" +st "1" +blo "50,1200" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +va (VaSet +font "courier,8,1" +) +xt "11800,20000,21800,21000" +st "Frame Declarations" +blo "11800,20800" +) +*74 (MLText +va (VaSet +font "courier,8,0" +) +xt "11800,21000,11800,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2100,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2100,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-7000,25800,0,26800" +st "Declarations" +blo "-7000,26600" +) +portLabel (Text +uid 3,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-7000,27000,-3600,28000" +st "Ports:" +blo "-7000,27800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-7000,26800,-2200,27800" +st "Pre User:" +blo "-7000,27600" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "-5000,27800,16600,29800" +st "constant dataBitNb : positive := 8; +constant fifoDepth: positive := 8;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-7000,27000,2000,28000" +st "Diagram Signals:" +blo "-7000,27800" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-7000,27000,-1000,28000" +st "Post User:" +blo "-7000,27800" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "-5000,41400,-5000,41400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 80,0 +usingSuid 1 +emptyRow *75 (LEmptyRow +) +uid 3310,0 +optionalChildren [ +*76 (RefLabelRowHdr +) +*77 (TitleRowHdr +) +*78 (FilterRowHdr +) +*79 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*80 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*81 (GroupColHdr +tm "GroupColHdrMgr" +) +*82 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*83 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*84 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*85 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*86 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*87 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*88 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 73,0 +) +) +uid 5873,0 +) +*89 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 74,0 +) +) +uid 5875,0 +) +*90 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "write" +t "std_ulogic" +o 8 +suid 75,0 +) +) +uid 5877,0 +) +*91 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "full" +t "std_ulogic" +o 5 +suid 76,0 +) +) +uid 5879,0 +) +*92 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 77,0 +) +) +uid 5881,0 +) +*93 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "read" +t "std_ulogic" +o 6 +suid 78,0 +) +) +uid 5883,0 +) +*94 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "empty" +t "std_ulogic" +o 4 +suid 79,0 +) +) +uid 5885,0 +) +*95 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 80,0 +) +) +uid 5887,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 3323,0 +optionalChildren [ +*96 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *97 (MRCItem +litem &75 +pos 8 +dimension 20 +) +uid 3325,0 +optionalChildren [ +*98 (MRCItem +litem &76 +pos 0 +dimension 20 +uid 3326,0 +) +*99 (MRCItem +litem &77 +pos 1 +dimension 23 +uid 3327,0 +) +*100 (MRCItem +litem &78 +pos 2 +hidden 1 +dimension 20 +uid 3328,0 +) +*101 (MRCItem +litem &88 +pos 0 +dimension 20 +uid 5874,0 +) +*102 (MRCItem +litem &89 +pos 1 +dimension 20 +uid 5876,0 +) +*103 (MRCItem +litem &90 +pos 2 +dimension 20 +uid 5878,0 +) +*104 (MRCItem +litem &91 +pos 3 +dimension 20 +uid 5880,0 +) +*105 (MRCItem +litem &92 +pos 4 +dimension 20 +uid 5882,0 +) +*106 (MRCItem +litem &93 +pos 5 +dimension 20 +uid 5884,0 +) +*107 (MRCItem +litem &94 +pos 6 +dimension 20 +uid 5886,0 +) +*108 (MRCItem +litem &95 +pos 7 +dimension 20 +uid 5888,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 3329,0 +optionalChildren [ +*109 (MRCItem +litem &79 +pos 0 +dimension 20 +uid 3330,0 +) +*110 (MRCItem +litem &81 +pos 1 +dimension 50 +uid 3331,0 +) +*111 (MRCItem +litem &82 +pos 2 +dimension 100 +uid 3332,0 +) +*112 (MRCItem +litem &83 +pos 3 +dimension 50 +uid 3333,0 +) +*113 (MRCItem +litem &84 +pos 4 +dimension 100 +uid 3334,0 +) +*114 (MRCItem +litem &85 +pos 5 +dimension 100 +uid 3335,0 +) +*115 (MRCItem +litem &86 +pos 6 +dimension 50 +uid 3336,0 +) +*116 (MRCItem +litem &87 +pos 7 +dimension 80 +uid 3337,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 3324,0 +vaOverrides [ +] +) +] +) +uid 3309,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *117 (LEmptyRow +) +uid 3339,0 +optionalChildren [ +*118 (RefLabelRowHdr +) +*119 (TitleRowHdr +) +*120 (FilterRowHdr +) +*121 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*122 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*123 (GroupColHdr +tm "GroupColHdrMgr" +) +*124 (NameColHdr +tm "GenericNameColHdrMgr" +) +*125 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*126 (InitColHdr +tm "GenericValueColHdrMgr" +) +*127 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*128 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 3351,0 +optionalChildren [ +*129 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *130 (MRCItem +litem &117 +pos 0 +dimension 20 +) +uid 3353,0 +optionalChildren [ +*131 (MRCItem +litem &118 +pos 0 +dimension 20 +uid 3354,0 +) +*132 (MRCItem +litem &119 +pos 1 +dimension 23 +uid 3355,0 +) +*133 (MRCItem +litem &120 +pos 2 +hidden 1 +dimension 20 +uid 3356,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 3357,0 +optionalChildren [ +*134 (MRCItem +litem &121 +pos 0 +dimension 20 +uid 3358,0 +) +*135 (MRCItem +litem &123 +pos 1 +dimension 50 +uid 3359,0 +) +*136 (MRCItem +litem &124 +pos 2 +dimension 100 +uid 3360,0 +) +*137 (MRCItem +litem &125 +pos 3 +dimension 100 +uid 3361,0 +) +*138 (MRCItem +litem &126 +pos 4 +dimension 50 +uid 3362,0 +) +*139 (MRCItem +litem &127 +pos 5 +dimension 50 +uid 3363,0 +) +*140 (MRCItem +litem &128 +pos 6 +dimension 80 +uid 3364,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 3352,0 +vaOverrides [ +] +) +] +) +uid 3338,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Memory_test/hds/fifo_tb/struct.bd.info/msimSimulationReplay.sh b/Libs/Memory_test/hds/fifo_tb/struct.bd.info/msimSimulationReplay.sh new file mode 100644 index 0000000..c211a82 --- /dev/null +++ b/Libs/Memory_test/hds/fifo_tb/struct.bd.info/msimSimulationReplay.sh @@ -0,0 +1,3 @@ +# Script to replay the last simulation run +cd $HDS_PROJECT_DIR\..\Memory_test\work +"C:/eda/mentor/questasim64_10.1b/win64/vsim" -f hds_args.tmp diff --git a/Libs/Memory_test/hds/fifo_tb/symbol.sb b/Libs/Memory_test/hds/fifo_tb/symbol.sb new file mode 100644 index 0000000..33e507e --- /dev/null +++ b/Libs/Memory_test/hds/fifo_tb/symbol.sb @@ -0,0 +1,1256 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 107,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 55,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 58,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 60,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 62,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 56,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 64,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 68,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 70,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 74,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 76,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 78,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 54,0 +vaOverrides [ +] +) +] +) +uid 106,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 111,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 82,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 85,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 87,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 89,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 83,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 91,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 95,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 97,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 99,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 101,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 103,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 105,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "fifo_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:28" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\SysLo\\Master\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "fifo_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:28" +) +(vvPair +variable "unit" +value "fifo_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29000,13000,43000,27000" +) +oxt "15000,6000,20000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "29950,21900,37150,22900" +st "Memory_test" +blo "29950,22700" +) +second (Text +uid 12,0 +va (VaSet +) +xt "29950,22900,34150,23900" +st "fifo_tb" +blo "29950,23700" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,27600,42500,28500" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sT 1 +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41500,69800,42500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 23,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "29750,41350,40250,42650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47500,41000,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41500,53000,42500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43500,43400,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43500,25800,44500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 38,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45500,25200,46500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,61400,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45500,43400,46500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47500,26400,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 52,0 +va (VaSet +font "courier,12,1" +) +xt "0,0,8800,1500" +st "Package List" +blo "0,1200" +) +*66 (MLText +uid 53,0 +va (VaSet +font "courier,12,0" +) +xt "0,1500,0,1500" +tm "PackageList" +) +] +) +windowSize "102,76,952,712" +viewArea "-8021,-1136,81281,50396" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,6000,20000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "15950,14900,19050,15900" +st "" +blo "15950,15700" +) +second (Text +va (VaSet +) +xt "15950,15900,18150,16900" +st "" +blo "15950,16700" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,3400,7400,4600" +st "Declarations" +blo "0,4400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "0,4600,3500,5800" +st "Ports:" +blo "0,5600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "0,5800,3000,6800" +st "User:" +blo "0,6600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,3400,7800,4600" +st "Internal User:" +blo "0,4400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,6800,2000,6800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,3400,0,3400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 134,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/Libs/Memory_test/hds/fifo_tester/interface b/Libs/Memory_test/hds/fifo_tester/interface new file mode 100644 index 0000000..ef84e64 --- /dev/null +++ b/Libs/Memory_test/hds/fifo_tester/interface @@ -0,0 +1,1504 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 32,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 77,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 25,0 +) +) +uid 403,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 26,0 +) +) +uid 405,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 27,0 +) +) +uid 407,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "empty" +t "std_ulogic" +o 4 +suid 28,0 +) +) +uid 409,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "full" +t "std_ulogic" +o 5 +suid 29,0 +) +) +uid 411,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "read" +t "std_ulogic" +o 6 +suid 30,0 +) +) +uid 413,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 31,0 +) +) +uid 415,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "write" +t "std_ulogic" +o 8 +suid 32,0 +) +) +uid 417,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 90,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 92,0 +optionalChildren [ +*24 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 93,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 94,0 +) +*26 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 95,0 +) +*27 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 404,0 +) +*28 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 406,0 +) +*29 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 408,0 +) +*30 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 410,0 +) +*31 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 412,0 +) +*32 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 414,0 +) +*33 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 416,0 +) +*34 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 418,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 96,0 +optionalChildren [ +*35 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 97,0 +) +*36 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 98,0 +) +*37 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 99,0 +) +*38 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 100,0 +) +*39 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 101,0 +) +*40 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 102,0 +) +*41 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 103,0 +) +*42 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 104,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 91,0 +vaOverrides [ +] +) +] +) +uid 76,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 106,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 155,0 +) +*56 (LogGeneric +generic (GiElement +name "fifoDepth" +type "positive" +value "64" +) +uid 157,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 118,0 +optionalChildren [ +*57 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *58 (MRCItem +litem &43 +pos 2 +dimension 20 +) +uid 120,0 +optionalChildren [ +*59 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 121,0 +) +*60 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 122,0 +) +*61 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 123,0 +) +*62 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 156,0 +) +*63 (MRCItem +litem &56 +pos 1 +dimension 20 +uid 158,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 124,0 +optionalChildren [ +*64 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 125,0 +) +*65 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 126,0 +) +*66 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 127,0 +) +*67 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 128,0 +) +*68 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 129,0 +) +*69 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 130,0 +) +*70 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 131,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 119,0 +vaOverrides [ +] +) +] +) +uid 105,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tester/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tester/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tester" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tester" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "fifo_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:28" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "fifo_tester" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tester/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tester/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:45:28" +) +(vvPair +variable "unit" +value "fifo_tester" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 75,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 363,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 364,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 365,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 366,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "30550,7000,31450,9500" +st "clock" +ju 2 +blo "31250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 367,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,59500,5600" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 25,0 +) +) +) +*73 (CptPort +uid 368,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 369,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 370,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 371,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "22550,7000,23450,10000" +st "dataIn" +ju 2 +blo "23250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 372,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5600,74000,6500" +st "dataIn : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 26,0 +) +) +) +*74 (CptPort +uid 373,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 374,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58625,5250,59375,6000" +) +tg (CPTG +uid 375,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 376,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "58550,7000,59450,10500" +st "dataOut" +ju 2 +blo "59250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 377,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,74000,2900" +st "dataOut : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 27,0 +) +) +) +*75 (CptPort +uid 378,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 379,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56625,5250,57375,6000" +) +tg (CPTG +uid 380,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 381,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "56550,7000,57450,9500" +st "empty" +ju 2 +blo "57250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 382,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,59500,3800" +st "empty : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "empty" +t "std_ulogic" +o 4 +suid 28,0 +) +) +) +*76 (CptPort +uid 383,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 384,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 385,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 386,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "24550,7000,25450,9000" +st "full" +ju 2 +blo "25250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 387,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,59500,4700" +st "full : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "full" +t "std_ulogic" +o 5 +suid 29,0 +) +) +) +*77 (CptPort +uid 388,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 389,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54625,5250,55375,6000" +) +tg (CPTG +uid 390,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 391,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "54550,7000,55450,9000" +st "read" +ju 2 +blo "55250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 392,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,59500,7400" +st "read : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "read" +t "std_ulogic" +o 6 +suid 30,0 +) +) +) +*78 (CptPort +uid 393,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 394,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32625,5250,33375,6000" +) +tg (CPTG +uid 395,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 396,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "32550,7000,33450,9500" +st "reset" +ju 2 +blo "33250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 397,0 +va (VaSet +font "courier,8,0" +) +xt "44000,7400,59500,8300" +st "reset : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 31,0 +) +) +) +*79 (CptPort +uid 398,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 399,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 400,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 401,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "26550,7000,27450,9500" +st "write" +ju 2 +blo "27250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 402,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8300,58500,9200" +st "write : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "write" +t "std_ulogic" +o 8 +suid 32,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,67000,14000" +) +oxt "15000,6000,75000,14000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "37400,9000,44600,10000" +st "Memory_test" +blo "37400,9800" +) +second (Text +uid 12,0 +va (VaSet +) +xt "37400,10000,44600,11000" +st "fifo_tester" +blo "37400,10800" +) +) +gi *80 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "13000,6000,25000,9600" +st "Generic Declarations + +dataBitNb positive 8 +fifoDepth positive 64 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "fifoDepth" +type "positive" +value "64" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *81 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 17,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*83 (MLText +uid 18,0 +va (VaSet +font "courier,8,0" +) +xt "0,1000,15500,3700" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "191,89,1207,779" +viewArea "-500,-500,71230,48820" +cachedDiagramExtent "0,0,75000,14000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "1000,1000,5200,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Memory_test" +entityName "fifo_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,41000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "25350,14800,30650,16000" +st "" +blo "25350,15800" +) +second (Text +va (VaSet +) +xt "25350,16000,29250,17200" +st "" +blo "25350,17000" +) +) +gi *84 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *85 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,49000,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,45400,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,9200,44500,10100" +st "User:" +blo "42000,9900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,49600,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,10100,44000,10100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 418,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory_test/hds/flash@controller_tb/struct.bd b/Libs/Memory_test/hds/flash@controller_tb/struct.bd new file mode 100644 index 0000000..dd2db17 --- /dev/null +++ b/Libs/Memory_test/hds/flash@controller_tb/struct.bd @@ -0,0 +1,5566 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I1" +duLibraryName "memory_test" +duName "flashController_tester" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 1774,0 +) +(Instance +name "I0" +duLibraryName "memory" +duName "flashController" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "chipAddressBitNb" +type "positive" +value "addressBitNb" +) +] +mwi 0 +uid 7294,0 +) +(Instance +name "I2" +duLibraryName "memory_test" +duName "flash_28F128J3A" +elements [ +(GiElement +name "fileSpec" +type "string" +value "\"U:\\ELN_board\\Simulation\\flash.srec\"" +) +(GiElement +name "T_W13" +type "time" +value "500 ns" +) +(GiElement +name "T_W16_program" +type "time" +value "1 us" +) +(GiElement +name "T_W16_erase" +type "time" +value "1.5 us" +) +(GiElement +name "T_R2" +type "time" +value "120 ns" +) +(GiElement +name "T_R3" +type "time" +value "120 ns" +) +(GiElement +name "T_R7" +type "time" +value "0 ns" +) +(GiElement +name "T_R8" +type "time" +value "55 ns" +) +(GiElement +name "T_R9" +type "time" +value "15 ns" +) +] +mwi 0 +uid 7440,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +(EmbeddedInstance +name "eb2" +number "2" +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tb/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tb/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flashController_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "flashController_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:28" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "flashController_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tb/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flashController_tb/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:45:28" +) +(vvPair +variable "unit" +value "flashController_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 198,0 +optionalChildren [ +*1 (Grouping +uid 1487,0 +optionalChildren [ +*2 (CommentText +uid 1489,0 +shape (Rectangle +uid 1490,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "121000,110000,140000,112000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 1491,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "121200,110500,136800,111500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 1492,0 +shape (Rectangle +uid 1493,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "89000,110000,115000,112000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 1494,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "96750,110350,107250,111650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 1495,0 +shape (Rectangle +uid 1496,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "94000,116000,115000,118000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 1497,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "94200,116500,110400,117500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 1498,0 +shape (Rectangle +uid 1499,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "115000,110000,121000,112000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 1500,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "115200,110500,120000,111500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 1501,0 +shape (Rectangle +uid 1502,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "94000,112000,115000,114000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 1503,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "94200,112500,110400,113500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 1504,0 +shape (Rectangle +uid 1505,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "89000,112000,94000,114000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 1506,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "89200,112500,92800,113500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 1507,0 +shape (Rectangle +uid 1508,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "89000,114000,94000,116000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 1509,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "89200,114500,92200,115500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 1510,0 +shape (Rectangle +uid 1511,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "115000,112000,140000,118000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 1512,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "115200,112200,128400,113200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*10 (CommentText +uid 1513,0 +shape (Rectangle +uid 1514,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "94000,114000,115000,116000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 1515,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "94200,114500,113400,115500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 1516,0 +shape (Rectangle +uid 1517,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "89000,116000,94000,118000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 1518,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "89200,116500,93400,117500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 1488,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "89000,110000,140000,118000" +) +oxt "13000,22000,64000,30000" +) +*12 (Blk +uid 1774,0 +shape (Rectangle +uid 1775,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "16000,87000,110000,95000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1776,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 1777,0 +va (VaSet +font "courier,12,1" +) +xt "16600,94900,27200,96300" +st "memory_test" +blo "16600,96100" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 1778,0 +va (VaSet +font "courier,12,1" +) +xt "16600,96300,33900,97700" +st "flashController_tester" +blo "16600,97500" +tm "BlkNameMgr" +) +*15 (Text +uid 1779,0 +va (VaSet +font "courier,12,1" +) +xt "16600,97700,19000,99100" +st "I1" +blo "16600,98900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1780,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1781,0 +text (MLText +uid 1782,0 +va (VaSet +font "courier,9,0" +) +xt "16000,99600,39000,101400" +st "addressBitNb = addressBitNb ( positive ) +dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +) +*16 (Net +uid 5550,0 +decl (Decl +n "reset" +t "std_ulogic" +o 23 +suid 55,0 +) +declText (MLText +uid 5551,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,400,17500,1300" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 5558,0 +decl (Decl +n "clock" +t "std_ulogic" +o 5 +suid 56,0 +) +declText (MLText +uid 5559,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,400,17500,1300" +st "SIGNAL clock : std_ulogic" +) +) +*18 (Net +uid 5919,0 +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 17 +suid 66,0 +) +declText (MLText +uid 5920,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-14000,6800,18000,7700" +st "SIGNAL memDataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*19 (Net +uid 5927,0 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 18 +suid 67,0 +) +declText (MLText +uid 5928,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-14000,6800,18000,7700" +st "SIGNAL memDataOut : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*20 (Net +uid 6010,0 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 22 +suid 71,0 +) +declText (MLText +uid 6011,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-14000,6800,3500,7700" +st "SIGNAL memWr_n : std_ulogic" +) +) +*21 (Net +uid 6048,0 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 75,0 +) +declText (MLText +uid 6049,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-14000,6800,17500,7700" +st "SIGNAL DQ : std_logic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*22 (HdlText +uid 6058,0 +optionalChildren [ +*23 (EmbeddedText +uid 6063,0 +commentText (CommentText +uid 6064,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 6065,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "94000,58000,110000,66000" +) +oxt "0,0,18000,5000" +text (MLText +uid 6066,0 +va (VaSet +font "courier,9,0" +) +xt "94200,58200,110200,65400" +st " +memDataIn <= std_ulogic_vector(DQ); +DQ <= std_logic_vector(memDataOut) when (memWr_n = '0') or (memWrDelayed_n = '0') + else (others => 'Z'); + +delayMemWr: process(reset, clock) +begin + if reset = '1' then + memWrDelayed_n <= '0'; + elsif rising_edge(clock) then + memWrDelayed_n <= memWr_n; + end if; +end process delayMemWr; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 8000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 6059,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "94000,57000,110000,67000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 6060,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*24 (Text +uid 6061,0 +va (VaSet +) +xt "94400,67000,95600,68000" +st "eb1" +blo "94400,67800" +tm "HdlTextNameMgr" +) +*25 (Text +uid 6062,0 +va (VaSet +) +xt "94400,68000,94800,69000" +st "1" +blo "94400,68800" +tm "HdlTextNumberMgr" +) +] +) +) +*26 (Net +uid 6768,0 +decl (Decl +n "flashCE_n" +t "std_ulogic" +o 7 +suid 79,0 +) +declText (MLText +uid 6769,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-112000,6600,-94500,7500" +st "SIGNAL flashCE_n : std_ulogic" +) +) +*27 (Net +uid 6780,0 +decl (Decl +n "memOE_n" +t "std_ulogic" +o 19 +suid 81,0 +) +declText (MLText +uid 6781,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-112000,6600,-94500,7500" +st "SIGNAL memOE_n : std_ulogic" +) +) +*28 (Net +uid 6798,0 +decl (Decl +n "flashSTS" +t "std_ulogic" +o 13 +suid 83,0 +) +declText (MLText +uid 6799,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-112000,6600,-94500,7500" +st "SIGNAL flashSTS : std_ulogic" +) +) +*29 (Net +uid 6804,0 +decl (Decl +n "BYTE_n" +t "std_ulogic" +o 2 +suid 84,0 +) +declText (MLText +uid 6805,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-112000,6600,-94500,7500" +st "SIGNAL BYTE_n : std_ulogic" +) +) +*30 (Net +uid 6812,0 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 15 +suid 85,0 +) +declText (MLText +uid 6813,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-112000,6600,-78500,7500" +st "SIGNAL memAddress : std_ulogic_vector(addressBitNb-1 DOWNTO 0)" +) +) +*31 (HdlText +uid 6818,0 +optionalChildren [ +*32 (EmbeddedText +uid 6823,0 +commentText (CommentText +uid 6824,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 6825,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "54000,34000,70000,44000" +) +oxt "0,0,18000,5000" +text (MLText +uid 6826,0 +va (VaSet +font "courier,9,0" +) +xt "54200,34200,67700,38700" +st " +A <= unsigned(memAddress); + +CE <= \"00\" & flashCE_n; + +BYTE_n <= '1'; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 10000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 6819,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "54000,33000,70000,45000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 6820,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 6821,0 +va (VaSet +) +xt "54400,45000,56000,46000" +st "eb2" +blo "54400,45800" +tm "HdlTextNameMgr" +) +*34 (Text +uid 6822,0 +va (VaSet +) +xt "54400,46000,55200,47000" +st "2" +blo "54400,46800" +tm "HdlTextNumberMgr" +) +] +) +) +*35 (Net +uid 6843,0 +decl (Decl +n "flashEn" +t "std_ulogic" +o 11 +suid 86,0 +) +declText (MLText +uid 6844,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,17500,900" +st "SIGNAL flashEn : std_ulogic" +) +) +*36 (Net +uid 6922,0 +decl (Decl +n "flashDataValid" +t "std_ulogic" +o 10 +suid 87,0 +) +declText (MLText +uid 6923,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,17500,900" +st "SIGNAL flashDataValid : std_ulogic" +) +) +*37 (Net +uid 6930,0 +decl (Decl +n "flashRd" +t "std_ulogic" +o 12 +suid 88,0 +) +declText (MLText +uid 6931,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,17500,900" +st "SIGNAL flashRd : std_ulogic" +) +) +*38 (Net +uid 6938,0 +decl (Decl +n "flashWr" +t "std_ulogic" +o 14 +suid 89,0 +) +declText (MLText +uid 6939,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,17500,900" +st "SIGNAL flashWr : std_ulogic" +) +) +*39 (Net +uid 6946,0 +decl (Decl +n "flashDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 9 +suid 90,0 +) +declText (MLText +uid 6947,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,32000,900" +st "SIGNAL flashDataOut : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*40 (Net +uid 6954,0 +decl (Decl +n "flashDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 91,0 +) +declText (MLText +uid 6955,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,32000,900" +st "SIGNAL flashDataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*41 (Net +uid 6962,0 +decl (Decl +n "flashAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 6 +suid 92,0 +) +declText (MLText +uid 6963,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,29000,900" +st "SIGNAL flashAddr : unsigned(addressBitNb-1 DOWNTO 0)" +) +) +*42 (Net +uid 6984,0 +decl (Decl +n "A" +t "unsigned" +b "(23 DOWNTO 0)" +o 1 +suid 93,0 +) +declText (MLText +uid 6985,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,23000,900" +st "SIGNAL A : unsigned(23 DOWNTO 0)" +) +) +*43 (Net +uid 6994,0 +decl (Decl +n "CE" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 3 +suid 94,0 +) +declText (MLText +uid 6995,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,27000,900" +st "SIGNAL CE : std_ulogic_vector(2 DOWNTO 0)" +) +) +*44 (Net +uid 7044,0 +decl (Decl +n "memWrDelayed_n" +t "std_ulogic" +o 21 +suid 95,0 +) +declText (MLText +uid 7045,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,17500,900" +st "SIGNAL memWrDelayed_n : std_ulogic" +) +) +*45 (Net +uid 7125,0 +decl (Decl +n "memRst_n" +t "std_ulogic" +o 20 +suid 96,0 +) +declText (MLText +uid 7126,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,17500,900" +st "SIGNAL memRst_n : std_ulogic" +) +) +*46 (SaComponent +uid 7294,0 +optionalChildren [ +*47 (CptPort +uid 7222,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7223,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,74625,46000,75375" +) +tg (CPTG +uid 7224,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7225,0 +va (VaSet +) +xt "47000,74500,49100,75500" +st "clock" +blo "47000,75300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 20,0 +) +) +) +*48 (CptPort +uid 7226,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7227,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,66625,46000,67375" +) +tg (CPTG +uid 7228,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7229,0 +va (VaSet +) +xt "47000,66500,52700,67500" +st "flashDataValid" +blo "47000,67300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "flashDataValid" +t "std_ulogic" +o 14 +suid 21,0 +) +) +) +*49 (CptPort +uid 7230,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7231,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,56625,46000,57375" +) +tg (CPTG +uid 7232,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7233,0 +va (VaSet +) +xt "47000,56500,50600,57500" +st "flashAddr" +blo "47000,57300" +) +) +thePort (LogicalPort +decl (Decl +n "flashAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 3 +suid 22,0 +) +) +) +*50 (CptPort +uid 7234,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7235,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,56625,62750,57375" +) +tg (CPTG +uid 7236,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7237,0 +va (VaSet +) +xt "55800,56500,61000,57500" +st "memAddress" +ju 2 +blo "61000,57300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "( chipAddressBitNb-1 DOWNTO 0 )" +o 10 +suid 23,0 +) +) +) +*51 (CptPort +uid 7238,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7239,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,60625,46000,61375" +) +tg (CPTG +uid 7240,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7241,0 +va (VaSet +) +xt "47000,60500,52200,61500" +st "flashDataOut" +blo "47000,61300" +) +) +thePort (LogicalPort +decl (Decl +n "flashDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 24,0 +) +) +) +*52 (CptPort +uid 7242,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7243,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,58625,62750,59375" +) +tg (CPTG +uid 7244,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7245,0 +va (VaSet +) +xt "56800,58500,61000,59500" +st "memDataIn" +ju 2 +blo "61000,59300" +) +) +thePort (LogicalPort +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 25,0 +) +) +) +*53 (CptPort +uid 7246,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7247,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,60625,62750,61375" +) +tg (CPTG +uid 7248,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7249,0 +va (VaSet +) +xt "55800,60500,61000,61500" +st "memDataOut" +ju 2 +blo "61000,61300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 26,0 +) +) +) +*54 (CptPort +uid 7250,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7251,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,64625,62750,65375" +) +tg (CPTG +uid 7252,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7253,0 +va (VaSet +) +xt "57200,64500,61000,65500" +st "memWr_n" +ju 2 +blo "61000,65300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 12 +suid 27,0 +) +) +) +*55 (CptPort +uid 7254,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7255,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,72625,46000,73375" +) +tg (CPTG +uid 7256,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7257,0 +va (VaSet +) +xt "47000,72500,49900,73500" +st "flashEn" +blo "47000,73300" +) +) +thePort (LogicalPort +decl (Decl +n "flashEn" +t "std_ulogic" +o 5 +suid 28,0 +) +) +) +*56 (CptPort +uid 7258,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7259,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,62625,46000,63375" +) +tg (CPTG +uid 7260,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7261,0 +va (VaSet +) +xt "47000,62500,50000,63500" +st "flashRd" +blo "47000,63300" +) +) +thePort (LogicalPort +decl (Decl +n "flashRd" +t "std_ulogic" +o 6 +suid 29,0 +) +) +) +*57 (CptPort +uid 7262,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7263,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,64625,46000,65375" +) +tg (CPTG +uid 7264,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7265,0 +va (VaSet +) +xt "47000,64500,50000,65500" +st "flashWr" +blo "47000,65300" +) +) +thePort (LogicalPort +decl (Decl +n "flashWr" +t "std_ulogic" +o 7 +suid 30,0 +) +) +) +*58 (CptPort +uid 7266,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7267,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,76625,46000,77375" +) +tg (CPTG +uid 7268,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7269,0 +va (VaSet +) +xt "47000,76500,49100,77500" +st "reset" +blo "47000,77300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 31,0 +) +) +) +*59 (CptPort +uid 7270,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7271,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,68625,62750,69375" +) +tg (CPTG +uid 7272,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7273,0 +va (VaSet +) +xt "57000,68500,61000,69500" +st "memRst_n" +ju 2 +blo "61000,69300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memRst_n" +t "std_ulogic" +o 15 +suid 33,0 +) +) +) +*60 (CptPort +uid 7274,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7275,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,70625,62750,71375" +) +tg (CPTG +uid 7276,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7277,0 +va (VaSet +) +xt "57500,70500,61000,71500" +st "flashSTS" +ju 2 +blo "61000,71300" +) +) +thePort (LogicalPort +decl (Decl +n "flashSTS" +t "std_ulogic" +o 16 +suid 34,0 +) +) +) +*61 (CptPort +uid 7278,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7279,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,62625,62750,63375" +) +tg (CPTG +uid 7280,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7281,0 +va (VaSet +) +xt "57100,62500,61000,63500" +st "flashCE_n" +ju 2 +blo "61000,63300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "flashCE_n" +t "std_ulogic" +o 9 +suid 35,0 +) +) +) +*62 (CptPort +uid 7282,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7283,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,66625,62750,67375" +) +tg (CPTG +uid 7284,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7285,0 +va (VaSet +) +xt "57100,66500,61000,67500" +st "memOE_n" +ju 2 +blo "61000,67300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memOE_n" +t "std_ulogic" +o 17 +suid 36,0 +) +) +) +*63 (CptPort +uid 7286,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7287,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,58625,46000,59375" +) +tg (CPTG +uid 7288,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7289,0 +va (VaSet +) +xt "47000,58500,51600,59500" +st "flashDataIn" +blo "47000,59300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "flashDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 13 +suid 42,0 +) +) +) +*64 (CptPort +uid 7290,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7291,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,70625,46000,71375" +) +tg (CPTG +uid 7292,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7293,0 +va (VaSet +) +xt "47000,70500,52400,71500" +st "memBusEn_n" +blo "47000,71300" +) +) +thePort (LogicalPort +decl (Decl +n "memBusEn_n" +t "std_ulogic" +o 18 +suid 44,0 +) +) +) +] +shape (Rectangle +uid 7295,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "46000,53000,62000,79000" +) +oxt "37000,5000,53000,31000" +ttg (MlTextGroup +uid 7296,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 7297,0 +va (VaSet +font "courier,8,1" +) +xt "46550,79500,49850,80500" +st "memory" +blo "46550,80300" +tm "BdLibraryNameMgr" +) +*66 (Text +uid 7298,0 +va (VaSet +font "courier,8,1" +) +xt "46550,80500,52950,81500" +st "flashController" +blo "46550,81300" +tm "CptNameMgr" +) +*67 (Text +uid 7299,0 +va (VaSet +font "courier,8,1" +) +xt "46550,81500,47550,82500" +st "I0" +blo "46550,82300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 7300,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 7301,0 +text (MLText +uid 7302,0 +va (VaSet +font "courier,8,0" +) +xt "46000,83200,71000,85900" +st "addressBitNb = addressBitNb ( positive ) +dataBitNb = dataBitNb ( positive ) +chipAddressBitNb = addressBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "chipAddressBitNb" +type "positive" +value "addressBitNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*68 (Net +uid 7303,0 +decl (Decl +n "memBusEn_n" +t "std_ulogic" +o 16 +suid 97,0 +) +declText (MLText +uid 7304,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,17500,900" +st "SIGNAL memBusEn_n : std_ulogic" +) +) +*69 (SaComponent +uid 7440,0 +optionalChildren [ +*70 (CptPort +uid 7408,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7409,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,60625,78000,61375" +) +tg (CPTG +uid 7410,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7411,0 +va (VaSet +) +xt "79000,60500,79900,61500" +st "A" +blo "79000,61300" +) +) +thePort (LogicalPort +decl (Decl +n "A" +t "unsigned" +b "(23 DOWNTO 0)" +o 45 +suid 1,0 +) +) +) +*71 (CptPort +uid 7412,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7413,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,68625,78000,69375" +) +tg (CPTG +uid 7414,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7415,0 +va (VaSet +) +xt "79000,68500,82200,69500" +st "BYTE_n" +blo "79000,69300" +) +) +thePort (LogicalPort +decl (Decl +n "BYTE_n" +t "std_ulogic" +o 48 +suid 2,0 +) +) +) +*72 (CptPort +uid 7416,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7417,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,62625,78000,63375" +) +tg (CPTG +uid 7418,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7419,0 +va (VaSet +) +xt "79000,62500,80500,63500" +st "CE" +blo "79000,63300" +) +) +thePort (LogicalPort +decl (Decl +n "CE" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 45 +suid 3,0 +) +) +) +*73 (CptPort +uid 7420,0 +ps "OnEdgeStrategy" +shape (Diamond +uid 7421,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "86000,60625,86750,61375" +) +tg (CPTG +uid 7422,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7423,0 +va (VaSet +) +xt "83400,60500,85000,61500" +st "DQ" +ju 2 +blo "85000,61300" +) +) +thePort (LogicalPort +m 2 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 45 +suid 4,0 +) +) +) +*74 (CptPort +uid 7424,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7425,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,66625,78000,67375" +) +tg (CPTG +uid 7426,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7427,0 +va (VaSet +) +xt "79000,66500,81300,67500" +st "OE_n" +blo "79000,67300" +) +) +thePort (LogicalPort +decl (Decl +n "OE_n" +t "std_ulogic" +o 48 +suid 5,0 +) +) +) +*75 (CptPort +uid 7428,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7429,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,72625,78000,73375" +) +tg (CPTG +uid 7430,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7431,0 +va (VaSet +) +xt "79000,72500,81300,73500" +st "RP_n" +blo "79000,73300" +) +) +thePort (LogicalPort +decl (Decl +n "RP_n" +t "std_ulogic" +o 48 +suid 6,0 +) +) +) +*76 (CptPort +uid 7432,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7433,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "86000,62625,86750,63375" +) +tg (CPTG +uid 7434,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7435,0 +va (VaSet +) +xt "83100,62500,85000,63500" +st "STS" +ju 2 +blo "85000,63300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "STS" +t "std_ulogic" +o 48 +suid 7,0 +) +) +) +*77 (CptPort +uid 7436,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7437,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,64625,78000,65375" +) +tg (CPTG +uid 7438,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7439,0 +va (VaSet +) +xt "79000,64500,81400,65500" +st "WE_n" +blo "79000,65300" +) +) +thePort (LogicalPort +decl (Decl +n "WE_n" +t "std_ulogic" +o 48 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 7441,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "78000,57000,86000,75000" +) +oxt "35000,13000,43000,31000" +ttg (MlTextGroup +uid 7442,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +uid 7443,0 +va (VaSet +font "courier,8,1" +) +xt "77800,75000,83300,76000" +st "memory_test" +blo "77800,75800" +tm "BdLibraryNameMgr" +) +*79 (Text +uid 7444,0 +va (VaSet +font "courier,8,1" +) +xt "77800,76000,84600,77000" +st "flash_28F128J3A" +blo "77800,76800" +tm "CptNameMgr" +) +*80 (Text +uid 7445,0 +va (VaSet +font "courier,8,1" +) +xt "77800,77000,78800,78000" +st "I2" +blo "77800,77800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 7446,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 7447,0 +text (MLText +uid 7448,0 +va (VaSet +font "courier,8,0" +) +xt "78000,78600,112500,86700" +st "fileSpec = \"U:\\ELN_board\\Simulation\\flash.srec\" ( string ) +T_W13 = 500 ns ( time ) +T_W16_program = 1 us ( time ) +T_W16_erase = 1.5 us ( time ) +T_R2 = 120 ns ( time ) +T_R3 = 120 ns ( time ) +T_R7 = 0 ns ( time ) +T_R8 = 55 ns ( time ) +T_R9 = 15 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "fileSpec" +type "string" +value "\"U:\\ELN_board\\Simulation\\flash.srec\"" +) +(GiElement +name "T_W13" +type "time" +value "500 ns" +) +(GiElement +name "T_W16_program" +type "time" +value "1 us" +) +(GiElement +name "T_W16_erase" +type "time" +value "1.5 us" +) +(GiElement +name "T_R2" +type "time" +value "120 ns" +) +(GiElement +name "T_R3" +type "time" +value "120 ns" +) +(GiElement +name "T_R7" +type "time" +value "0 ns" +) +(GiElement +name "T_R8" +type "time" +value "55 ns" +) +(GiElement +name "T_R9" +type "time" +value "15 ns" +) +] +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*81 (Wire +uid 5552,0 +shape (OrthoPolyLine +uid 5553,0 +va (VaSet +vasetType 3 +) +xt "44000,77000,45250,87000" +pts [ +"45250,77000" +"44000,77000" +"44000,87000" +] +) +start &58 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5556,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5557,0 +va (VaSet +font "courier,12,0" +) +xt "40250,75600,43750,76900" +st "reset" +blo "40250,76600" +tm "WireNameMgr" +) +) +on &16 +) +*82 (Wire +uid 5560,0 +shape (OrthoPolyLine +uid 5561,0 +va (VaSet +vasetType 3 +) +xt "42000,75000,45250,87000" +pts [ +"45250,75000" +"42000,75000" +"42000,87000" +] +) +start &47 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5564,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5565,0 +va (VaSet +font "courier,12,0" +) +xt "40250,73600,43750,74900" +st "clock" +blo "40250,74600" +tm "WireNameMgr" +) +) +on &17 +) +*83 (Wire +uid 5568,0 +shape (OrthoPolyLine +uid 5569,0 +va (VaSet +vasetType 3 +) +xt "40000,73000,45250,87000" +pts [ +"45250,73000" +"40000,73000" +"40000,87000" +] +) +start &55 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5572,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5573,0 +va (VaSet +font "courier,12,0" +) +xt "39250,71600,44150,72900" +st "flashEn" +blo "39250,72600" +tm "WireNameMgr" +) +) +on &35 +) +*84 (Wire +uid 5921,0 +shape (OrthoPolyLine +uid 5922,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "62750,59000,66000,59000" +pts [ +"62750,59000" +"66000,59000" +] +) +start &52 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5925,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5926,0 +va (VaSet +font "courier,12,0" +) +xt "64750,57600,71050,58900" +st "memDataIn" +blo "64750,58600" +tm "WireNameMgr" +) +) +on &18 +) +*85 (Wire +uid 5929,0 +shape (OrthoPolyLine +uid 5930,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "62750,61000,66000,61000" +pts [ +"62750,61000" +"66000,61000" +] +) +start &53 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5933,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5934,0 +va (VaSet +font "courier,12,0" +) +xt "64750,59600,72450,60900" +st "memDataOut" +blo "64750,60600" +tm "WireNameMgr" +) +) +on &19 +) +*86 (Wire +uid 6050,0 +shape (OrthoPolyLine +uid 6051,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "86750,61000,94000,61000" +pts [ +"86750,61000" +"94000,61000" +] +) +start &73 +end &22 +sat 32 +eat 4 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6054,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6055,0 +va (VaSet +font "courier,12,0" +) +xt "88750,59600,90150,60900" +st "DQ" +blo "88750,60600" +tm "WireNameMgr" +) +) +on &21 +) +*87 (Wire +uid 6114,0 +shape (OrthoPolyLine +uid 6115,0 +va (VaSet +vasetType 3 +) +xt "90000,63000,94000,63000" +pts [ +"90000,63000" +"94000,63000" +] +) +end &22 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6120,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6121,0 +va (VaSet +font "courier,12,0" +) +xt "87000,61600,91900,62900" +st "memWr_n" +blo "87000,62600" +tm "WireNameMgr" +) +) +on &20 +) +*88 (Wire +uid 6122,0 +shape (OrthoPolyLine +uid 6123,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "110000,61000,114000,61000" +pts [ +"110000,61000" +"114000,61000" +] +) +start &22 +sat 2 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6128,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6129,0 +va (VaSet +font "courier,12,0" +) +xt "112750,59600,119050,60900" +st "memDataIn" +blo "112750,60600" +tm "WireNameMgr" +) +) +on &18 +) +*89 (Wire +uid 6130,0 +shape (OrthoPolyLine +uid 6131,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "110000,63000,114000,63000" +pts [ +"110000,63000" +"114000,63000" +] +) +start &22 +sat 1 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6136,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6137,0 +va (VaSet +font "courier,12,0" +) +xt "112750,61600,120450,62900" +st "memDataOut" +blo "112750,62600" +tm "WireNameMgr" +) +) +on &19 +) +*90 (Wire +uid 6770,0 +shape (OrthoPolyLine +uid 6771,0 +va (VaSet +vasetType 3 +) +xt "62750,63000,66000,63000" +pts [ +"62750,63000" +"66000,63000" +] +) +start &61 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 6772,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6773,0 +va (VaSet +font "courier,12,0" +) +xt "64750,61600,71050,62900" +st "flashCE_n" +blo "64750,62600" +tm "WireNameMgr" +) +) +on &26 +) +*91 (Wire +uid 6776,0 +shape (OrthoPolyLine +uid 6777,0 +va (VaSet +vasetType 3 +) +xt "62750,65000,77250,65000" +pts [ +"62750,65000" +"77250,65000" +] +) +start &54 +end &77 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 6778,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6779,0 +va (VaSet +font "courier,12,0" +) +xt "64750,63600,69650,64900" +st "memWr_n" +blo "64750,64600" +tm "WireNameMgr" +) +) +on &20 +) +*92 (Wire +uid 6782,0 +shape (OrthoPolyLine +uid 6783,0 +va (VaSet +vasetType 3 +) +xt "62750,67000,77250,67000" +pts [ +"62750,67000" +"77250,67000" +] +) +start &62 +end &74 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 6784,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6785,0 +va (VaSet +font "courier,12,0" +) +xt "64750,65600,69650,66900" +st "memOE_n" +blo "64750,66600" +tm "WireNameMgr" +) +) +on &27 +) +*93 (Wire +uid 6794,0 +shape (OrthoPolyLine +uid 6795,0 +va (VaSet +vasetType 3 +) +xt "62750,69000,77250,73000" +pts [ +"62750,69000" +"70000,69000" +"70000,73000" +"77250,73000" +] +) +start &59 +end &75 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 6796,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6797,0 +va (VaSet +font "courier,12,0" +) +xt "64750,67600,70350,68900" +st "memRst_n" +blo "64750,68600" +tm "WireNameMgr" +) +) +on &45 +) +*94 (Wire +uid 6800,0 +shape (OrthoPolyLine +uid 6801,0 +va (VaSet +vasetType 3 +) +xt "62750,63000,88000,79000" +pts [ +"62750,71000" +"68000,71000" +"68000,79000" +"88000,79000" +"88000,63000" +"86750,63000" +] +) +start &60 +end &76 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 6802,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6803,0 +va (VaSet +font "courier,12,0" +) +xt "64750,69600,70350,70900" +st "flashSTS" +blo "64750,70600" +tm "WireNameMgr" +) +) +on &28 +) +*95 (Wire +uid 6806,0 +shape (OrthoPolyLine +uid 6807,0 +va (VaSet +vasetType 3 +) +xt "74000,69000,77250,69000" +pts [ +"77250,69000" +"74000,69000" +] +) +start &71 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 6810,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6811,0 +va (VaSet +font "courier,12,0" +) +xt "72000,67600,76200,68900" +st "BYTE_n" +blo "72000,68600" +tm "WireNameMgr" +) +) +on &29 +) +*96 (Wire +uid 6814,0 +shape (OrthoPolyLine +uid 6815,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "62750,57000,66000,57000" +pts [ +"62750,57000" +"66000,57000" +] +) +start &50 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6816,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6817,0 +va (VaSet +font "courier,12,0" +) +xt "64750,55600,72450,56900" +st "memAddress" +blo "64750,56600" +tm "WireNameMgr" +) +) +on &30 +) +*97 (Wire +uid 6827,0 +shape (OrthoPolyLine +uid 6828,0 +va (VaSet +vasetType 3 +) +xt "70000,41000,74000,41000" +pts [ +"70000,41000" +"74000,41000" +] +) +start &31 +sat 2 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 6833,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6834,0 +va (VaSet +font "courier,12,0" +) +xt "72000,39600,76200,40900" +st "BYTE_n" +blo "72000,40600" +tm "WireNameMgr" +) +) +on &29 +) +*98 (Wire +uid 6924,0 +shape (OrthoPolyLine +uid 6925,0 +va (VaSet +vasetType 3 +) +xt "34000,67000,45250,87000" +pts [ +"45250,67000" +"34000,67000" +"34000,87000" +] +) +start &48 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6928,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6929,0 +va (VaSet +font "courier,12,0" +) +xt "34000,65600,44500,66900" +st "flashDataValid" +blo "34000,66600" +tm "WireNameMgr" +) +) +on &36 +) +*99 (Wire +uid 6932,0 +shape (OrthoPolyLine +uid 6933,0 +va (VaSet +vasetType 3 +) +xt "30000,63000,45250,87000" +pts [ +"45250,63000" +"30000,63000" +"30000,87000" +] +) +start &56 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 6936,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6937,0 +va (VaSet +font "courier,12,0" +) +xt "38000,61600,42900,62900" +st "flashRd" +blo "38000,62600" +tm "WireNameMgr" +) +) +on &37 +) +*100 (Wire +uid 6940,0 +shape (OrthoPolyLine +uid 6941,0 +va (VaSet +vasetType 3 +) +xt "32000,65000,45250,87000" +pts [ +"45250,65000" +"32000,65000" +"32000,87000" +] +) +start &57 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 6944,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6945,0 +va (VaSet +font "courier,12,0" +) +xt "38250,63600,43150,64900" +st "flashWr" +blo "38250,64600" +tm "WireNameMgr" +) +) +on &38 +) +*101 (Wire +uid 6948,0 +shape (OrthoPolyLine +uid 6949,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "28000,61000,45250,87000" +pts [ +"45250,61000" +"28000,61000" +"28000,87000" +] +) +start &51 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6952,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6953,0 +va (VaSet +font "courier,12,0" +) +xt "34250,59600,43350,60900" +st "flashDataOut" +blo "34250,60600" +tm "WireNameMgr" +) +) +on &39 +) +*102 (Wire +uid 6956,0 +shape (OrthoPolyLine +uid 6957,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "26000,59000,45250,87000" +pts [ +"45250,59000" +"26000,59000" +"26000,87000" +] +) +start &63 +end &12 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6960,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6961,0 +va (VaSet +font "courier,12,0" +) +xt "35250,57600,43650,58900" +st "flashDataIn" +blo "35250,58600" +tm "WireNameMgr" +) +) +on &40 +) +*103 (Wire +uid 6964,0 +shape (OrthoPolyLine +uid 6965,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "24000,57000,45250,87000" +pts [ +"45250,57000" +"24000,57000" +"24000,87000" +] +) +start &49 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6968,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6969,0 +va (VaSet +font "courier,12,0" +) +xt "37250,55600,43550,56900" +st "flashAddr" +blo "37250,56600" +tm "WireNameMgr" +) +) +on &41 +) +*104 (Wire +uid 6986,0 +shape (OrthoPolyLine +uid 6987,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "74000,61000,77250,61000" +pts [ +"77250,61000" +"74000,61000" +] +) +start &70 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6990,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6991,0 +va (VaSet +font "courier,12,0" +) +xt "74250,59600,74950,60900" +st "A" +blo "74250,60600" +tm "WireNameMgr" +) +) +on &42 +) +*105 (Wire +uid 6996,0 +shape (OrthoPolyLine +uid 6997,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "74000,63000,77250,63000" +pts [ +"77250,63000" +"74000,63000" +] +) +start &72 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 7000,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7001,0 +va (VaSet +font "courier,12,0" +) +xt "73250,61600,74650,62900" +st "CE" +blo "73250,62600" +tm "WireNameMgr" +) +) +on &43 +) +*106 (Wire +uid 7002,0 +shape (OrthoPolyLine +uid 7003,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "50000,37000,54000,37000" +pts [ +"54000,37000" +"50000,37000" +] +) +start &31 +sat 1 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 7008,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7009,0 +va (VaSet +font "courier,12,0" +) +xt "45000,35600,52700,36900" +st "memAddress" +blo "45000,36600" +tm "WireNameMgr" +) +) +on &30 +) +*107 (Wire +uid 7010,0 +shape (OrthoPolyLine +uid 7011,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "70000,37000,74250,37000" +pts [ +"74250,37000" +"70000,37000" +] +) +end &31 +sat 16 +eat 2 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 7016,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7017,0 +va (VaSet +font "courier,12,0" +) +xt "72000,35600,72700,36900" +st "A" +blo "72000,36600" +tm "WireNameMgr" +) +) +on &42 +) +*108 (Wire +uid 7018,0 +shape (OrthoPolyLine +uid 7019,0 +va (VaSet +vasetType 3 +) +xt "50000,39000,54000,39000" +pts [ +"54000,39000" +"50000,39000" +] +) +start &31 +sat 1 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 7024,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7025,0 +va (VaSet +font "courier,12,0" +) +xt "47000,37600,53300,38900" +st "flashCE_n" +blo "47000,38600" +tm "WireNameMgr" +) +) +on &26 +) +*109 (Wire +uid 7026,0 +shape (OrthoPolyLine +uid 7027,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "70000,39000,74000,39000" +pts [ +"70000,39000" +"74000,39000" +] +) +start &31 +sat 2 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 7032,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7033,0 +va (VaSet +font "courier,12,0" +) +xt "72000,37600,73400,38900" +st "CE" +blo "72000,38600" +tm "WireNameMgr" +) +) +on &43 +) +*110 (Wire +uid 7036,0 +shape (OrthoPolyLine +uid 7037,0 +va (VaSet +vasetType 3 +) +xt "102000,67000,102000,69000" +pts [ +"102000,69000" +"102000,67000" +] +) +end &22 +sat 16 +eat 4 +stc 0 +st 0 +si 0 +tg (WTG +uid 7042,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7043,0 +va (VaSet +font "courier,12,0" +) +xt "99000,67600,109500,68900" +st "memWrDelayed_n" +blo "99000,68600" +tm "WireNameMgr" +) +) +on &44 +) +*111 (Wire +uid 7305,0 +shape (OrthoPolyLine +uid 7306,0 +va (VaSet +vasetType 3 +) +xt "38000,71000,45250,87000" +pts [ +"45250,71000" +"38000,71000" +"38000,87000" +] +) +start &64 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 7309,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7310,0 +va (VaSet +font "courier,12,0" +) +xt "36000,69600,43700,70900" +st "memBusEn_n" +blo "36000,70600" +tm "WireNameMgr" +) +) +on &68 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *112 (PackageList +uid 187,0 +stg "VerticalLayoutStrategy" +textVec [ +*113 (Text +uid 1297,0 +va (VaSet +font "courier,12,0" +) +xt "-7000,19600,2500,21000" +st "Package List" +blo "-7000,20800" +) +*114 (MLText +uid 1298,0 +va (VaSet +) +xt "-7000,21000,11600,24000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 190,0 +stg "VerticalLayoutStrategy" +textVec [ +*115 (Text +uid 191,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,0,31000,1200" +st "Compiler Directives" +blo "20000,1000" +) +*116 (Text +uid 192,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,1400,33000,2600" +st "Pre-module directives:" +blo "20000,2400" +) +*117 (MLText +uid 193,0 +va (VaSet +isHidden 1 +) +xt "20000,2800,32000,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*118 (Text +uid 194,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,5600,33500,6800" +st "Post-module directives:" +blo "20000,6600" +) +*119 (MLText +uid 195,0 +va (VaSet +isHidden 1 +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*120 (Text +uid 196,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,7200,33200,8400" +st "End-module directives:" +blo "20000,8200" +) +*121 (MLText +uid 197,0 +va (VaSet +isHidden 1 +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "7,31,1388,937" +viewArea "-9065,17500,147962,124527" +cachedDiagramExtent "-112000,0,140000,118000" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\SUN\\PREA309_HPLJ3005DN.PRINTERS.SYSTEM.SION.HEVs,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +windowsPaperType 9 +scale 50 +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,19000" +lastUid 7638,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "1000,1000,3300,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*122 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,2550,7900,3950" +st "" +blo "1500,3750" +tm "BdLibraryNameMgr" +) +*123 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,3950,7000,5350" +st "" +blo "1500,5150" +tm "BlkNameMgr" +) +*124 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,5350,3000,6750" +st "I0" +blo "1500,6550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "1500,12550,1500,12550" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*125 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*126 (Text +va (VaSet +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*127 (Text +va (VaSet +) +xt "-100,5000,500,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*128 (Text +va (VaSet +) +xt "-350,2550,1950,3550" +st "Library" +blo "-350,3350" +tm "BdLibraryNameMgr" +) +*129 (Text +va (VaSet +) +xt "-350,3550,5150,4550" +st "SaComponent" +blo "-350,4350" +tm "CptNameMgr" +) +*130 (Text +va (VaSet +) +xt "-350,4550,250,5550" +st "I0" +blo "-350,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7350,550,-7350,550" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1350,0,9350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*131 (Text +va (VaSet +) +xt "-850,2550,1450,3550" +st "Library" +blo "-850,3350" +) +*132 (Text +va (VaSet +) +xt "-850,3550,5250,4550" +st "VhdlComponent" +blo "-850,4350" +) +*133 (Text +va (VaSet +) +xt "-850,4550,-250,5550" +st "I0" +blo "-850,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7850,550,-7850,550" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-2100,0,10100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*134 (Text +va (VaSet +) +xt "-1600,2550,700,3550" +st "Library" +blo "-1600,3350" +) +*135 (Text +va (VaSet +) +xt "-1600,3550,5500,4550" +st "VerilogComponent" +blo "-1600,4350" +) +*136 (Text +va (VaSet +) +xt "-1600,4550,-1000,5550" +st "I0" +blo "-1600,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-8600,550,-8600,550" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*137 (Text +va (VaSet +) +xt "2950,3400,4150,4400" +st "eb1" +blo "2950,4200" +tm "HdlTextNameMgr" +) +*138 (Text +va (VaSet +) +xt "2950,4400,3350,5400" +st "1" +blo "2950,5200" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineStyle 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,5100,1400" +st "bundle0" +blo "0,1200" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,12,0" +) +xt "0,1400,1400,2700" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,12,0" +) +xt "0,0,6300,1300" +st "Auto list" +) +second (MLText +va (VaSet +font "courier,12,0" +) +xt "0,1400,12600,2700" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,17400,-400" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*139 (Text +va (VaSet +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*140 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,10800,-400" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*141 (Text +va (VaSet +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*142 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-7000,26200,1600,27400" +st "Declarations" +blo "-7000,27200" +) +portLabel (Text +uid 3,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-7000,27400,-2800,28600" +st "Ports:" +blo "-7000,28400" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "-7000,27400,-1000,28600" +st "Pre User:" +blo "-7000,28400" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "-5000,28600,18400,30600" +st "constant addressBitNb: positive := 24; +constant dataBitNb: positive := 16;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-7000,27400,4000,28600" +st "Diagram Signals:" +blo "-7000,28400" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-7000,27400,300,28600" +st "Post User:" +blo "-7000,28400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "-5000,41800,-5000,41800" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 97,0 +usingSuid 1 +emptyRow *143 (LEmptyRow +) +uid 3310,0 +optionalChildren [ +*144 (RefLabelRowHdr +) +*145 (TitleRowHdr +) +*146 (FilterRowHdr +) +*147 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*148 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*149 (GroupColHdr +tm "GroupColHdrMgr" +) +*150 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*151 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*152 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*153 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*154 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*155 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*156 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 23 +suid 55,0 +) +) +uid 5622,0 +) +*157 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 5 +suid 56,0 +) +) +uid 5624,0 +) +*158 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 17 +suid 66,0 +) +) +uid 5939,0 +) +*159 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 18 +suid 67,0 +) +) +uid 5941,0 +) +*160 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 22 +suid 71,0 +) +) +uid 6040,0 +) +*161 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 75,0 +) +) +uid 6056,0 +) +*162 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "flashCE_n" +t "std_ulogic" +o 7 +suid 79,0 +) +) +uid 6786,0 +) +*163 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memOE_n" +t "std_ulogic" +o 19 +suid 81,0 +) +) +uid 6790,0 +) +*164 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "flashSTS" +t "std_ulogic" +o 13 +suid 83,0 +) +) +uid 6837,0 +) +*165 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "BYTE_n" +t "std_ulogic" +o 2 +suid 84,0 +) +) +uid 6839,0 +) +*166 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 15 +suid 85,0 +) +) +uid 6841,0 +) +*167 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "flashEn" +t "std_ulogic" +o 11 +suid 86,0 +) +) +uid 6970,0 +) +*168 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "flashDataValid" +t "std_ulogic" +o 10 +suid 87,0 +) +) +uid 6972,0 +) +*169 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "flashRd" +t "std_ulogic" +o 12 +suid 88,0 +) +) +uid 6974,0 +) +*170 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "flashWr" +t "std_ulogic" +o 14 +suid 89,0 +) +) +uid 6976,0 +) +*171 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "flashDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 9 +suid 90,0 +) +) +uid 6978,0 +) +*172 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "flashDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 91,0 +) +) +uid 6980,0 +) +*173 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "flashAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 6 +suid 92,0 +) +) +uid 6982,0 +) +*174 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "A" +t "unsigned" +b "(23 DOWNTO 0)" +o 1 +suid 93,0 +) +) +uid 6992,0 +) +*175 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "CE" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 3 +suid 94,0 +) +) +uid 7034,0 +) +*176 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memWrDelayed_n" +t "std_ulogic" +o 21 +suid 95,0 +) +) +uid 7046,0 +) +*177 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memRst_n" +t "std_ulogic" +o 20 +suid 96,0 +) +) +uid 7127,0 +) +*178 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memBusEn_n" +t "std_ulogic" +o 16 +suid 97,0 +) +) +uid 7311,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 3323,0 +optionalChildren [ +*179 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *180 (MRCItem +litem &143 +pos 23 +dimension 20 +) +uid 3325,0 +optionalChildren [ +*181 (MRCItem +litem &144 +pos 0 +dimension 20 +uid 3326,0 +) +*182 (MRCItem +litem &145 +pos 1 +dimension 23 +uid 3327,0 +) +*183 (MRCItem +litem &146 +pos 2 +hidden 1 +dimension 20 +uid 3328,0 +) +*184 (MRCItem +litem &156 +pos 0 +dimension 20 +uid 5623,0 +) +*185 (MRCItem +litem &157 +pos 1 +dimension 20 +uid 5625,0 +) +*186 (MRCItem +litem &158 +pos 2 +dimension 20 +uid 5940,0 +) +*187 (MRCItem +litem &159 +pos 3 +dimension 20 +uid 5942,0 +) +*188 (MRCItem +litem &160 +pos 4 +dimension 20 +uid 6041,0 +) +*189 (MRCItem +litem &161 +pos 5 +dimension 20 +uid 6057,0 +) +*190 (MRCItem +litem &162 +pos 6 +dimension 20 +uid 6787,0 +) +*191 (MRCItem +litem &163 +pos 7 +dimension 20 +uid 6791,0 +) +*192 (MRCItem +litem &164 +pos 8 +dimension 20 +uid 6838,0 +) +*193 (MRCItem +litem &165 +pos 9 +dimension 20 +uid 6840,0 +) +*194 (MRCItem +litem &166 +pos 10 +dimension 20 +uid 6842,0 +) +*195 (MRCItem +litem &167 +pos 11 +dimension 20 +uid 6971,0 +) +*196 (MRCItem +litem &168 +pos 12 +dimension 20 +uid 6973,0 +) +*197 (MRCItem +litem &169 +pos 13 +dimension 20 +uid 6975,0 +) +*198 (MRCItem +litem &170 +pos 14 +dimension 20 +uid 6977,0 +) +*199 (MRCItem +litem &171 +pos 15 +dimension 20 +uid 6979,0 +) +*200 (MRCItem +litem &172 +pos 16 +dimension 20 +uid 6981,0 +) +*201 (MRCItem +litem &173 +pos 17 +dimension 20 +uid 6983,0 +) +*202 (MRCItem +litem &174 +pos 18 +dimension 20 +uid 6993,0 +) +*203 (MRCItem +litem &175 +pos 19 +dimension 20 +uid 7035,0 +) +*204 (MRCItem +litem &176 +pos 20 +dimension 20 +uid 7047,0 +) +*205 (MRCItem +litem &177 +pos 21 +dimension 20 +uid 7128,0 +) +*206 (MRCItem +litem &178 +pos 22 +dimension 20 +uid 7312,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 3329,0 +optionalChildren [ +*207 (MRCItem +litem &147 +pos 0 +dimension 20 +uid 3330,0 +) +*208 (MRCItem +litem &149 +pos 1 +dimension 50 +uid 3331,0 +) +*209 (MRCItem +litem &150 +pos 2 +dimension 100 +uid 3332,0 +) +*210 (MRCItem +litem &151 +pos 3 +dimension 50 +uid 3333,0 +) +*211 (MRCItem +litem &152 +pos 4 +dimension 100 +uid 3334,0 +) +*212 (MRCItem +litem &153 +pos 5 +dimension 100 +uid 3335,0 +) +*213 (MRCItem +litem &154 +pos 6 +dimension 50 +uid 3336,0 +) +*214 (MRCItem +litem &155 +pos 7 +dimension 80 +uid 3337,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 3324,0 +vaOverrides [ +] +) +] +) +uid 3309,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *215 (LEmptyRow +) +uid 3339,0 +optionalChildren [ +*216 (RefLabelRowHdr +) +*217 (TitleRowHdr +) +*218 (FilterRowHdr +) +*219 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*220 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*221 (GroupColHdr +tm "GroupColHdrMgr" +) +*222 (NameColHdr +tm "GenericNameColHdrMgr" +) +*223 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*224 (InitColHdr +tm "GenericValueColHdrMgr" +) +*225 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*226 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 3351,0 +optionalChildren [ +*227 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *228 (MRCItem +litem &215 +pos 0 +dimension 20 +) +uid 3353,0 +optionalChildren [ +*229 (MRCItem +litem &216 +pos 0 +dimension 20 +uid 3354,0 +) +*230 (MRCItem +litem &217 +pos 1 +dimension 23 +uid 3355,0 +) +*231 (MRCItem +litem &218 +pos 2 +hidden 1 +dimension 20 +uid 3356,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 3357,0 +optionalChildren [ +*232 (MRCItem +litem &219 +pos 0 +dimension 20 +uid 3358,0 +) +*233 (MRCItem +litem &221 +pos 1 +dimension 50 +uid 3359,0 +) +*234 (MRCItem +litem &222 +pos 2 +dimension 100 +uid 3360,0 +) +*235 (MRCItem +litem &223 +pos 3 +dimension 100 +uid 3361,0 +) +*236 (MRCItem +litem &224 +pos 4 +dimension 50 +uid 3362,0 +) +*237 (MRCItem +litem &225 +pos 5 +dimension 50 +uid 3363,0 +) +*238 (MRCItem +litem &226 +pos 6 +dimension 80 +uid 3364,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 3352,0 +vaOverrides [ +] +) +] +) +uid 3338,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Memory_test/hds/flash@controller_tb/symbol.sb b/Libs/Memory_test/hds/flash@controller_tb/symbol.sb new file mode 100644 index 0000000..fc3c891 --- /dev/null +++ b/Libs/Memory_test/hds/flash@controller_tb/symbol.sb @@ -0,0 +1,1256 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 107,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 55,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 58,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 60,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 62,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 56,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 64,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 68,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 70,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 74,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 76,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 78,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 54,0 +vaOverrides [ +] +) +] +) +uid 106,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 111,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 82,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 85,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 87,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 89,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 83,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 91,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 95,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 97,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 99,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 101,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 103,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 105,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flashController_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "flashController_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:27" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\SysLo\\Master\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "flashController_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flashController_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:27" +) +(vvPair +variable "unit" +value "flashController_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29000,13000,43000,27000" +) +oxt "15000,6000,20000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "29950,21900,37150,22900" +st "Memory_test" +blo "29950,22700" +) +second (Text +uid 12,0 +va (VaSet +) +xt "29950,22900,41350,23900" +st "flashController_tb" +blo "29950,23700" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,27600,42500,28500" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sT 1 +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41500,69800,42500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 23,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "29750,41350,40250,42650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47500,41000,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41500,53000,42500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43500,43400,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43500,25800,44500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 38,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45500,25200,46500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,61400,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45500,46400,46500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47500,26400,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 52,0 +va (VaSet +font "courier,12,1" +) +xt "0,0,8800,1500" +st "Package List" +blo "0,1200" +) +*66 (MLText +uid 53,0 +va (VaSet +font "courier,12,0" +) +xt "0,1500,0,1500" +tm "PackageList" +) +] +) +windowSize "102,76,952,712" +viewArea "-8021,-1136,81281,50396" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,6000,20000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "15950,14900,19050,15900" +st "" +blo "15950,15700" +) +second (Text +va (VaSet +) +xt "15950,15900,18150,16900" +st "" +blo "15950,16700" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,3400,7400,4600" +st "Declarations" +blo "0,4400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "0,4600,3500,5800" +st "Ports:" +blo "0,5600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "0,5800,3000,6800" +st "User:" +blo "0,6600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,3400,7800,4600" +st "Internal User:" +blo "0,4400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,6800,2000,6800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,3400,0,3400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 134,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/Libs/Memory_test/hds/flash@controller_tester/interface b/Libs/Memory_test/hds/flash@controller_tester/interface new file mode 100644 index 0000000..3f5511b --- /dev/null +++ b/Libs/Memory_test/hds/flash@controller_tester/interface @@ -0,0 +1,1973 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 48,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 116,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 5 +suid 39,0 +) +) +uid 566,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "flashAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 6 +suid 40,0 +) +) +uid 568,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "flashDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 41,0 +) +) +uid 570,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "flashDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 9 +suid 42,0 +) +) +uid 572,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "flashDataValid" +t "std_ulogic" +o 10 +suid 43,0 +) +) +uid 574,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "flashEn" +t "std_ulogic" +o 11 +suid 44,0 +) +) +uid 576,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "flashRd" +t "std_ulogic" +o 12 +suid 45,0 +) +) +uid 578,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "flashWr" +t "std_ulogic" +o 14 +suid 46,0 +) +) +uid 580,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memBusEn_n" +t "std_ulogic" +o 16 +suid 47,0 +) +) +uid 582,0 +) +*23 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 23 +suid 48,0 +) +) +uid 584,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 129,0 +optionalChildren [ +*24 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *25 (MRCItem +litem &1 +pos 9 +dimension 20 +) +uid 131,0 +optionalChildren [ +*26 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 132,0 +) +*27 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 133,0 +) +*28 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +*29 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 567,0 +) +*30 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 569,0 +) +*31 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 571,0 +) +*32 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 573,0 +) +*33 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 575,0 +) +*34 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 577,0 +) +*35 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 579,0 +) +*36 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 581,0 +) +*37 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 583,0 +) +*38 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 585,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*39 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 136,0 +) +*40 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 137,0 +) +*41 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 138,0 +) +*42 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 139,0 +) +*43 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 140,0 +) +*44 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 141,0 +) +*45 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 142,0 +) +*46 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 143,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 115,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *47 (LEmptyRow +) +uid 145,0 +optionalChildren [ +*48 (RefLabelRowHdr +) +*49 (TitleRowHdr +) +*50 (FilterRowHdr +) +*51 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*52 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*53 (GroupColHdr +tm "GroupColHdrMgr" +) +*54 (NameColHdr +tm "GenericNameColHdrMgr" +) +*55 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*56 (InitColHdr +tm "GenericValueColHdrMgr" +) +*57 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*58 (EolColHdr +tm "GenericEolColHdrMgr" +) +*59 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "24" +) +uid 280,0 +) +*60 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "16" +) +uid 282,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 157,0 +optionalChildren [ +*61 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *62 (MRCItem +litem &47 +pos 2 +dimension 20 +) +uid 159,0 +optionalChildren [ +*63 (MRCItem +litem &48 +pos 0 +dimension 20 +uid 160,0 +) +*64 (MRCItem +litem &49 +pos 1 +dimension 23 +uid 161,0 +) +*65 (MRCItem +litem &50 +pos 2 +hidden 1 +dimension 20 +uid 162,0 +) +*66 (MRCItem +litem &59 +pos 0 +dimension 20 +uid 281,0 +) +*67 (MRCItem +litem &60 +pos 1 +dimension 20 +uid 283,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 163,0 +optionalChildren [ +*68 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 164,0 +) +*69 (MRCItem +litem &53 +pos 1 +dimension 50 +uid 165,0 +) +*70 (MRCItem +litem &54 +pos 2 +dimension 100 +uid 166,0 +) +*71 (MRCItem +litem &55 +pos 3 +dimension 100 +uid 167,0 +) +*72 (MRCItem +litem &56 +pos 4 +dimension 50 +uid 168,0 +) +*73 (MRCItem +litem &57 +pos 5 +dimension 50 +uid 169,0 +) +*74 (MRCItem +litem &58 +pos 6 +dimension 80 +uid 170,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 158,0 +vaOverrides [ +] +) +] +) +uid 144,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tester/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tester/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tester" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flashController_tester" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "flashController_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:28" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "memory_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "flashController_tester" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tester/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flashController_tester/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:45:28" +) +(vvPair +variable "unit" +value "flashController_tester" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 114,0 +optionalChildren [ +*75 (SymbolBody +uid 8,0 +optionalChildren [ +*76 (CptPort +uid 516,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 517,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40625,5250,41375,6000" +) +tg (CPTG +uid 518,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 519,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "40550,7000,41450,9500" +st "clock" +ju 2 +blo "41250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 520,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,63000,4700" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 5 +suid 39,0 +) +) +) +*77 (CptPort +uid 521,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 522,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 523,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 524,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "22550,7000,23450,11500" +st "flashAddr" +ju 2 +blo "23250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 525,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,74500,5600" +st "flashAddr : OUT unsigned (addressBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "flashAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 6 +suid 40,0 +) +) +) +*78 (CptPort +uid 526,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 527,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 528,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 529,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "24550,7000,25450,13000" +st "flashDataIn" +ju 2 +blo "25250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 530,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,77500,2900" +st "flashDataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "flashDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 41,0 +) +) +) +*79 (CptPort +uid 531,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 532,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 533,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 534,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "26550,7000,27450,13500" +st "flashDataOut" +ju 2 +blo "27250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 535,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5600,77500,6500" +st "flashDataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "flashDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 9 +suid 42,0 +) +) +) +*80 (CptPort +uid 536,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 537,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32625,5250,33375,6000" +) +tg (CPTG +uid 538,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 539,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "32550,7000,33450,14500" +st "flashDataValid" +ju 2 +blo "33250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 540,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,63000,3800" +st "flashDataValid : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "flashDataValid" +t "std_ulogic" +o 10 +suid 43,0 +) +) +) +*81 (CptPort +uid 541,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 542,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38625,5250,39375,6000" +) +tg (CPTG +uid 543,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 544,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "38550,7000,39450,10500" +st "flashEn" +ju 2 +blo "39250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 545,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,63000,7400" +st "flashEn : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "flashEn" +t "std_ulogic" +o 11 +suid 44,0 +) +) +) +*82 (CptPort +uid 546,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 547,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 548,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 549,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "28550,7000,29450,10500" +st "flashRd" +ju 2 +blo "29250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 550,0 +va (VaSet +font "courier,8,0" +) +xt "44000,7400,63000,8300" +st "flashRd : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "flashRd" +t "std_ulogic" +o 12 +suid 45,0 +) +) +) +*83 (CptPort +uid 551,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 552,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 553,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 554,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "30550,7000,31450,10500" +st "flashWr" +ju 2 +blo "31250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 555,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8300,63000,9200" +st "flashWr : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "flashWr" +t "std_ulogic" +o 14 +suid 46,0 +) +) +) +*84 (CptPort +uid 556,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 557,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36625,5250,37375,6000" +) +tg (CPTG +uid 558,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 559,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "36550,7000,37450,12500" +st "memBusEn_n" +ju 2 +blo "37250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 560,0 +va (VaSet +font "courier,8,0" +) +xt "44000,9200,63000,10100" +st "memBusEn_n : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memBusEn_n" +t "std_ulogic" +o 16 +suid 47,0 +) +) +) +*85 (CptPort +uid 561,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 562,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42625,5250,43375,6000" +) +tg (CPTG +uid 563,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 564,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "42550,7000,43450,9500" +st "reset" +ju 2 +blo "43250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 565,0 +va (VaSet +font "courier,8,0" +) +xt "44000,10100,62000,11000" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 23 +suid 48,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,109000,14000" +) +oxt "15000,6000,107000,14000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "56250,9100,62250,10000" +st "memory_test" +blo "56250,9800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "56250,10000,67750,10900" +st "flashController_tester" +blo "56250,10700" +) +) +gi *86 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "38000,6000,51500,9600" +st "Generic Declarations + +addressBitNb positive 24 +dataBitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "24" +) +(GiElement +name "dataBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*87 (Grouping +uid 16,0 +optionalChildren [ +*88 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*92 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*93 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*94 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*95 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*96 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*97 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *98 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*100 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "70,52,1086,742" +viewArea "-500,-500,71230,48820" +cachedDiagramExtent "0,0,107000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Memory_test" +entityName "flashController_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *101 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *102 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,11000,44500,11900" +st "User:" +blo "42000,11700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,11900,44000,11900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 585,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory_test/hds/flash_28@f128@j3@a/symbol.sb b/Libs/Memory_test/hds/flash_28@f128@j3@a/symbol.sb new file mode 100644 index 0000000..e1e799f --- /dev/null +++ b/Libs/Memory_test/hds/flash_28@f128@j3@a/symbol.sb @@ -0,0 +1,1951 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 8,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 109,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "A" +t "unsigned" +b "(23 DOWNTO 0)" +o 45 +suid 1,0 +) +) +uid 91,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "BYTE_n" +t "std_ulogic" +o 48 +suid 2,0 +) +) +uid 93,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "CE" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 45 +suid 3,0 +) +) +uid 95,0 +) +*17 (LogPort +port (LogicalPort +m 2 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 45 +suid 4,0 +) +) +uid 97,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "OE_n" +t "std_ulogic" +o 48 +suid 5,0 +) +) +uid 99,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "RP_n" +t "std_ulogic" +o 48 +suid 6,0 +) +) +uid 101,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "STS" +t "std_ulogic" +o 48 +suid 7,0 +) +) +uid 103,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "WE_n" +t "std_ulogic" +o 48 +suid 8,0 +) +) +uid 105,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 124,0 +optionalChildren [ +*24 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 125,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 126,0 +) +*26 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*27 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 92,0 +) +*28 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 94,0 +) +*29 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 96,0 +) +*30 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 98,0 +) +*31 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 100,0 +) +*32 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 102,0 +) +*33 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 104,0 +) +*34 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 106,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*35 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 129,0 +) +*36 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 130,0 +) +*37 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 131,0 +) +*38 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 132,0 +) +*39 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 133,0 +) +*40 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 134,0 +) +*41 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 135,0 +) +*42 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 136,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 108,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 138,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "fileSpec" +type "string" +value "\"flash.srec\"" +) +uid 233,0 +) +*56 (LogGeneric +generic (GiElement +name "T_W13" +type "time" +value "500 ns" +) +uid 258,0 +) +*57 (LogGeneric +generic (GiElement +name "T_W16_program" +type "time" +value "630 us" +) +uid 260,0 +) +*58 (LogGeneric +generic (GiElement +name "T_W16_erase" +type "time" +value "5 sec" +) +uid 262,0 +) +*59 (LogGeneric +generic (GiElement +name "T_R2" +type "time" +value "120 ns" +) +uid 264,0 +) +*60 (LogGeneric +generic (GiElement +name "T_R3" +type "time" +value "120 ns" +) +uid 266,0 +) +*61 (LogGeneric +generic (GiElement +name "T_R7" +type "time" +value "0 ns" +) +uid 268,0 +) +*62 (LogGeneric +generic (GiElement +name "T_R8" +type "time" +value "55 ns" +) +uid 270,0 +) +*63 (LogGeneric +generic (GiElement +name "T_R9" +type "time" +value "15 ns" +) +uid 272,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 150,0 +optionalChildren [ +*64 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *65 (MRCItem +litem &43 +pos 9 +dimension 20 +) +uid 152,0 +optionalChildren [ +*66 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 153,0 +) +*67 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 154,0 +) +*68 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 155,0 +) +*69 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 234,0 +) +*70 (MRCItem +litem &56 +pos 1 +dimension 20 +uid 259,0 +) +*71 (MRCItem +litem &57 +pos 2 +dimension 20 +uid 261,0 +) +*72 (MRCItem +litem &58 +pos 3 +dimension 20 +uid 263,0 +) +*73 (MRCItem +litem &59 +pos 4 +dimension 20 +uid 265,0 +) +*74 (MRCItem +litem &60 +pos 5 +dimension 20 +uid 267,0 +) +*75 (MRCItem +litem &61 +pos 6 +dimension 20 +uid 269,0 +) +*76 (MRCItem +litem &62 +pos 7 +dimension 20 +uid 271,0 +) +*77 (MRCItem +litem &63 +pos 8 +dimension 20 +uid 273,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 156,0 +optionalChildren [ +*78 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 157,0 +) +*79 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 158,0 +) +*80 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 159,0 +) +*81 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 160,0 +) +*82 (MRCItem +litem &52 +pos 4 +dimension 77 +uid 161,0 +) +*83 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 162,0 +) +*84 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 163,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 151,0 +vaOverrides [ +] +) +] +) +uid 137,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_28@f128@j3@a/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_28@f128@j3@a/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_28@f128@j3@a" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_28F128J3A" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "flash_28F128J3A" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:27" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "flash_28F128J3A" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_28@f128@j3@a/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_28F128J3A/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:27" +) +(vvPair +variable "unit" +value "flash_28F128J3A" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 107,0 +optionalChildren [ +*85 (SymbolBody +uid 8,0 +optionalChildren [ +*86 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,16625,35000,17375" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "36000,16500,36900,17500" +st "A" +blo "36000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,7600,16500,8500" +st "A : IN unsigned (23 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "A" +t "unsigned" +b "(23 DOWNTO 0)" +o 45 +suid 1,0 +) +) +) +*87 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,24625,35000,25375" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 59,0 +va (VaSet +) +xt "36000,24500,39200,25500" +st "BYTE_n" +blo "36000,25300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,8500,11000,9400" +st "BYTE_n : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "BYTE_n" +t "std_ulogic" +o 48 +suid 2,0 +) +) +) +*88 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,18625,35000,19375" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 64,0 +va (VaSet +) +xt "36000,18500,37500,19500" +st "CE" +blo "36000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,9400,20500,10300" +st "CE : IN std_ulogic_vector (2 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "CE" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 45 +suid 3,0 +) +) +) +*89 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Diamond +uid 67,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43000,16625,43750,17375" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 69,0 +va (VaSet +) +xt "40400,16500,42000,17500" +st "DQ" +ju 2 +blo "42000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,13900,19500,14800" +st "DQ : INOUT std_logic_vector (15 DOWNTO 0)" +) +thePort (LogicalPort +m 2 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 45 +suid 4,0 +) +) +) +*90 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,22625,35000,23375" +) +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 74,0 +va (VaSet +) +xt "36000,22500,38300,23500" +st "OE_n" +blo "36000,23300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,10300,11000,11200" +st "OE_n : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "OE_n" +t "std_ulogic" +o 48 +suid 5,0 +) +) +) +*91 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,28625,35000,29375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +) +xt "36000,28500,38300,29500" +st "RP_n" +blo "36000,29300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,11200,11000,12100" +st "RP_n : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "RP_n" +t "std_ulogic" +o 48 +suid 6,0 +) +) +) +*92 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43000,18625,43750,19375" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +) +xt "40100,18500,42000,19500" +st "STS" +ju 2 +blo "42000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,13000,11000,13900" +st "STS : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "STS" +t "std_ulogic" +o 48 +suid 7,0 +) +) +) +*93 (CptPort +uid 86,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 87,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,20625,35000,21375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 89,0 +va (VaSet +) +xt "36000,20500,38400,21500" +st "WE_n" +blo "36000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,12100,11000,13000" +st "WE_n : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "WE_n" +t "std_ulogic" +o 48 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,13000,43000,31000" +) +oxt "15000,6000,23000,22000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "34800,31000,40800,31900" +st "Memory_test" +blo "34800,31700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "34800,31900,42800,32800" +st "flash_28F128J3A" +blo "34800,32600" +) +) +gi *94 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "35000,34600,53000,44500" +st "Generic Declarations + +fileSpec string \"flash.srec\" +T_W13 time 500 ns +T_W16_program time 630 us +T_W16_erase time 5 sec +T_R2 time 120 ns +T_R3 time 120 ns +T_R7 time 0 ns +T_R8 time 55 ns +T_R9 time 15 ns " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "fileSpec" +type "string" +value "\"flash.srec\"" +) +(GiElement +name "T_W13" +type "time" +value "500 ns" +) +(GiElement +name "T_W16_program" +type "time" +value "630 us" +) +(GiElement +name "T_W16_erase" +type "time" +value "5 sec" +) +(GiElement +name "T_R2" +type "time" +value "120 ns" +) +(GiElement +name "T_R3" +type "time" +value "120 ns" +) +(GiElement +name "T_R7" +type "time" +value "0 ns" +) +(GiElement +name "T_R8" +type "time" +value "55 ns" +) +(GiElement +name "T_R9" +type "time" +value "15 ns" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*95 (Grouping +uid 16,0 +optionalChildren [ +*96 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,47000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,44000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*97 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "47000,44000,51000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "47200,44000,50800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*98 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,47000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,46400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*99 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "26000,46000,30000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "26200,46000,29800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*100 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "47000,45000,67000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "47200,45200,60400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*101 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,67000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,53000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*102 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "26000,44000,47000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "32000,44500,41000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*103 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "26000,47000,30000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "26200,47000,29200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*104 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "26000,48000,30000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "26200,48000,29800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*105 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,47000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,40400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "26000,44000,67000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *106 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*107 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-6000,0,-600,1000" +st "Package List" +blo "-6000,800" +) +*108 (MLText +uid 50,0 +va (VaSet +) +xt "-6000,1000,12600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "41,38,1302,971" +viewArea "-7100,-1100,68966,56643" +cachedDiagramExtent "-6000,0,67000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-6000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *109 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *110 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-6000,5600,-600,6600" +st "Declarations" +blo "-6000,6400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-6000,6600,-3300,7600" +st "Ports:" +blo "-6000,7400" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-6000,14800,-3500,15700" +st "User:" +blo "-6000,15500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-6000,5600,-200,6600" +st "Internal User:" +blo "-6000,6400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,15700,-4000,15700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-6000,5600,-6000,5600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 296,0 +activeModelName "Symbol" +) diff --git a/Libs/Memory_test/hds/flash_tb/struct.bd b/Libs/Memory_test/hds/flash_tb/struct.bd new file mode 100644 index 0000000..7681750 --- /dev/null +++ b/Libs/Memory_test/hds/flash_tb/struct.bd @@ -0,0 +1,3224 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I1" +duLibraryName "memory_test" +duName "flash_tester" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 1774,0 +) +(Instance +name "I0" +duLibraryName "memory_test" +duName "flash_28F128J3A" +elements [ +(GiElement +name "fileSpec" +type "string" +value "\"U:\\ELN_board\\Simulation\\flash.srec\"" +) +(GiElement +name "T_W13" +type "time" +value "500 ns" +) +(GiElement +name "T_W16_program" +type "time" +value "1 us" +) +(GiElement +name "T_W16_erase" +type "time" +value "1.5 us" +) +(GiElement +name "T_R2" +type "time" +value "120 ns" +) +(GiElement +name "T_R3" +type "time" +value "120 ns" +) +(GiElement +name "T_R7" +type "time" +value "0 ns" +) +(GiElement +name "T_R8" +type "time" +value "55 ns" +) +(GiElement +name "T_R9" +type "time" +value "15 ns" +) +] +mwi 0 +uid 5435,0 +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "flash_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:28" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "flash_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:45:28" +) +(vvPair +variable "unit" +value "flash_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 198,0 +optionalChildren [ +*1 (Grouping +uid 1487,0 +optionalChildren [ +*2 (CommentText +uid 1489,0 +shape (Rectangle +uid 1490,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,77000,91000,79000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 1491,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,77500,87800,78500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 1492,0 +shape (Rectangle +uid 1493,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,77000,66000,79000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 1494,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "47750,77350,58250,78650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 1495,0 +shape (Rectangle +uid 1496,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "45000,83000,66000,85000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 1497,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "45200,83500,61400,84500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 1498,0 +shape (Rectangle +uid 1499,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "66000,77000,72000,79000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 1500,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "66200,77500,71000,78500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 1501,0 +shape (Rectangle +uid 1502,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "45000,79000,66000,81000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 1503,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "45200,79500,61400,80500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 1504,0 +shape (Rectangle +uid 1505,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,79000,45000,81000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 1506,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,79500,43800,80500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 1507,0 +shape (Rectangle +uid 1508,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,81000,45000,83000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 1509,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,81500,43200,82500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 1510,0 +shape (Rectangle +uid 1511,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "66000,79000,91000,85000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 1512,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "66200,79200,79400,80200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*10 (CommentText +uid 1513,0 +shape (Rectangle +uid 1514,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "45000,81000,66000,83000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 1515,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "45200,81500,62000,82500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 1516,0 +shape (Rectangle +uid 1517,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,83000,45000,85000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 1518,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,83500,44400,84500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 1488,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "40000,77000,91000,85000" +) +oxt "13000,22000,64000,30000" +) +*12 (Blk +uid 1774,0 +shape (Rectangle +uid 1775,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "24000,57000,68000,65000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1776,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 1777,0 +va (VaSet +font "courier,12,1" +) +xt "24600,64900,35200,66300" +st "memory_test" +blo "24600,66100" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 1778,0 +va (VaSet +font "courier,12,1" +) +xt "24600,66300,34400,67700" +st "flash_tester" +blo "24600,67500" +tm "BlkNameMgr" +) +*15 (Text +uid 1779,0 +va (VaSet +font "courier,12,1" +) +xt "24600,67700,27000,69100" +st "I1" +blo "24600,68900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1780,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1781,0 +text (MLText +uid 1782,0 +va (VaSet +font "courier,9,0" +) +xt "24000,69800,47000,71600" +st "addressBitNb = addressBitNb ( positive ) +dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +) +*16 (Net +uid 5007,0 +decl (Decl +n "RP_n" +t "std_ulogic" +o 6 +suid 47,0 +) +declText (MLText +uid 5008,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,13500,900" +st "SIGNAL RP_n : std_ulogic" +) +) +*17 (Net +uid 5015,0 +decl (Decl +n "BYTE_n" +t "std_ulogic" +o 2 +suid 48,0 +) +declText (MLText +uid 5016,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,13500,900" +st "SIGNAL BYTE_n : std_ulogic" +) +) +*18 (Net +uid 5023,0 +decl (Decl +n "OE_n" +t "std_ulogic" +o 5 +suid 49,0 +) +declText (MLText +uid 5024,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,13500,900" +st "SIGNAL OE_n : std_ulogic" +) +) +*19 (Net +uid 5031,0 +decl (Decl +n "WE_n" +t "std_ulogic" +o 8 +suid 50,0 +) +declText (MLText +uid 5032,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,13500,900" +st "SIGNAL WE_n : std_ulogic" +) +) +*20 (Net +uid 5039,0 +decl (Decl +n "CE" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 3 +suid 51,0 +) +declText (MLText +uid 5040,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,23000,900" +st "SIGNAL CE : std_ulogic_vector(2 DOWNTO 0)" +) +) +*21 (Net +uid 5047,0 +decl (Decl +n "A" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 1 +suid 52,0 +) +declText (MLText +uid 5048,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,25000,900" +st "SIGNAL A : unsigned(addressBitNb-1 DOWNTO 0)" +) +) +*22 (Net +uid 5055,0 +decl (Decl +n "STS" +t "std_ulogic" +o 7 +suid 53,0 +) +declText (MLText +uid 5056,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,13500,900" +st "SIGNAL STS : std_ulogic" +) +) +*23 (Net +uid 5063,0 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 54,0 +) +declText (MLText +uid 5064,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,27500,900" +st "SIGNAL DQ : std_logic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*24 (SaComponent +uid 5435,0 +optionalChildren [ +*25 (CptPort +uid 5403,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5404,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,34625,46000,35375" +) +tg (CPTG +uid 5405,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5406,0 +va (VaSet +) +xt "47000,34500,47900,35500" +st "A" +blo "47000,35300" +) +) +thePort (LogicalPort +decl (Decl +n "A" +t "unsigned" +b "(23 DOWNTO 0)" +o 45 +suid 1,0 +) +) +) +*26 (CptPort +uid 5407,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5408,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,42625,46000,43375" +) +tg (CPTG +uid 5409,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5410,0 +va (VaSet +) +xt "47000,42500,50200,43500" +st "BYTE_n" +blo "47000,43300" +) +) +thePort (LogicalPort +decl (Decl +n "BYTE_n" +t "std_ulogic" +o 48 +suid 2,0 +) +) +) +*27 (CptPort +uid 5411,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5412,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,36625,46000,37375" +) +tg (CPTG +uid 5413,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5414,0 +va (VaSet +) +xt "47000,36500,48500,37500" +st "CE" +blo "47000,37300" +) +) +thePort (LogicalPort +decl (Decl +n "CE" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 45 +suid 3,0 +) +) +) +*28 (CptPort +uid 5415,0 +ps "OnEdgeStrategy" +shape (Diamond +uid 5416,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,34625,54750,35375" +) +tg (CPTG +uid 5417,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5418,0 +va (VaSet +) +xt "51400,34500,53000,35500" +st "DQ" +ju 2 +blo "53000,35300" +) +) +thePort (LogicalPort +m 2 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 45 +suid 4,0 +) +) +) +*29 (CptPort +uid 5419,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5420,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,40625,46000,41375" +) +tg (CPTG +uid 5421,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5422,0 +va (VaSet +) +xt "47000,40500,49300,41500" +st "OE_n" +blo "47000,41300" +) +) +thePort (LogicalPort +decl (Decl +n "OE_n" +t "std_ulogic" +o 48 +suid 5,0 +) +) +) +*30 (CptPort +uid 5423,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5424,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,46625,46000,47375" +) +tg (CPTG +uid 5425,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5426,0 +va (VaSet +) +xt "47000,46500,49300,47500" +st "RP_n" +blo "47000,47300" +) +) +thePort (LogicalPort +decl (Decl +n "RP_n" +t "std_ulogic" +o 48 +suid 6,0 +) +) +) +*31 (CptPort +uid 5427,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5428,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,36625,54750,37375" +) +tg (CPTG +uid 5429,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5430,0 +va (VaSet +) +xt "51100,36500,53000,37500" +st "STS" +ju 2 +blo "53000,37300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "STS" +t "std_ulogic" +o 48 +suid 7,0 +) +) +) +*32 (CptPort +uid 5431,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5432,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,38625,46000,39375" +) +tg (CPTG +uid 5433,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5434,0 +va (VaSet +) +xt "47000,38500,49400,39500" +st "WE_n" +blo "47000,39300" +) +) +thePort (LogicalPort +decl (Decl +n "WE_n" +t "std_ulogic" +o 48 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 5436,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "46000,31000,54000,49000" +) +oxt "35000,13000,43000,31000" +ttg (MlTextGroup +uid 5437,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 5438,0 +va (VaSet +font "courier,8,1" +) +xt "45800,49000,51300,50000" +st "memory_test" +blo "45800,49800" +tm "BdLibraryNameMgr" +) +*34 (Text +uid 5439,0 +va (VaSet +font "courier,8,1" +) +xt "45800,50000,52600,51000" +st "flash_28F128J3A" +blo "45800,50800" +tm "CptNameMgr" +) +*35 (Text +uid 5440,0 +va (VaSet +font "courier,8,1" +) +xt "45800,51000,46800,52000" +st "I0" +blo "45800,51800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 5441,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 5442,0 +text (MLText +uid 5443,0 +va (VaSet +font "courier,8,0" +) +xt "46000,52600,80500,60700" +st "fileSpec = \"U:\\ELN_board\\Simulation\\flash.srec\" ( string ) +T_W13 = 500 ns ( time ) +T_W16_program = 1 us ( time ) +T_W16_erase = 1.5 us ( time ) +T_R2 = 120 ns ( time ) +T_R3 = 120 ns ( time ) +T_R7 = 0 ns ( time ) +T_R8 = 55 ns ( time ) +T_R9 = 15 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "fileSpec" +type "string" +value "\"U:\\ELN_board\\Simulation\\flash.srec\"" +) +(GiElement +name "T_W13" +type "time" +value "500 ns" +) +(GiElement +name "T_W16_program" +type "time" +value "1 us" +) +(GiElement +name "T_W16_erase" +type "time" +value "1.5 us" +) +(GiElement +name "T_R2" +type "time" +value "120 ns" +) +(GiElement +name "T_R3" +type "time" +value "120 ns" +) +(GiElement +name "T_R7" +type "time" +value "0 ns" +) +(GiElement +name "T_R8" +type "time" +value "55 ns" +) +(GiElement +name "T_R9" +type "time" +value "15 ns" +) +] +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*36 (Wire +uid 5009,0 +shape (OrthoPolyLine +uid 5010,0 +va (VaSet +vasetType 3 +) +xt "44000,47000,45250,57000" +pts [ +"45250,47000" +"44000,47000" +"44000,57000" +] +) +start &30 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5013,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5014,0 +va (VaSet +font "courier,12,0" +) +xt "40250,45600,43050,46900" +st "RP_n" +blo "40250,46600" +tm "WireNameMgr" +) +) +on &16 +) +*37 (Wire +uid 5017,0 +shape (OrthoPolyLine +uid 5018,0 +va (VaSet +vasetType 3 +) +xt "40000,43000,45250,57000" +pts [ +"45250,43000" +"40000,43000" +"40000,57000" +] +) +start &26 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5021,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5022,0 +va (VaSet +font "courier,12,0" +) +xt "39250,41600,44650,43000" +st "BYTE_n" +blo "39250,42800" +tm "WireNameMgr" +) +) +on &17 +) +*38 (Wire +uid 5025,0 +shape (OrthoPolyLine +uid 5026,0 +va (VaSet +vasetType 3 +) +xt "38000,41000,45250,57000" +pts [ +"45250,41000" +"38000,41000" +"38000,57000" +] +) +start &29 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5029,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5030,0 +va (VaSet +font "courier,12,0" +) +xt "40250,39600,43050,40900" +st "OE_n" +blo "40250,40600" +tm "WireNameMgr" +) +) +on &18 +) +*39 (Wire +uid 5033,0 +shape (OrthoPolyLine +uid 5034,0 +va (VaSet +vasetType 3 +) +xt "36000,39000,45250,57000" +pts [ +"45250,39000" +"36000,39000" +"36000,57000" +] +) +start &32 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5037,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5038,0 +va (VaSet +font "courier,12,0" +) +xt "39250,37600,42050,38900" +st "WE_n" +blo "39250,38600" +tm "WireNameMgr" +) +) +on &19 +) +*40 (Wire +uid 5041,0 +shape (OrthoPolyLine +uid 5042,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "34000,37000,45250,57000" +pts [ +"45250,37000" +"34000,37000" +"34000,57000" +] +) +start &27 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5045,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5046,0 +va (VaSet +font "courier,12,0" +) +xt "41250,35600,42650,36900" +st "CE" +blo "41250,36600" +tm "WireNameMgr" +) +) +on &20 +) +*41 (Wire +uid 5049,0 +shape (OrthoPolyLine +uid 5050,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "32000,35000,45250,57000" +pts [ +"45250,35000" +"32000,35000" +"32000,57000" +] +) +start &25 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5053,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5054,0 +va (VaSet +font "courier,12,0" +) +xt "42250,33600,42950,34900" +st "A" +blo "42250,34600" +tm "WireNameMgr" +) +) +on &21 +) +*42 (Wire +uid 5057,0 +shape (OrthoPolyLine +uid 5058,0 +va (VaSet +vasetType 3 +) +xt "54750,37000,58000,57000" +pts [ +"54750,37000" +"58000,37000" +"58000,57000" +] +) +start &31 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5061,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5062,0 +va (VaSet +font "courier,12,0" +) +xt "56750,35600,59850,37000" +st "STS" +blo "56750,36800" +tm "WireNameMgr" +) +) +on &22 +) +*43 (Wire +uid 5065,0 +shape (OrthoPolyLine +uid 5066,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "54750,35000,60000,57000" +pts [ +"54750,35000" +"60000,35000" +"60000,57000" +] +) +start &28 +end &12 +sat 32 +eat 4 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5069,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5070,0 +va (VaSet +font "courier,12,0" +) +xt "56750,33600,58150,34900" +st "DQ" +blo "56750,34600" +tm "WireNameMgr" +) +) +on &23 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *44 (PackageList +uid 187,0 +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +uid 1297,0 +va (VaSet +font "courier,12,0" +) +xt "-7000,19600,2500,21000" +st "Package List" +blo "-7000,20800" +) +*46 (MLText +uid 1298,0 +va (VaSet +) +xt "-7000,21000,11600,24000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 190,0 +stg "VerticalLayoutStrategy" +textVec [ +*47 (Text +uid 191,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,0,31000,1200" +st "Compiler Directives" +blo "20000,1000" +) +*48 (Text +uid 192,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,1400,33000,2600" +st "Pre-module directives:" +blo "20000,2400" +) +*49 (MLText +uid 193,0 +va (VaSet +isHidden 1 +) +xt "20000,2800,32000,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*50 (Text +uid 194,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,5600,33500,6800" +st "Post-module directives:" +blo "20000,6600" +) +*51 (MLText +uid 195,0 +va (VaSet +isHidden 1 +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*52 (Text +uid 196,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,7200,33200,8400" +st "End-module directives:" +blo "20000,8200" +) +*53 (MLText +uid 197,0 +va (VaSet +isHidden 1 +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "7,31,1370,1010" +viewArea "-8500,18100,93316,92593" +cachedDiagramExtent "-7000,0,91000,85000" +pageSetupInfo (PageSetupInfo +ptrCmd "Generic PostScript Printer,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +scale 75 +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,19000" +lastUid 5521,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "1000,1000,3300,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,2550,7900,3950" +st "" +blo "1500,3750" +tm "BdLibraryNameMgr" +) +*55 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,3950,7000,5350" +st "" +blo "1500,5150" +tm "BlkNameMgr" +) +*56 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,5350,3000,6750" +st "I0" +blo "1500,6550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "1500,12550,1500,12550" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*58 (Text +va (VaSet +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*59 (Text +va (VaSet +) +xt "-100,5000,500,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +va (VaSet +) +xt "-350,2550,1950,3550" +st "Library" +blo "-350,3350" +tm "BdLibraryNameMgr" +) +*61 (Text +va (VaSet +) +xt "-350,3550,5150,4550" +st "SaComponent" +blo "-350,4350" +tm "CptNameMgr" +) +*62 (Text +va (VaSet +) +xt "-350,4550,250,5550" +st "I0" +blo "-350,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7350,550,-7350,550" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1350,0,9350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +va (VaSet +) +xt "-850,2550,1450,3550" +st "Library" +blo "-850,3350" +) +*64 (Text +va (VaSet +) +xt "-850,3550,5250,4550" +st "VhdlComponent" +blo "-850,4350" +) +*65 (Text +va (VaSet +) +xt "-850,4550,-250,5550" +st "I0" +blo "-850,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7850,550,-7850,550" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-2100,0,10100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*66 (Text +va (VaSet +) +xt "-1600,2550,700,3550" +st "Library" +blo "-1600,3350" +) +*67 (Text +va (VaSet +) +xt "-1600,3550,5500,4550" +st "VerilogComponent" +blo "-1600,4350" +) +*68 (Text +va (VaSet +) +xt "-1600,4550,-1000,5550" +st "I0" +blo "-1600,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-8600,550,-8600,550" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*69 (Text +va (VaSet +) +xt "2950,3400,4150,4400" +st "eb1" +blo "2950,4200" +tm "HdlTextNameMgr" +) +*70 (Text +va (VaSet +) +xt "2950,4400,3350,5400" +st "1" +blo "2950,5200" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineStyle 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,5100,1400" +st "bundle0" +blo "0,1200" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,12,0" +) +xt "0,1400,1400,2700" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,12,0" +) +xt "0,0,6300,1300" +st "Auto list" +) +second (MLText +va (VaSet +font "courier,12,0" +) +xt "0,1400,12600,2700" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,17400,-400" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*71 (Text +va (VaSet +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*72 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,10800,-400" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +va (VaSet +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*74 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-7000,25800,1600,27000" +st "Declarations" +blo "-7000,26800" +) +portLabel (Text +uid 3,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-7000,27000,-2800,28200" +st "Ports:" +blo "-7000,28000" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "-7000,27000,-1000,28200" +st "Pre User:" +blo "-7000,28000" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "-5000,28200,18400,30200" +st "constant addressBitNb: positive := 24; +constant dataBitNb: positive := 16;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-7000,27000,4000,28200" +st "Diagram Signals:" +blo "-7000,28000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-7000,27000,300,28200" +st "Post User:" +blo "-7000,28000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "-5000,41400,-5000,41400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 54,0 +usingSuid 1 +emptyRow *75 (LEmptyRow +) +uid 3310,0 +optionalChildren [ +*76 (RefLabelRowHdr +) +*77 (TitleRowHdr +) +*78 (FilterRowHdr +) +*79 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*80 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*81 (GroupColHdr +tm "GroupColHdrMgr" +) +*82 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*83 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*84 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*85 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*86 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*87 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*88 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "RP_n" +t "std_ulogic" +o 6 +suid 47,0 +) +) +uid 5071,0 +) +*89 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "BYTE_n" +t "std_ulogic" +o 2 +suid 48,0 +) +) +uid 5073,0 +) +*90 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "OE_n" +t "std_ulogic" +o 5 +suid 49,0 +) +) +uid 5075,0 +) +*91 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "WE_n" +t "std_ulogic" +o 8 +suid 50,0 +) +) +uid 5077,0 +) +*92 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "CE" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 3 +suid 51,0 +) +) +uid 5079,0 +) +*93 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "A" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 1 +suid 52,0 +) +) +uid 5081,0 +) +*94 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "STS" +t "std_ulogic" +o 7 +suid 53,0 +) +) +uid 5083,0 +) +*95 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 54,0 +) +) +uid 5085,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 3323,0 +optionalChildren [ +*96 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *97 (MRCItem +litem &75 +pos 8 +dimension 20 +) +uid 3325,0 +optionalChildren [ +*98 (MRCItem +litem &76 +pos 0 +dimension 20 +uid 3326,0 +) +*99 (MRCItem +litem &77 +pos 1 +dimension 23 +uid 3327,0 +) +*100 (MRCItem +litem &78 +pos 2 +hidden 1 +dimension 20 +uid 3328,0 +) +*101 (MRCItem +litem &88 +pos 0 +dimension 20 +uid 5072,0 +) +*102 (MRCItem +litem &89 +pos 1 +dimension 20 +uid 5074,0 +) +*103 (MRCItem +litem &90 +pos 2 +dimension 20 +uid 5076,0 +) +*104 (MRCItem +litem &91 +pos 3 +dimension 20 +uid 5078,0 +) +*105 (MRCItem +litem &92 +pos 4 +dimension 20 +uid 5080,0 +) +*106 (MRCItem +litem &93 +pos 5 +dimension 20 +uid 5082,0 +) +*107 (MRCItem +litem &94 +pos 6 +dimension 20 +uid 5084,0 +) +*108 (MRCItem +litem &95 +pos 7 +dimension 20 +uid 5086,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 3329,0 +optionalChildren [ +*109 (MRCItem +litem &79 +pos 0 +dimension 20 +uid 3330,0 +) +*110 (MRCItem +litem &81 +pos 1 +dimension 50 +uid 3331,0 +) +*111 (MRCItem +litem &82 +pos 2 +dimension 100 +uid 3332,0 +) +*112 (MRCItem +litem &83 +pos 3 +dimension 50 +uid 3333,0 +) +*113 (MRCItem +litem &84 +pos 4 +dimension 100 +uid 3334,0 +) +*114 (MRCItem +litem &85 +pos 5 +dimension 100 +uid 3335,0 +) +*115 (MRCItem +litem &86 +pos 6 +dimension 50 +uid 3336,0 +) +*116 (MRCItem +litem &87 +pos 7 +dimension 80 +uid 3337,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 3324,0 +vaOverrides [ +] +) +] +) +uid 3309,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *117 (LEmptyRow +) +uid 3339,0 +optionalChildren [ +*118 (RefLabelRowHdr +) +*119 (TitleRowHdr +) +*120 (FilterRowHdr +) +*121 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*122 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*123 (GroupColHdr +tm "GroupColHdrMgr" +) +*124 (NameColHdr +tm "GenericNameColHdrMgr" +) +*125 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*126 (InitColHdr +tm "GenericValueColHdrMgr" +) +*127 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*128 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 3351,0 +optionalChildren [ +*129 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *130 (MRCItem +litem &117 +pos 0 +dimension 20 +) +uid 3353,0 +optionalChildren [ +*131 (MRCItem +litem &118 +pos 0 +dimension 20 +uid 3354,0 +) +*132 (MRCItem +litem &119 +pos 1 +dimension 23 +uid 3355,0 +) +*133 (MRCItem +litem &120 +pos 2 +hidden 1 +dimension 20 +uid 3356,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 3357,0 +optionalChildren [ +*134 (MRCItem +litem &121 +pos 0 +dimension 20 +uid 3358,0 +) +*135 (MRCItem +litem &123 +pos 1 +dimension 50 +uid 3359,0 +) +*136 (MRCItem +litem &124 +pos 2 +dimension 100 +uid 3360,0 +) +*137 (MRCItem +litem &125 +pos 3 +dimension 100 +uid 3361,0 +) +*138 (MRCItem +litem &126 +pos 4 +dimension 50 +uid 3362,0 +) +*139 (MRCItem +litem &127 +pos 5 +dimension 50 +uid 3363,0 +) +*140 (MRCItem +litem &128 +pos 6 +dimension 80 +uid 3364,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 3352,0 +vaOverrides [ +] +) +] +) +uid 3338,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Memory_test/hds/flash_tb/symbol.sb b/Libs/Memory_test/hds/flash_tb/symbol.sb new file mode 100644 index 0000000..526b626 --- /dev/null +++ b/Libs/Memory_test/hds/flash_tb/symbol.sb @@ -0,0 +1,1256 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 107,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 55,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 58,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 60,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 62,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 56,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 64,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 68,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 70,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 74,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 76,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 78,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 54,0 +vaOverrides [ +] +) +] +) +uid 106,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 111,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 82,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 85,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 87,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 89,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 83,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 91,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 95,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 97,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 99,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 101,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 103,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 105,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "flash_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:27" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\SysLo\\Master\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "flash_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:27" +) +(vvPair +variable "unit" +value "flash_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29000,13000,43000,27000" +) +oxt "15000,6000,20000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "29950,21900,37150,22900" +st "Memory_test" +blo "29950,22700" +) +second (Text +uid 12,0 +va (VaSet +) +xt "29950,22900,34750,23900" +st "flash_tb" +blo "29950,23700" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,27600,42500,28500" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sT 1 +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41500,69800,42500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 23,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "29750,41350,40250,42650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47500,41000,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41500,53000,42500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43500,43400,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43500,25800,44500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 38,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45500,25200,46500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,61400,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45500,44000,46500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47500,26400,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 52,0 +va (VaSet +font "courier,12,1" +) +xt "0,0,8800,1500" +st "Package List" +blo "0,1200" +) +*66 (MLText +uid 53,0 +va (VaSet +font "courier,12,0" +) +xt "0,1500,0,1500" +tm "PackageList" +) +] +) +windowSize "102,76,952,712" +viewArea "-8021,-1136,81281,50396" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,6000,20000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "15950,14900,19050,15900" +st "" +blo "15950,15700" +) +second (Text +va (VaSet +) +xt "15950,15900,18150,16900" +st "" +blo "15950,16700" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,3400,7400,4600" +st "Declarations" +blo "0,4400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "0,4600,3500,5800" +st "Ports:" +blo "0,5600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "0,5800,3000,6800" +st "User:" +blo "0,6600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,3400,7800,4600" +st "Internal User:" +blo "0,4400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,6800,2000,6800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,3400,0,3400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 134,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/Libs/Memory_test/hds/flash_tester/interface b/Libs/Memory_test/hds/flash_tester/interface new file mode 100644 index 0000000..278989e --- /dev/null +++ b/Libs/Memory_test/hds/flash_tester/interface @@ -0,0 +1,1841 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 32,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 109,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "A" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 1 +suid 25,0 +) +) +uid 458,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "BYTE_n" +t "std_ulogic" +o 2 +suid 26,0 +) +) +uid 460,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "CE" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 3 +suid 27,0 +) +) +uid 462,0 +) +*17 (LogPort +port (LogicalPort +m 2 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 28,0 +) +) +uid 464,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "OE_n" +t "std_ulogic" +o 5 +suid 29,0 +) +) +uid 466,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "RP_n" +t "std_ulogic" +o 6 +suid 30,0 +) +) +uid 468,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "STS" +t "std_ulogic" +o 7 +suid 31,0 +) +) +uid 470,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "WE_n" +t "std_ulogic" +o 8 +suid 32,0 +) +) +uid 472,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 124,0 +optionalChildren [ +*24 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 125,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 126,0 +) +*26 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*27 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 459,0 +) +*28 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 461,0 +) +*29 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 463,0 +) +*30 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 465,0 +) +*31 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 467,0 +) +*32 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 469,0 +) +*33 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 471,0 +) +*34 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 473,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*35 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 129,0 +) +*36 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 130,0 +) +*37 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 131,0 +) +*38 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 132,0 +) +*39 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 133,0 +) +*40 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 134,0 +) +*41 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 135,0 +) +*42 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 136,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 108,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 138,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "24" +) +uid 210,0 +) +*56 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "16" +) +uid 212,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 150,0 +optionalChildren [ +*57 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *58 (MRCItem +litem &43 +pos 2 +dimension 20 +) +uid 152,0 +optionalChildren [ +*59 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 153,0 +) +*60 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 154,0 +) +*61 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 155,0 +) +*62 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 211,0 +) +*63 (MRCItem +litem &56 +pos 1 +dimension 20 +uid 213,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 156,0 +optionalChildren [ +*64 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 157,0 +) +*65 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 158,0 +) +*66 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 159,0 +) +*67 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 160,0 +) +*68 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 161,0 +) +*69 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 162,0 +) +*70 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 163,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 151,0 +vaOverrides [ +] +) +] +) +uid 137,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tester/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tester/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tester" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tester" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "flash_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:28" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "memory_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "flash_tester" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tester/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tester/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:45:28" +) +(vvPair +variable "unit" +value "flash_tester" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 107,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 418,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 419,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 420,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 421,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "22550,7000,23450,7500" +st "A" +ju 2 +blo "23250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 422,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,70500,3800" +st "A : OUT unsigned (addressBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "A" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 1 +suid 25,0 +) +) +) +*73 (CptPort +uid 423,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 424,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 425,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 426,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "30550,7000,31450,10000" +st "BYTE_n" +ju 2 +blo "31250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 427,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,59000,4700" +st "BYTE_n : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "BYTE_n" +t "std_ulogic" +o 2 +suid 26,0 +) +) +) +*74 (CptPort +uid 428,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 429,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 430,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 431,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "24550,7000,25450,8000" +st "CE" +ju 2 +blo "25250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 432,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,68500,5600" +st "CE : OUT std_ulogic_vector (2 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "CE" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 3 +suid 27,0 +) +) +) +*75 (CptPort +uid 433,0 +ps "OnEdgeStrategy" +shape (Diamond +uid 434,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50625,5250,51375,6000" +) +tg (CPTG +uid 435,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 436,0 +va (VaSet +font "courier,8,0" +) +xt "52000,6000,53000,6900" +st "DQ" +blo "52000,6700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 437,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8300,72000,9200" +st "DQ : INOUT std_logic_vector (dataBitNb-1 DOWNTO 0) +" +) +thePort (LogicalPort +m 2 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 28,0 +) +) +) +*76 (CptPort +uid 438,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 439,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 440,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 441,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "28550,7000,29450,9000" +st "OE_n" +ju 2 +blo "29250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 442,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5600,59000,6500" +st "OE_n : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "OE_n" +t "std_ulogic" +o 5 +suid 29,0 +) +) +) +*77 (CptPort +uid 443,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 444,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34625,5250,35375,6000" +) +tg (CPTG +uid 445,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 446,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "34550,7000,35450,9000" +st "RP_n" +ju 2 +blo "35250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 447,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,59000,7400" +st "RP_n : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "RP_n" +t "std_ulogic" +o 6 +suid 30,0 +) +) +) +*78 (CptPort +uid 448,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 449,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48625,5250,49375,6000" +) +tg (CPTG +uid 450,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 451,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "48550,7000,49450,8500" +st "STS" +ju 2 +blo "49250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 452,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,59000,2900" +st "STS : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "STS" +t "std_ulogic" +o 7 +suid 31,0 +) +) +) +*79 (CptPort +uid 453,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 454,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 455,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 456,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "26550,7000,27450,9000" +st "WE_n" +ju 2 +blo "27250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 457,0 +va (VaSet +font "courier,8,0" +) +xt "44000,7400,59000,8300" +st "WE_n : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "WE_n" +t "std_ulogic" +o 8 +suid 32,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,59000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "33750,9100,39750,10000" +st "memory_test" +blo "33750,9800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "33750,10000,40250,10900" +st "flash_tester" +blo "33750,10700" +) +) +gi *80 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "13000,6000,26500,9600" +st "Generic Declarations + +addressBitNb positive 24 +dataBitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "24" +) +(GiElement +name "dataBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*81 (Grouping +uid 16,0 +optionalChildren [ +*82 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *92 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*94 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,10,1016,690" +viewArea "-500,-500,71230,47920" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Memory_test" +entityName "flash_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *95 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *96 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,9200,44500,10100" +st "User:" +blo "42000,9900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,10100,44000,10100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 473,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory_test/hds/sdram@controller_tb/struct.bd b/Libs/Memory_test/hds/sdram@controller_tb/struct.bd new file mode 100644 index 0000000..c8d3137 --- /dev/null +++ b/Libs/Memory_test/hds/sdram@controller_tb/struct.bd @@ -0,0 +1,6053 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I1" +duLibraryName "memory_test" +duName "sdramController_tester" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 1774,0 +) +(Instance +name "I2" +duLibraryName "memory_test" +duName "sdram_mt48lc16m16a2" +elements [ +(GiElement +name "addr_bits" +type "integer" +value "rowAddressBitNb" +) +(GiElement +name "data_bits" +type "integer" +value "dataBitNb" +) +(GiElement +name "col_bits" +type "integer" +value "colAddressBitNb" +) +(GiElement +name "index" +type "integer" +value "0" +) +(GiElement +name "fname" +type "string" +value "\"U:\\ELN_board\\Simulation\\sdram.srec\"" +) +(GiElement +name "tAC" +type "time" +value "6 ns" +) +(GiElement +name "tHZ" +type "time" +value "7 ns" +) +(GiElement +name "tOH" +type "time" +value "2.7 ns" +) +(GiElement +name "tMRD" +type "integer" +value "2" +) +(GiElement +name "tRAS" +type "time" +value "44 ns" +) +(GiElement +name "tRC" +type "time" +value "66 ns" +) +(GiElement +name "tRCD" +type "time" +value "20 ns" +) +(GiElement +name "tRP" +type "time" +value "20 ns" +) +(GiElement +name "tRRD" +type "time" +value "15 ns" +) +(GiElement +name "tWRa" +type "time" +value "7.5 ns" +) +(GiElement +name "tWRp" +type "time" +value "15 ns" +) +(GiElement +name "tAH" +type "time" +value "0.8 ns" +) +(GiElement +name "tAS" +type "time" +value "1.5 ns" +) +(GiElement +name "tCH" +type "time" +value "2.5 ns" +) +(GiElement +name "tCL" +type "time" +value "2.5 ns" +) +(GiElement +name "tCK" +type "time" +value "10 ns" +) +(GiElement +name "tDH" +type "time" +value "0.8 ns" +) +(GiElement +name "tDS" +type "time" +value "1.5 ns" +) +(GiElement +name "tCKH" +type "time" +value "0.8 ns" +) +(GiElement +name "tCKS" +type "time" +value "1.5 ns" +) +(GiElement +name "tCMH" +type "time" +value "0.8 ns" +) +(GiElement +name "tCMS" +type "time" +value "1.5 ns" +) +] +mwi 0 +uid 5983,0 +) +(Instance +name "I0" +duLibraryName "memory" +duName "sdramController" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "chipAddressBitNb" +type "positive" +value "rowAddressBitNb" +) +(GiElement +name "chipBankAddressBitNb" +type "positive" +value "bankAddressBitNb" +) +] +mwi 0 +uid 6647,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +(EmbeddedInstance +name "eb2" +number "2" +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tb/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tb/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdramController_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "sdramController_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:34" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramController_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tb/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdramController_tb/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:45:34" +) +(vvPair +variable "unit" +value "sdramController_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 198,0 +optionalChildren [ +*1 (Grouping +uid 1487,0 +optionalChildren [ +*2 (CommentText +uid 1489,0 +shape (Rectangle +uid 1490,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "83000,85000,102000,87000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 1491,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "83200,85500,98800,86500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 1492,0 +shape (Rectangle +uid 1493,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,85000,77000,87000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 1494,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "58750,85350,69250,86650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 1495,0 +shape (Rectangle +uid 1496,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "56000,91000,77000,93000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 1497,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "56200,91500,72400,92500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 1498,0 +shape (Rectangle +uid 1499,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "77000,85000,83000,87000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 1500,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "77200,85500,82000,86500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 1501,0 +shape (Rectangle +uid 1502,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "56000,87000,77000,89000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 1503,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "56200,87500,72400,88500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 1504,0 +shape (Rectangle +uid 1505,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,87000,56000,89000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 1506,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,87500,54800,88500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 1507,0 +shape (Rectangle +uid 1508,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,89000,56000,91000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 1509,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,89500,54200,90500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 1510,0 +shape (Rectangle +uid 1511,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "77000,87000,102000,93000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 1512,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "77200,87200,90400,88200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*10 (CommentText +uid 1513,0 +shape (Rectangle +uid 1514,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "56000,89000,77000,91000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 1515,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "56200,89500,75400,90500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 1516,0 +shape (Rectangle +uid 1517,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,91000,56000,93000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 1518,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,91500,55400,92500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 1488,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "51000,85000,102000,93000" +) +oxt "13000,22000,64000,30000" +) +*12 (Blk +uid 1774,0 +shape (Rectangle +uid 1775,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-3000,67000,81000,75000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1776,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 1777,0 +va (VaSet +font "courier,12,1" +) +xt "-2400,74900,8200,76300" +st "memory_test" +blo "-2400,76100" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 1778,0 +va (VaSet +font "courier,12,1" +) +xt "-2400,76300,15800,77700" +st "sdramController_tester" +blo "-2400,77500" +tm "BlkNameMgr" +) +*15 (Text +uid 1779,0 +va (VaSet +font "courier,12,1" +) +xt "-2400,77700,0,79100" +st "I1" +blo "-2400,78900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1780,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1781,0 +text (MLText +uid 1782,0 +va (VaSet +font "courier,9,0" +) +xt "-3000,79800,20000,81600" +st "addressBitNb = addressBitNb ( positive ) +dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +) +*16 (Net +uid 5550,0 +decl (Decl +n "reset" +t "std_ulogic" +o 17 +suid 55,0 +) +declText (MLText +uid 5551,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "112000,-6200,129500,-5300" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 5558,0 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 56,0 +) +declText (MLText +uid 5559,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "112000,-6200,129500,-5300" +st "SIGNAL clock : std_ulogic" +) +) +*18 (Net +uid 5566,0 +decl (Decl +n "ramEn" +t "std_ulogic" +o 14 +suid 57,0 +) +declText (MLText +uid 5567,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "112000,-6200,129500,-5300" +st "SIGNAL ramEn : std_ulogic" +) +) +*19 (Net +uid 5574,0 +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 13 +suid 58,0 +) +declText (MLText +uid 5575,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "112000,-6200,129500,-5300" +st "SIGNAL ramDataValid : std_ulogic" +) +) +*20 (Net +uid 5582,0 +decl (Decl +n "ramWr" +t "std_ulogic" +o 16 +suid 59,0 +) +declText (MLText +uid 5583,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "112000,-6200,129500,-5300" +st "SIGNAL ramWr : std_ulogic" +) +) +*21 (Net +uid 5590,0 +decl (Decl +n "ramRd" +t "std_ulogic" +o 15 +suid 60,0 +) +declText (MLText +uid 5591,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "112000,-6200,129500,-5300" +st "SIGNAL ramRd : std_ulogic" +) +) +*22 (Net +uid 5598,0 +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 61,0 +) +declText (MLText +uid 5599,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "112000,-6200,144000,-5300" +st "SIGNAL ramDataOut : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*23 (Net +uid 5606,0 +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 62,0 +) +declText (MLText +uid 5607,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "112000,-6200,144000,-5300" +st "SIGNAL ramDataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*24 (Net +uid 5614,0 +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 10 +suid 63,0 +) +declText (MLText +uid 5615,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "112000,-6200,141000,-5300" +st "SIGNAL ramAddr : unsigned(addressBitNb-1 DOWNTO 0)" +) +) +*25 (Net +uid 5907,0 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "(rowAddressBitNb-1 DOWNTO 0)" +o 5 +suid 64,0 +) +declText (MLText +uid 5908,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,133000,1100" +st "SIGNAL memAddress : std_ulogic_vector(rowAddressBitNb-1 DOWNTO 0)" +) +) +*26 (Net +uid 5913,0 +decl (Decl +n "memBankAddress" +t "std_ulogic_vector" +b "(bankAddressBitNb-1 DOWNTO 0)" +o 6 +suid 65,0 +) +declText (MLText +uid 5914,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,133500,1100" +st "SIGNAL memBankAddress : std_ulogic_vector(bankAddressBitNb-1 DOWNTO 0)" +) +) +*27 (Net +uid 5919,0 +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 7 +suid 66,0 +) +declText (MLText +uid 5920,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,130000,1100" +st "SIGNAL memDataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*28 (Net +uid 5927,0 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 67,0 +) +declText (MLText +uid 5928,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,130000,1100" +st "SIGNAL memDataOut : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*29 (SaComponent +uid 5983,0 +optionalChildren [ +*30 (CptPort +uid 5943,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5944,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,33625,57000,34375" +) +tg (CPTG +uid 5945,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5946,0 +va (VaSet +) +xt "58000,33500,59900,34500" +st "addr" +blo "58000,34300" +) +) +thePort (LogicalPort +decl (Decl +n "addr" +t "std_ulogic_vector" +b "( addr_bits-1 DOWNTO 0 )" +o 9 +suid 22,0 +) +) +) +*31 (CptPort +uid 5947,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5948,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,35625,57000,36375" +) +tg (CPTG +uid 5949,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5950,0 +va (VaSet +) +xt "58000,35500,59300,36500" +st "Ba" +blo "58000,36300" +) +) +thePort (LogicalPort +decl (Decl +n "Ba" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 1 +suid 23,0 +i "\"00\"" +) +) +) +*32 (CptPort +uid 5951,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5952,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,41625,57000,42375" +) +tg (CPTG +uid 5953,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5954,0 +va (VaSet +) +xt "58000,41500,60600,42500" +st "Cas_n" +blo "58000,42300" +) +) +thePort (LogicalPort +decl (Decl +n "Cas_n" +t "std_ulogic" +o 2 +suid 24,0 +) +) +) +*33 (CptPort +uid 5955,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5956,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,47625,57000,48375" +) +tg (CPTG +uid 5957,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5958,0 +va (VaSet +) +xt "58000,47500,59700,48500" +st "Cke" +blo "58000,48300" +) +) +thePort (LogicalPort +decl (Decl +n "Cke" +t "std_ulogic" +o 3 +suid 25,0 +) +) +) +*34 (CptPort +uid 5959,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5960,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,49625,57000,50375" +) +tg (CPTG +uid 5961,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5962,0 +va (VaSet +) +xt "58000,49500,59500,50500" +st "Clk" +blo "58000,50300" +) +) +thePort (LogicalPort +decl (Decl +n "Clk" +t "std_ulogic" +o 4 +suid 26,0 +) +) +) +*35 (CptPort +uid 5963,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5964,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,37625,57000,38375" +) +tg (CPTG +uid 5965,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5966,0 +va (VaSet +) +xt "58000,37500,60200,38500" +st "Cs_n" +blo "58000,38300" +) +) +thePort (LogicalPort +decl (Decl +n "Cs_n" +t "std_ulogic" +o 5 +suid 27,0 +) +) +) +*36 (CptPort +uid 5967,0 +ps "OnEdgeStrategy" +shape (Diamond +uid 5968,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65000,33625,65750,34375" +) +tg (CPTG +uid 5969,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5970,0 +va (VaSet +) +xt "62500,33500,64000,34500" +st "Dq" +ju 2 +blo "64000,34300" +) +) +thePort (LogicalPort +m 2 +decl (Decl +n "Dq" +t "std_logic_vector" +b "( data_bits-1 DOWNTO 0 )" +o 10 +suid 28,0 +) +) +) +*37 (CptPort +uid 5971,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5972,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,45625,57000,46375" +) +tg (CPTG +uid 5973,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5974,0 +va (VaSet +) +xt "58000,45500,60100,46500" +st "Dqm" +blo "58000,46300" +) +) +thePort (LogicalPort +decl (Decl +n "Dqm" +t "std_ulogic_vector" +b "( 1 DOWNTO 0 )" +o 6 +suid 29,0 +i "\"00\"" +) +) +) +*38 (CptPort +uid 5975,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5976,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,39625,57000,40375" +) +tg (CPTG +uid 5977,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5978,0 +va (VaSet +) +xt "58000,39500,60600,40500" +st "Ras_n" +blo "58000,40300" +) +) +thePort (LogicalPort +decl (Decl +n "Ras_n" +t "std_ulogic" +o 7 +suid 31,0 +) +) +) +*39 (CptPort +uid 5979,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5980,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,43625,57000,44375" +) +tg (CPTG +uid 5981,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5982,0 +va (VaSet +) +xt "58000,43500,60400,44500" +st "WE_n" +blo "58000,44300" +) +) +thePort (LogicalPort +decl (Decl +n "WE_n" +t "std_ulogic" +o 8 +suid 32,0 +) +) +) +] +shape (Rectangle +uid 5984,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "57000,30000,65000,52000" +) +oxt "31000,7000,39000,29000" +ttg (MlTextGroup +uid 5985,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*40 (Text +uid 5986,0 +va (VaSet +font "courier,8,1" +) +xt "57500,52000,63000,53000" +st "memory_test" +blo "57500,52800" +tm "BdLibraryNameMgr" +) +*41 (Text +uid 5987,0 +va (VaSet +font "courier,8,1" +) +xt "57500,53000,66500,54000" +st "sdram_mt48lc16m16a2" +blo "57500,53800" +tm "CptNameMgr" +) +*42 (Text +uid 5988,0 +va (VaSet +font "courier,8,1" +) +xt "57500,54000,58500,55000" +st "I2" +blo "57500,54800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 5989,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 5990,0 +text (MLText +uid 5991,0 +va (VaSet +font "courier,8,0" +) +xt "66000,40400,99000,64700" +st "addr_bits = rowAddressBitNb ( integer ) +data_bits = dataBitNb ( integer ) +col_bits = colAddressBitNb ( integer ) +index = 0 ( integer ) +fname = \"U:\\ELN_board\\Simulation\\sdram.srec\" ( string ) +tAC = 6 ns ( time ) +tHZ = 7 ns ( time ) +tOH = 2.7 ns ( time ) +tMRD = 2 ( integer ) +tRAS = 44 ns ( time ) +tRC = 66 ns ( time ) +tRCD = 20 ns ( time ) +tRP = 20 ns ( time ) +tRRD = 15 ns ( time ) +tWRa = 7.5 ns ( time ) +tWRp = 15 ns ( time ) +tAH = 0.8 ns ( time ) +tAS = 1.5 ns ( time ) +tCH = 2.5 ns ( time ) +tCL = 2.5 ns ( time ) +tCK = 10 ns ( time ) +tDH = 0.8 ns ( time ) +tDS = 1.5 ns ( time ) +tCKH = 0.8 ns ( time ) +tCKS = 1.5 ns ( time ) +tCMH = 0.8 ns ( time ) +tCMS = 1.5 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "addr_bits" +type "integer" +value "rowAddressBitNb" +) +(GiElement +name "data_bits" +type "integer" +value "dataBitNb" +) +(GiElement +name "col_bits" +type "integer" +value "colAddressBitNb" +) +(GiElement +name "index" +type "integer" +value "0" +) +(GiElement +name "fname" +type "string" +value "\"U:\\ELN_board\\Simulation\\sdram.srec\"" +) +(GiElement +name "tAC" +type "time" +value "6 ns" +) +(GiElement +name "tHZ" +type "time" +value "7 ns" +) +(GiElement +name "tOH" +type "time" +value "2.7 ns" +) +(GiElement +name "tMRD" +type "integer" +value "2" +) +(GiElement +name "tRAS" +type "time" +value "44 ns" +) +(GiElement +name "tRC" +type "time" +value "66 ns" +) +(GiElement +name "tRCD" +type "time" +value "20 ns" +) +(GiElement +name "tRP" +type "time" +value "20 ns" +) +(GiElement +name "tRRD" +type "time" +value "15 ns" +) +(GiElement +name "tWRa" +type "time" +value "7.5 ns" +) +(GiElement +name "tWRp" +type "time" +value "15 ns" +) +(GiElement +name "tAH" +type "time" +value "0.8 ns" +) +(GiElement +name "tAS" +type "time" +value "1.5 ns" +) +(GiElement +name "tCH" +type "time" +value "2.5 ns" +) +(GiElement +name "tCL" +type "time" +value "2.5 ns" +) +(GiElement +name "tCK" +type "time" +value "10 ns" +) +(GiElement +name "tDH" +type "time" +value "0.8 ns" +) +(GiElement +name "tDS" +type "time" +value "1.5 ns" +) +(GiElement +name "tCKH" +type "time" +value "0.8 ns" +) +(GiElement +name "tCKS" +type "time" +value "1.5 ns" +) +(GiElement +name "tCMH" +type "time" +value "0.8 ns" +) +(GiElement +name "tCMS" +type "time" +value "1.5 ns" +) +] +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*43 (Net +uid 5992,0 +decl (Decl +n "sdClk" +t "std_ulogic" +o 20 +suid 68,0 +) +declText (MLText +uid 5993,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,115500,1100" +st "SIGNAL sdClk : std_ulogic" +) +) +*44 (Net +uid 5998,0 +decl (Decl +n "sdCke" +t "std_ulogic" +o 19 +suid 69,0 +) +declText (MLText +uid 5999,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,115500,1100" +st "SIGNAL sdCke : std_ulogic" +) +) +*45 (Net +uid 6004,0 +decl (Decl +n "sdDqm" +t "std_ulogic_vector" +b "( 1 DOWNTO 0 )" +o 22 +suid 70,0 +) +declText (MLText +uid 6005,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,126000,1100" +st "SIGNAL sdDqm : std_ulogic_vector( 1 DOWNTO 0 )" +) +) +*46 (Net +uid 6010,0 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 9 +suid 71,0 +) +declText (MLText +uid 6011,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,115500,1100" +st "SIGNAL memWr_n : std_ulogic" +) +) +*47 (Net +uid 6016,0 +decl (Decl +n "sdCas_n" +t "std_ulogic" +o 18 +suid 72,0 +) +declText (MLText +uid 6017,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,115500,1100" +st "SIGNAL sdCas_n : std_ulogic" +) +) +*48 (Net +uid 6022,0 +decl (Decl +n "sdRas_n" +t "std_ulogic" +o 23 +suid 73,0 +) +declText (MLText +uid 6023,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,115500,1100" +st "SIGNAL sdRas_n : std_ulogic" +) +) +*49 (Net +uid 6028,0 +decl (Decl +n "sdCs_n" +t "std_ulogic" +o 21 +suid 74,0 +) +declText (MLText +uid 6029,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,115500,1100" +st "SIGNAL sdCs_n : std_ulogic" +) +) +*50 (Net +uid 6048,0 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 75,0 +) +declText (MLText +uid 6049,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,129500,1100" +st "SIGNAL DQ : std_logic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*51 (HdlText +uid 6058,0 +optionalChildren [ +*52 (EmbeddedText +uid 6063,0 +commentText (CommentText +uid 6064,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 6065,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "73000,31000,89000,39000" +) +oxt "0,0,18000,5000" +text (MLText +uid 6066,0 +va (VaSet +font "courier,9,0" +) +xt "73200,31200,89200,35700" +st " +memDataIn <= std_ulogic_vector(DQ); +DQ <= std_logic_vector(memDataOut) when memWr_n = '0' + else (others => 'Z'); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 8000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 6059,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "73000,30000,89000,40000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 6060,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*53 (Text +uid 6061,0 +va (VaSet +) +xt "73400,40000,74600,41000" +st "eb1" +blo "73400,40800" +tm "HdlTextNameMgr" +) +*54 (Text +uid 6062,0 +va (VaSet +) +xt "73400,41000,73800,42000" +st "1" +blo "73400,41800" +tm "HdlTextNumberMgr" +) +] +) +) +*55 (HdlText +uid 6138,0 +optionalChildren [ +*56 (EmbeddedText +uid 6143,0 +commentText (CommentText +uid 6144,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 6145,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "128000,62000,170000,86000" +) +oxt "0,0,18000,5000" +text (MLText +uid 6146,0 +va (VaSet +font "courier,9,0" +) +xt "128200,62200,168200,79300" +st " +commandBus <= (sdCs_n, sdRas_n, sdCas_n, memWr_n, sdDqm(1), sdDqm(0)); + + ----------------------------------------------------------------------------- + -- debug information + commandDecode: process(commandBus) + begin + case commandBus is + when inhibit => commandString <= \"inhibit \"; + when nop => commandString <= \"nop \"; + when active => commandString <= \"active \"; + when read => commandString <= \"read \"; + when write => commandString <= \"write \"; + when burstTerminate => commandString <= \"burstTerminate \"; + when precharge => commandString <= \"precharge \"; + when autoRefresh => commandString <= \"autoRefresh \"; + when loadModeReg => commandString <= \"loadModeReg \"; + when others => commandString <= \"XXXXXXXXXXXXXXXX\"; + end case; + end process commandDecode; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 24000 +visibleWidth 42000 +) +) +) +] +shape (Rectangle +uid 6139,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "128000,61000,170000,87000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 6140,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +uid 6141,0 +va (VaSet +) +xt "128200,87000,129800,88000" +st "eb2" +blo "128200,87800" +tm "HdlTextNameMgr" +) +*58 (Text +uid 6142,0 +va (VaSet +) +xt "128200,88000,129000,89000" +st "2" +blo "128200,88800" +tm "HdlTextNumberMgr" +) +] +) +) +*59 (Net +uid 6195,0 +decl (Decl +n "commandBus" +t "commandBusType" +o 3 +suid 76,0 +) +declText (MLText +uid 6196,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,117500,1100" +st "SIGNAL commandBus : commandBusType" +) +) +*60 (Net +uid 6207,0 +decl (Decl +n "commandString" +t "string" +b "(1 TO 16)" +o 4 +suid 78,0 +) +declText (MLText +uid 6208,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,118000,1100" +st "SIGNAL commandString : string(1 TO 16)" +) +) +*61 (SaComponent +uid 6647,0 +optionalChildren [ +*62 (CptPort +uid 6563,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6564,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,53625,25000,54375" +) +tg (CPTG +uid 6565,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6566,0 +va (VaSet +) +xt "26000,53500,28100,54500" +st "clock" +blo "26000,54300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 20,0 +) +) +) +*63 (CptPort +uid 6567,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6568,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,39625,25000,40375" +) +tg (CPTG +uid 6569,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6570,0 +va (VaSet +) +xt "26000,39500,31400,40500" +st "ramDataValid" +blo "26000,40300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 14 +suid 21,0 +) +) +) +*64 (CptPort +uid 6571,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6572,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,29625,25000,30375" +) +tg (CPTG +uid 6573,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6574,0 +va (VaSet +) +xt "26000,29500,29300,30500" +st "ramAddr" +blo "26000,30300" +) +) +thePort (LogicalPort +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 3 +suid 22,0 +) +) +) +*65 (CptPort +uid 6575,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6576,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,29625,41750,30375" +) +tg (CPTG +uid 6577,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6578,0 +va (VaSet +) +xt "34800,29500,40000,30500" +st "memAddress" +ju 2 +blo "40000,30300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "( chipAddressBitNb-1 DOWNTO 0 )" +o 9 +suid 23,0 +) +) +) +*66 (CptPort +uid 6579,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6580,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,33625,25000,34375" +) +tg (CPTG +uid 6581,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6582,0 +va (VaSet +) +xt "26000,33500,30900,34500" +st "ramDataOut" +blo "26000,34300" +) +) +thePort (LogicalPort +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 24,0 +) +) +) +*67 (CptPort +uid 6583,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6584,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,33625,41750,34375" +) +tg (CPTG +uid 6585,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6586,0 +va (VaSet +) +xt "35800,33500,40000,34500" +st "memDataIn" +ju 2 +blo "40000,34300" +) +) +thePort (LogicalPort +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 25,0 +) +) +) +*68 (CptPort +uid 6587,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6588,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,35625,41750,36375" +) +tg (CPTG +uid 6589,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6590,0 +va (VaSet +) +xt "34800,35500,40000,36500" +st "memDataOut" +ju 2 +blo "40000,36300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 26,0 +) +) +) +*69 (CptPort +uid 6591,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6592,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,43625,41750,44375" +) +tg (CPTG +uid 6593,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6594,0 +va (VaSet +) +xt "36200,43500,40000,44500" +st "memWr_n" +ju 2 +blo "40000,44300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 12 +suid 27,0 +) +) +) +*70 (CptPort +uid 6595,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6596,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,51625,25000,52375" +) +tg (CPTG +uid 6597,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6598,0 +va (VaSet +) +xt "26000,51500,28600,52500" +st "ramEn" +blo "26000,52300" +) +) +thePort (LogicalPort +decl (Decl +n "ramEn" +t "std_ulogic" +o 5 +suid 28,0 +) +) +) +*71 (CptPort +uid 6599,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6600,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,35625,25000,36375" +) +tg (CPTG +uid 6601,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6602,0 +va (VaSet +) +xt "26000,35500,28700,36500" +st "ramRd" +blo "26000,36300" +) +) +thePort (LogicalPort +decl (Decl +n "ramRd" +t "std_ulogic" +o 6 +suid 29,0 +) +) +) +*72 (CptPort +uid 6603,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6604,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,37625,25000,38375" +) +tg (CPTG +uid 6605,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6606,0 +va (VaSet +) +xt "26000,37500,28700,38500" +st "ramWr" +blo "26000,38300" +) +) +thePort (LogicalPort +decl (Decl +n "ramWr" +t "std_ulogic" +o 7 +suid 30,0 +) +) +) +*73 (CptPort +uid 6607,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6608,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,55625,25000,56375" +) +tg (CPTG +uid 6609,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6610,0 +va (VaSet +) +xt "26000,55500,28100,56500" +st "reset" +blo "26000,56300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 31,0 +) +) +) +*74 (CptPort +uid 6611,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6612,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,41625,41750,42375" +) +tg (CPTG +uid 6613,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6614,0 +va (VaSet +) +xt "36600,41500,40000,42500" +st "sdCas_n" +ju 2 +blo "40000,42300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdCas_n" +t "std_ulogic" +o 15 +suid 32,0 +) +) +) +*75 (CptPort +uid 6615,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6616,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,47625,41750,48375" +) +tg (CPTG +uid 6617,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6618,0 +va (VaSet +) +xt "37500,47500,40000,48500" +st "sdCke" +ju 2 +blo "40000,48300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdCke" +t "std_ulogic" +o 16 +suid 33,0 +) +) +) +*76 (CptPort +uid 6619,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6620,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,49625,41750,50375" +) +tg (CPTG +uid 6621,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6622,0 +va (VaSet +) +xt "37700,49500,40000,50500" +st "sdClk" +ju 2 +blo "40000,50300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdClk" +t "std_ulogic" +o 17 +suid 34,0 +) +) +) +*77 (CptPort +uid 6623,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6624,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,37625,41750,38375" +) +tg (CPTG +uid 6625,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6626,0 +va (VaSet +) +xt "37000,37500,40000,38500" +st "sdCs_n" +ju 2 +blo "40000,38300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdCs_n" +t "std_ulogic" +o 18 +suid 35,0 +) +) +) +*78 (CptPort +uid 6627,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6628,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,45625,41750,46375" +) +tg (CPTG +uid 6629,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6630,0 +va (VaSet +) +xt "37100,45500,40000,46500" +st "sdDqm" +ju 2 +blo "40000,46300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdDqm" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 19 +suid 36,0 +) +) +) +*79 (CptPort +uid 6631,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6632,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,39625,41750,40375" +) +tg (CPTG +uid 6633,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6634,0 +va (VaSet +) +xt "36600,39500,40000,40500" +st "sdRas_n" +ju 2 +blo "40000,40300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdRas_n" +t "std_ulogic" +o 20 +suid 38,0 +) +) +) +*80 (CptPort +uid 6635,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6636,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,31625,25000,32375" +) +tg (CPTG +uid 6637,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6638,0 +va (VaSet +) +xt "26000,31500,29900,32500" +st "ramDataIn" +blo "26000,32300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 13 +suid 42,0 +) +) +) +*81 (CptPort +uid 6639,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6640,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,31625,41750,32375" +) +tg (CPTG +uid 6641,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6642,0 +va (VaSet +) +xt "33200,31500,40000,32500" +st "memBankAddress" +ju 2 +blo "40000,32300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memBankAddress" +t "std_ulogic_vector" +b "( chipBankAddressBitNb-1 DOWNTO 0 )" +o 10 +suid 43,0 +) +) +) +*82 (CptPort +uid 6643,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6644,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,53625,41750,54375" +) +tg (CPTG +uid 6645,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6646,0 +va (VaSet +) +xt "34500,53500,40000,54500" +st "selectRefresh" +ju 2 +blo "40000,54300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 21 +suid 44,0 +) +) +) +] +shape (Rectangle +uid 6648,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "25000,26000,41000,58000" +) +oxt "42000,2000,58000,34000" +ttg (MlTextGroup +uid 6649,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +uid 6650,0 +va (VaSet +font "courier,8,1" +) +xt "25550,58500,28850,59500" +st "memory" +blo "25550,59300" +tm "BdLibraryNameMgr" +) +*84 (Text +uid 6651,0 +va (VaSet +font "courier,8,1" +) +xt "25550,59500,32450,60500" +st "sdramController" +blo "25550,60300" +tm "CptNameMgr" +) +*85 (Text +uid 6652,0 +va (VaSet +font "courier,8,1" +) +xt "25550,60500,26550,61500" +st "I0" +blo "25550,61300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 6653,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 6654,0 +text (MLText +uid 6655,0 +va (VaSet +font "courier,8,0" +) +xt "25000,62200,54000,65800" +st "addressBitNb = addressBitNb ( positive ) +dataBitNb = dataBitNb ( positive ) +chipAddressBitNb = rowAddressBitNb ( positive ) +chipBankAddressBitNb = bankAddressBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "chipAddressBitNb" +type "positive" +value "rowAddressBitNb" +) +(GiElement +name "chipBankAddressBitNb" +type "positive" +value "bankAddressBitNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*86 (Net +uid 6656,0 +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 24 +suid 79,0 +) +declText (MLText +uid 6657,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,17500,900" +st "SIGNAL selectRefresh : std_ulogic" +) +) +*87 (Wire +uid 5552,0 +shape (OrthoPolyLine +uid 5553,0 +va (VaSet +vasetType 3 +) +xt "23000,56000,24250,67000" +pts [ +"24250,56000" +"23000,56000" +"23000,67000" +] +) +start &73 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5556,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5557,0 +va (VaSet +font "courier,12,0" +) +xt "19250,54600,22750,55900" +st "reset" +blo "19250,55600" +tm "WireNameMgr" +) +) +on &16 +) +*88 (Wire +uid 5560,0 +shape (OrthoPolyLine +uid 5561,0 +va (VaSet +vasetType 3 +) +xt "21000,54000,24250,67000" +pts [ +"24250,54000" +"21000,54000" +"21000,67000" +] +) +start &62 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5564,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5565,0 +va (VaSet +font "courier,12,0" +) +xt "19250,52600,23050,54000" +st "clock" +blo "19250,53800" +tm "WireNameMgr" +) +) +on &17 +) +*89 (Wire +uid 5568,0 +shape (OrthoPolyLine +uid 5569,0 +va (VaSet +vasetType 3 +) +xt "19000,52000,24250,67000" +pts [ +"24250,52000" +"19000,52000" +"19000,67000" +] +) +start &70 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5572,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5573,0 +va (VaSet +font "courier,12,0" +) +xt "18250,50600,21750,51900" +st "ramEn" +blo "18250,51600" +tm "WireNameMgr" +) +) +on &18 +) +*90 (Wire +uid 5576,0 +shape (OrthoPolyLine +uid 5577,0 +va (VaSet +vasetType 3 +) +xt "15000,40000,24250,67000" +pts [ +"24250,40000" +"15000,40000" +"15000,67000" +] +) +start &63 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5580,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5581,0 +va (VaSet +font "courier,12,0" +) +xt "13250,38600,22350,39900" +st "ramDataValid" +blo "13250,39600" +tm "WireNameMgr" +) +) +on &19 +) +*91 (Wire +uid 5584,0 +shape (OrthoPolyLine +uid 5585,0 +va (VaSet +vasetType 3 +) +xt "13000,38000,24250,67000" +pts [ +"24250,38000" +"13000,38000" +"13000,67000" +] +) +start &72 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5588,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5589,0 +va (VaSet +font "courier,12,0" +) +xt "18250,36600,21750,37900" +st "ramWr" +blo "18250,37600" +tm "WireNameMgr" +) +) +on &20 +) +*92 (Wire +uid 5592,0 +shape (OrthoPolyLine +uid 5593,0 +va (VaSet +vasetType 3 +) +xt "11000,36000,24250,67000" +pts [ +"24250,36000" +"11000,36000" +"11000,67000" +] +) +start &71 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5596,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5597,0 +va (VaSet +font "courier,12,0" +) +xt "18250,34600,21750,35900" +st "ramRd" +blo "18250,35600" +tm "WireNameMgr" +) +) +on &21 +) +*93 (Wire +uid 5600,0 +shape (OrthoPolyLine +uid 5601,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "9000,34000,24250,67000" +pts [ +"24250,34000" +"9000,34000" +"9000,67000" +] +) +start &66 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5604,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5605,0 +va (VaSet +font "courier,12,0" +) +xt "14250,32600,21950,33900" +st "ramDataOut" +blo "14250,33600" +tm "WireNameMgr" +) +) +on &22 +) +*94 (Wire +uid 5608,0 +shape (OrthoPolyLine +uid 5609,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "7000,32000,24250,67000" +pts [ +"24250,32000" +"7000,32000" +"7000,67000" +] +) +start &80 +end &12 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5612,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5613,0 +va (VaSet +font "courier,12,0" +) +xt "15250,30600,21550,31900" +st "ramDataIn" +blo "15250,31600" +tm "WireNameMgr" +) +) +on &23 +) +*95 (Wire +uid 5616,0 +shape (OrthoPolyLine +uid 5617,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "5000,30000,24250,67000" +pts [ +"24250,30000" +"5000,30000" +"5000,67000" +] +) +start &64 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5620,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5621,0 +va (VaSet +font "courier,12,0" +) +xt "17250,28600,22150,29900" +st "ramAddr" +blo "17250,29600" +tm "WireNameMgr" +) +) +on &24 +) +*96 (Wire +uid 5909,0 +shape (OrthoPolyLine +uid 5910,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "41750,30000,56250,34000" +pts [ +"41750,30000" +"54000,30000" +"54000,34000" +"56250,34000" +] +) +start &65 +end &30 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5911,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5912,0 +va (VaSet +font "courier,12,0" +) +xt "43750,28600,51450,29900" +st "memAddress" +blo "43750,29600" +tm "WireNameMgr" +) +) +on &25 +) +*97 (Wire +uid 5915,0 +shape (OrthoPolyLine +uid 5916,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "41750,32000,56250,36000" +pts [ +"41750,32000" +"53000,32000" +"53000,36000" +"56250,36000" +] +) +start &81 +end &31 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5917,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5918,0 +va (VaSet +font "courier,12,0" +) +xt "43000,30600,53500,31900" +st "memBankAddress" +blo "43000,31600" +tm "WireNameMgr" +) +) +on &26 +) +*98 (Wire +uid 5921,0 +shape (OrthoPolyLine +uid 5922,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "41750,34000,45000,34000" +pts [ +"41750,34000" +"45000,34000" +] +) +start &67 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5925,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5926,0 +va (VaSet +font "courier,12,0" +) +xt "43750,32600,50050,33900" +st "memDataIn" +blo "43750,33600" +tm "WireNameMgr" +) +) +on &27 +) +*99 (Wire +uid 5929,0 +shape (OrthoPolyLine +uid 5930,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "41750,36000,45000,36000" +pts [ +"41750,36000" +"45000,36000" +] +) +start &68 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5933,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5934,0 +va (VaSet +font "courier,12,0" +) +xt "43750,34600,51450,35900" +st "memDataOut" +blo "43750,35600" +tm "WireNameMgr" +) +) +on &28 +) +*100 (Wire +uid 5994,0 +shape (OrthoPolyLine +uid 5995,0 +va (VaSet +vasetType 3 +) +xt "41750,50000,56250,50000" +pts [ +"41750,50000" +"56250,50000" +] +) +start &76 +end &34 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 5996,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5997,0 +va (VaSet +font "courier,12,0" +) +xt "43750,48600,47250,49900" +st "sdClk" +blo "43750,49600" +tm "WireNameMgr" +) +) +on &43 +) +*101 (Wire +uid 6000,0 +shape (OrthoPolyLine +uid 6001,0 +va (VaSet +vasetType 3 +) +xt "41750,48000,56250,48000" +pts [ +"41750,48000" +"56250,48000" +] +) +start &75 +end &33 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 6002,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6003,0 +va (VaSet +font "courier,12,0" +) +xt "43750,46600,47250,47900" +st "sdCke" +blo "43750,47600" +tm "WireNameMgr" +) +) +on &44 +) +*102 (Wire +uid 6006,0 +shape (OrthoPolyLine +uid 6007,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "41750,46000,56250,46000" +pts [ +"41750,46000" +"56250,46000" +] +) +start &78 +end &37 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6008,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6009,0 +va (VaSet +font "courier,12,0" +) +xt "43750,44600,47250,45900" +st "sdDqm" +blo "43750,45600" +tm "WireNameMgr" +) +) +on &45 +) +*103 (Wire +uid 6012,0 +shape (OrthoPolyLine +uid 6013,0 +va (VaSet +vasetType 3 +) +xt "41750,44000,56250,44000" +pts [ +"41750,44000" +"56250,44000" +] +) +start &69 +end &39 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 6014,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6015,0 +va (VaSet +font "courier,12,0" +) +xt "43750,42600,48650,43900" +st "memWr_n" +blo "43750,43600" +tm "WireNameMgr" +) +) +on &46 +) +*104 (Wire +uid 6018,0 +shape (OrthoPolyLine +uid 6019,0 +va (VaSet +vasetType 3 +) +xt "41750,42000,56250,42000" +pts [ +"41750,42000" +"56250,42000" +] +) +start &74 +end &32 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 6020,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6021,0 +va (VaSet +font "courier,12,0" +) +xt "43750,40600,50050,42000" +st "sdCas_n" +blo "43750,41800" +tm "WireNameMgr" +) +) +on &47 +) +*105 (Wire +uid 6024,0 +shape (OrthoPolyLine +uid 6025,0 +va (VaSet +vasetType 3 +) +xt "41750,40000,56250,40000" +pts [ +"41750,40000" +"56250,40000" +] +) +start &79 +end &38 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 6026,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6027,0 +va (VaSet +font "courier,12,0" +) +xt "43750,38600,48650,39900" +st "sdRas_n" +blo "43750,39600" +tm "WireNameMgr" +) +) +on &48 +) +*106 (Wire +uid 6030,0 +shape (OrthoPolyLine +uid 6031,0 +va (VaSet +vasetType 3 +) +xt "41750,38000,56250,38000" +pts [ +"41750,38000" +"56250,38000" +] +) +start &77 +end &35 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 6032,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6033,0 +va (VaSet +font "courier,12,0" +) +xt "43750,36600,47950,37900" +st "sdCs_n" +blo "43750,37600" +tm "WireNameMgr" +) +) +on &49 +) +*107 (Wire +uid 6050,0 +shape (OrthoPolyLine +uid 6051,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "65750,34000,73000,34000" +pts [ +"65750,34000" +"73000,34000" +] +) +start &36 +end &51 +sat 32 +eat 4 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6054,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6055,0 +va (VaSet +font "courier,12,0" +) +xt "67750,32600,69150,33900" +st "DQ" +blo "67750,33600" +tm "WireNameMgr" +) +) +on &50 +) +*108 (Wire +uid 6114,0 +shape (OrthoPolyLine +uid 6115,0 +va (VaSet +vasetType 3 +) +xt "69000,36000,73000,36000" +pts [ +"69000,36000" +"73000,36000" +] +) +end &51 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6120,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6121,0 +va (VaSet +font "courier,12,0" +) +xt "66000,34600,70900,35900" +st "memWr_n" +blo "66000,35600" +tm "WireNameMgr" +) +) +on &46 +) +*109 (Wire +uid 6122,0 +shape (OrthoPolyLine +uid 6123,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "89000,34000,93000,34000" +pts [ +"89000,34000" +"93000,34000" +] +) +start &51 +sat 2 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6128,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6129,0 +va (VaSet +font "courier,12,0" +) +xt "91750,32600,98050,33900" +st "memDataIn" +blo "91750,33600" +tm "WireNameMgr" +) +) +on &27 +) +*110 (Wire +uid 6130,0 +shape (OrthoPolyLine +uid 6131,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "89000,36000,93000,36000" +pts [ +"89000,36000" +"93000,36000" +] +) +start &51 +sat 1 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6136,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6137,0 +va (VaSet +font "courier,12,0" +) +xt "91750,34600,99450,35900" +st "memDataOut" +blo "91750,35600" +tm "WireNameMgr" +) +) +on &28 +) +*111 (Wire +uid 6147,0 +shape (OrthoPolyLine +uid 6148,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "112000,72000,128000,72000" +pts [ +"112000,72000" +"128000,72000" +] +) +end &55 +sat 16 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6153,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6154,0 +va (VaSet +font "courier,12,0" +) +xt "113750,70600,117250,71900" +st "sdDqm" +blo "113750,71600" +tm "WireNameMgr" +) +) +on &45 +) +*112 (Wire +uid 6155,0 +shape (OrthoPolyLine +uid 6156,0 +va (VaSet +vasetType 3 +) +xt "112000,70000,128000,70000" +pts [ +"112000,70000" +"128000,70000" +] +) +end &55 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6161,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6162,0 +va (VaSet +font "courier,12,0" +) +xt "113750,68600,118650,69900" +st "memWr_n" +blo "113750,69600" +tm "WireNameMgr" +) +) +on &46 +) +*113 (Wire +uid 6163,0 +shape (OrthoPolyLine +uid 6164,0 +va (VaSet +vasetType 3 +) +xt "112000,68000,128000,68000" +pts [ +"112000,68000" +"128000,68000" +] +) +end &55 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6169,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6170,0 +va (VaSet +font "courier,12,0" +) +xt "113750,66600,120050,68000" +st "sdCas_n" +blo "113750,67800" +tm "WireNameMgr" +) +) +on &47 +) +*114 (Wire +uid 6171,0 +shape (OrthoPolyLine +uid 6172,0 +va (VaSet +vasetType 3 +) +xt "112000,66000,128000,66000" +pts [ +"112000,66000" +"128000,66000" +] +) +end &55 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6177,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6178,0 +va (VaSet +font "courier,12,0" +) +xt "113750,64600,118650,65900" +st "sdRas_n" +blo "113750,65600" +tm "WireNameMgr" +) +) +on &48 +) +*115 (Wire +uid 6179,0 +shape (OrthoPolyLine +uid 6180,0 +va (VaSet +vasetType 3 +) +xt "112000,64000,128000,64000" +pts [ +"112000,64000" +"128000,64000" +] +) +end &55 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6185,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6186,0 +va (VaSet +font "courier,12,0" +) +xt "113750,62600,117950,63900" +st "sdCs_n" +blo "113750,63600" +tm "WireNameMgr" +) +) +on &49 +) +*116 (Wire +uid 6187,0 +shape (OrthoPolyLine +uid 6188,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "112000,76000,128000,76000" +pts [ +"112000,76000" +"128000,76000" +] +) +end &55 +sat 16 +eat 4 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6193,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6194,0 +va (VaSet +font "courier,12,0" +) +xt "113750,74600,121450,75900" +st "commandBus" +blo "113750,75600" +tm "WireNameMgr" +) +) +on &59 +) +*117 (Wire +uid 6199,0 +shape (OrthoPolyLine +uid 6200,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "112000,78000,128000,78000" +pts [ +"112000,78000" +"128000,78000" +] +) +end &55 +sat 16 +eat 4 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6205,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6206,0 +va (VaSet +font "courier,12,0" +) +xt "114000,76600,123800,77900" +st "commandString" +blo "114000,77600" +tm "WireNameMgr" +) +) +on &60 +) +*118 (Wire +uid 6658,0 +shape (OrthoPolyLine +uid 6659,0 +va (VaSet +vasetType 3 +) +xt "41750,54000,45000,67000" +pts [ +"41750,54000" +"45000,54000" +"45000,67000" +] +) +start &82 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6662,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6663,0 +va (VaSet +font "courier,12,0" +) +xt "43750,52600,53850,54000" +st "selectRefresh" +blo "43750,53800" +tm "WireNameMgr" +) +) +on &86 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *119 (PackageList +uid 187,0 +stg "VerticalLayoutStrategy" +textVec [ +*120 (Text +uid 1297,0 +va (VaSet +font "courier,12,0" +) +xt "-7000,19600,2500,21000" +st "Package List" +blo "-7000,20800" +) +*121 (MLText +uid 1298,0 +va (VaSet +) +xt "-7000,21000,11600,24000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 190,0 +stg "VerticalLayoutStrategy" +textVec [ +*122 (Text +uid 191,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,0,31000,1200" +st "Compiler Directives" +blo "20000,1000" +) +*123 (Text +uid 192,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,1400,33000,2600" +st "Pre-module directives:" +blo "20000,2400" +) +*124 (MLText +uid 193,0 +va (VaSet +isHidden 1 +) +xt "20000,2800,32000,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*125 (Text +uid 194,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,5600,33500,6800" +st "Post-module directives:" +blo "20000,6600" +) +*126 (MLText +uid 195,0 +va (VaSet +isHidden 1 +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*127 (Text +uid 196,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,7200,33200,8400" +st "End-module directives:" +blo "20000,8200" +) +*128 (MLText +uid 197,0 +va (VaSet +isHidden 1 +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "7,31,1372,964" +viewArea "-6900,19000,103116,94936" +cachedDiagramExtent "-7000,-6200,170000,93000" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\SUN\\PREA309_HPLJ3005DN.PRINTERS.SYSTEM.SION.HEVs,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +windowsPaperType 9 +scale 67 +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,19000" +lastUid 6843,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "1000,1000,3300,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*129 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,2550,7900,3950" +st "" +blo "1500,3750" +tm "BdLibraryNameMgr" +) +*130 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,3950,7000,5350" +st "" +blo "1500,5150" +tm "BlkNameMgr" +) +*131 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,5350,3000,6750" +st "I0" +blo "1500,6550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "1500,12550,1500,12550" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*132 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*133 (Text +va (VaSet +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*134 (Text +va (VaSet +) +xt "-100,5000,500,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*135 (Text +va (VaSet +) +xt "-350,2550,1950,3550" +st "Library" +blo "-350,3350" +tm "BdLibraryNameMgr" +) +*136 (Text +va (VaSet +) +xt "-350,3550,5150,4550" +st "SaComponent" +blo "-350,4350" +tm "CptNameMgr" +) +*137 (Text +va (VaSet +) +xt "-350,4550,250,5550" +st "I0" +blo "-350,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7350,550,-7350,550" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1350,0,9350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*138 (Text +va (VaSet +) +xt "-850,2550,1450,3550" +st "Library" +blo "-850,3350" +) +*139 (Text +va (VaSet +) +xt "-850,3550,5250,4550" +st "VhdlComponent" +blo "-850,4350" +) +*140 (Text +va (VaSet +) +xt "-850,4550,-250,5550" +st "I0" +blo "-850,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7850,550,-7850,550" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-2100,0,10100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*141 (Text +va (VaSet +) +xt "-1600,2550,700,3550" +st "Library" +blo "-1600,3350" +) +*142 (Text +va (VaSet +) +xt "-1600,3550,5500,4550" +st "VerilogComponent" +blo "-1600,4350" +) +*143 (Text +va (VaSet +) +xt "-1600,4550,-1000,5550" +st "I0" +blo "-1600,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-8600,550,-8600,550" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*144 (Text +va (VaSet +) +xt "2950,3400,4150,4400" +st "eb1" +blo "2950,4200" +tm "HdlTextNameMgr" +) +*145 (Text +va (VaSet +) +xt "2950,4400,3350,5400" +st "1" +blo "2950,5200" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineStyle 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,5100,1400" +st "bundle0" +blo "0,1200" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,12,0" +) +xt "0,1400,1400,2700" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,12,0" +) +xt "0,0,6300,1300" +st "Auto list" +) +second (MLText +va (VaSet +font "courier,12,0" +) +xt "0,1400,12600,2700" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,17400,-400" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*146 (Text +va (VaSet +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*147 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,10800,-400" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*148 (Text +va (VaSet +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*149 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "105000,19600,113600,20800" +st "Declarations" +blo "105000,20600" +) +portLabel (Text +uid 3,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "105000,20800,109200,22000" +st "Ports:" +blo "105000,21800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "105000,20800,111000,22000" +st "Pre User:" +blo "105000,21800" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "107000,22000,160400,39000" +st "constant colAddressBitNb: positive := 9; +constant rowAddressBitNb: positive := 12; +constant bankAddressBitNb: positive := 2; +constant addressBitNb: positive := colAddressBitNb + rowAddressBitNb + bankAddressBitNb; +constant dataBitNb: positive := 16; + +subtype commandBusType is std_ulogic_vector(5 downto 0); +-- bits: 5 = cs, 4 = ras, 3 = cas, 2 = we, 1 = dqm(1), 0 = dqm(0) +constant inhibit : commandBusType := \"1-----\"; +constant nop : commandBusType := \"0111--\"; +constant active : commandBusType := \"0011--\"; +constant read : commandBusType := \"010100\"; +constant write : commandBusType := \"010000\"; +constant burstTerminate : commandBusType := \"0110--\"; +constant precharge : commandBusType := \"0010--\"; +constant autoRefresh : commandBusType := \"0001--\"; +constant loadModeReg : commandBusType := \"0000--\";" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "105000,20800,116000,22000" +st "Diagram Signals:" +blo "105000,21800" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "105000,20800,112300,22000" +st "Post User:" +blo "105000,21800" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "107000,35200,107000,35200" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 79,0 +usingSuid 1 +emptyRow *150 (LEmptyRow +) +uid 3310,0 +optionalChildren [ +*151 (RefLabelRowHdr +) +*152 (TitleRowHdr +) +*153 (FilterRowHdr +) +*154 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*155 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*156 (GroupColHdr +tm "GroupColHdrMgr" +) +*157 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*158 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*159 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*160 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*161 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*162 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*163 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 17 +suid 55,0 +) +) +uid 5622,0 +) +*164 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 56,0 +) +) +uid 5624,0 +) +*165 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ramEn" +t "std_ulogic" +o 14 +suid 57,0 +) +) +uid 5626,0 +) +*166 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 13 +suid 58,0 +) +) +uid 5628,0 +) +*167 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ramWr" +t "std_ulogic" +o 16 +suid 59,0 +) +) +uid 5630,0 +) +*168 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ramRd" +t "std_ulogic" +o 15 +suid 60,0 +) +) +uid 5632,0 +) +*169 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 61,0 +) +) +uid 5634,0 +) +*170 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 62,0 +) +) +uid 5636,0 +) +*171 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 10 +suid 63,0 +) +) +uid 5638,0 +) +*172 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "(rowAddressBitNb-1 DOWNTO 0)" +o 5 +suid 64,0 +) +) +uid 5935,0 +) +*173 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memBankAddress" +t "std_ulogic_vector" +b "(bankAddressBitNb-1 DOWNTO 0)" +o 6 +suid 65,0 +) +) +uid 5937,0 +) +*174 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 7 +suid 66,0 +) +) +uid 5939,0 +) +*175 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 67,0 +) +) +uid 5941,0 +) +*176 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sdClk" +t "std_ulogic" +o 20 +suid 68,0 +) +) +uid 6034,0 +) +*177 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sdCke" +t "std_ulogic" +o 19 +suid 69,0 +) +) +uid 6036,0 +) +*178 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sdDqm" +t "std_ulogic_vector" +b "( 1 DOWNTO 0 )" +o 22 +suid 70,0 +) +) +uid 6038,0 +) +*179 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 9 +suid 71,0 +) +) +uid 6040,0 +) +*180 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sdCas_n" +t "std_ulogic" +o 18 +suid 72,0 +) +) +uid 6042,0 +) +*181 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sdRas_n" +t "std_ulogic" +o 23 +suid 73,0 +) +) +uid 6044,0 +) +*182 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sdCs_n" +t "std_ulogic" +o 21 +suid 74,0 +) +) +uid 6046,0 +) +*183 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 75,0 +) +) +uid 6056,0 +) +*184 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "commandBus" +t "commandBusType" +o 3 +suid 76,0 +) +) +uid 6209,0 +) +*185 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "commandString" +t "string" +b "(1 TO 16)" +o 4 +suid 78,0 +) +) +uid 6211,0 +) +*186 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 24 +suid 79,0 +) +) +uid 6664,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 3323,0 +optionalChildren [ +*187 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *188 (MRCItem +litem &150 +pos 24 +dimension 20 +) +uid 3325,0 +optionalChildren [ +*189 (MRCItem +litem &151 +pos 0 +dimension 20 +uid 3326,0 +) +*190 (MRCItem +litem &152 +pos 1 +dimension 23 +uid 3327,0 +) +*191 (MRCItem +litem &153 +pos 2 +hidden 1 +dimension 20 +uid 3328,0 +) +*192 (MRCItem +litem &163 +pos 0 +dimension 20 +uid 5623,0 +) +*193 (MRCItem +litem &164 +pos 1 +dimension 20 +uid 5625,0 +) +*194 (MRCItem +litem &165 +pos 2 +dimension 20 +uid 5627,0 +) +*195 (MRCItem +litem &166 +pos 3 +dimension 20 +uid 5629,0 +) +*196 (MRCItem +litem &167 +pos 4 +dimension 20 +uid 5631,0 +) +*197 (MRCItem +litem &168 +pos 5 +dimension 20 +uid 5633,0 +) +*198 (MRCItem +litem &169 +pos 6 +dimension 20 +uid 5635,0 +) +*199 (MRCItem +litem &170 +pos 7 +dimension 20 +uid 5637,0 +) +*200 (MRCItem +litem &171 +pos 8 +dimension 20 +uid 5639,0 +) +*201 (MRCItem +litem &172 +pos 9 +dimension 20 +uid 5936,0 +) +*202 (MRCItem +litem &173 +pos 10 +dimension 20 +uid 5938,0 +) +*203 (MRCItem +litem &174 +pos 11 +dimension 20 +uid 5940,0 +) +*204 (MRCItem +litem &175 +pos 12 +dimension 20 +uid 5942,0 +) +*205 (MRCItem +litem &176 +pos 13 +dimension 20 +uid 6035,0 +) +*206 (MRCItem +litem &177 +pos 14 +dimension 20 +uid 6037,0 +) +*207 (MRCItem +litem &178 +pos 15 +dimension 20 +uid 6039,0 +) +*208 (MRCItem +litem &179 +pos 16 +dimension 20 +uid 6041,0 +) +*209 (MRCItem +litem &180 +pos 17 +dimension 20 +uid 6043,0 +) +*210 (MRCItem +litem &181 +pos 18 +dimension 20 +uid 6045,0 +) +*211 (MRCItem +litem &182 +pos 19 +dimension 20 +uid 6047,0 +) +*212 (MRCItem +litem &183 +pos 20 +dimension 20 +uid 6057,0 +) +*213 (MRCItem +litem &184 +pos 21 +dimension 20 +uid 6210,0 +) +*214 (MRCItem +litem &185 +pos 22 +dimension 20 +uid 6212,0 +) +*215 (MRCItem +litem &186 +pos 23 +dimension 20 +uid 6665,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 3329,0 +optionalChildren [ +*216 (MRCItem +litem &154 +pos 0 +dimension 20 +uid 3330,0 +) +*217 (MRCItem +litem &156 +pos 1 +dimension 50 +uid 3331,0 +) +*218 (MRCItem +litem &157 +pos 2 +dimension 100 +uid 3332,0 +) +*219 (MRCItem +litem &158 +pos 3 +dimension 50 +uid 3333,0 +) +*220 (MRCItem +litem &159 +pos 4 +dimension 100 +uid 3334,0 +) +*221 (MRCItem +litem &160 +pos 5 +dimension 100 +uid 3335,0 +) +*222 (MRCItem +litem &161 +pos 6 +dimension 50 +uid 3336,0 +) +*223 (MRCItem +litem &162 +pos 7 +dimension 80 +uid 3337,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 3324,0 +vaOverrides [ +] +) +] +) +uid 3309,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *224 (LEmptyRow +) +uid 3339,0 +optionalChildren [ +*225 (RefLabelRowHdr +) +*226 (TitleRowHdr +) +*227 (FilterRowHdr +) +*228 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*229 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*230 (GroupColHdr +tm "GroupColHdrMgr" +) +*231 (NameColHdr +tm "GenericNameColHdrMgr" +) +*232 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*233 (InitColHdr +tm "GenericValueColHdrMgr" +) +*234 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*235 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 3351,0 +optionalChildren [ +*236 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *237 (MRCItem +litem &224 +pos 0 +dimension 20 +) +uid 3353,0 +optionalChildren [ +*238 (MRCItem +litem &225 +pos 0 +dimension 20 +uid 3354,0 +) +*239 (MRCItem +litem &226 +pos 1 +dimension 23 +uid 3355,0 +) +*240 (MRCItem +litem &227 +pos 2 +hidden 1 +dimension 20 +uid 3356,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 3357,0 +optionalChildren [ +*241 (MRCItem +litem &228 +pos 0 +dimension 20 +uid 3358,0 +) +*242 (MRCItem +litem &230 +pos 1 +dimension 50 +uid 3359,0 +) +*243 (MRCItem +litem &231 +pos 2 +dimension 100 +uid 3360,0 +) +*244 (MRCItem +litem &232 +pos 3 +dimension 100 +uid 3361,0 +) +*245 (MRCItem +litem &233 +pos 4 +dimension 50 +uid 3362,0 +) +*246 (MRCItem +litem &234 +pos 5 +dimension 50 +uid 3363,0 +) +*247 (MRCItem +litem &235 +pos 6 +dimension 80 +uid 3364,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 3352,0 +vaOverrides [ +] +) +] +) +uid 3338,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Memory_test/hds/sdram@controller_tb/symbol.sb b/Libs/Memory_test/hds/sdram@controller_tb/symbol.sb new file mode 100644 index 0000000..cb1c853 --- /dev/null +++ b/Libs/Memory_test/hds/sdram@controller_tb/symbol.sb @@ -0,0 +1,1256 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 107,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 55,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 58,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 60,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 62,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 56,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 64,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 68,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 70,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 74,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 76,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 78,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 54,0 +vaOverrides [ +] +) +] +) +uid 106,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 111,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 82,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 85,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 87,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 89,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 83,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 91,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 95,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 97,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 99,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 101,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 103,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 105,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdramController_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "sdramController_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:34" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\SysLo\\Master\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramController_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdramController_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:34" +) +(vvPair +variable "unit" +value "sdramController_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29000,13000,43000,27000" +) +oxt "15000,6000,20000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "29950,21900,37150,22900" +st "Memory_test" +blo "29950,22700" +) +second (Text +uid 12,0 +va (VaSet +) +xt "29950,22900,41350,23900" +st "sdramController_tb" +blo "29950,23700" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,27600,42500,28500" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sT 1 +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41500,69800,42500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 23,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "29750,41350,40250,42650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47500,41000,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41500,53000,42500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43500,43400,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43500,25800,44500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 38,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45500,25200,46500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,61400,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45500,46400,46500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47500,26400,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 52,0 +va (VaSet +font "courier,12,1" +) +xt "0,0,8800,1500" +st "Package List" +blo "0,1200" +) +*66 (MLText +uid 53,0 +va (VaSet +font "courier,12,0" +) +xt "0,1500,0,1500" +tm "PackageList" +) +] +) +windowSize "102,76,952,712" +viewArea "-8021,-1136,81281,50396" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,6000,20000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "15950,14900,19050,15900" +st "" +blo "15950,15700" +) +second (Text +va (VaSet +) +xt "15950,15900,18150,16900" +st "" +blo "15950,16700" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,3400,7400,4600" +st "Declarations" +blo "0,4400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "0,4600,3500,5800" +st "Ports:" +blo "0,5600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "0,5800,3000,6800" +st "User:" +blo "0,6600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,3400,7800,4600" +st "Internal User:" +blo "0,4400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,6800,2000,6800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,3400,0,3400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 134,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/Libs/Memory_test/hds/sdram@controller_tester/interface b/Libs/Memory_test/hds/sdram@controller_tester/interface new file mode 100644 index 0000000..17c0ae7 --- /dev/null +++ b/Libs/Memory_test/hds/sdram@controller_tester/interface @@ -0,0 +1,1971 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 57,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 116,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 48,0 +) +) +uid 652,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 10 +suid 49,0 +) +) +uid 654,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 50,0 +) +) +uid 656,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 51,0 +) +) +uid 658,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 13 +suid 52,0 +) +) +uid 660,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ramEn" +t "std_ulogic" +o 14 +suid 53,0 +) +) +uid 662,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ramRd" +t "std_ulogic" +o 15 +suid 54,0 +) +) +uid 664,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ramWr" +t "std_ulogic" +o 16 +suid 55,0 +) +) +uid 666,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 17 +suid 56,0 +) +) +uid 668,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 24 +suid 57,0 +) +) +uid 670,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 129,0 +optionalChildren [ +*24 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *25 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 131,0 +optionalChildren [ +*26 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 132,0 +) +*27 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 133,0 +) +*28 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +*29 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 653,0 +) +*30 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 655,0 +) +*31 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 657,0 +) +*32 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 659,0 +) +*33 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 661,0 +) +*34 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 663,0 +) +*35 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 665,0 +) +*36 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 667,0 +) +*37 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 669,0 +) +*38 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 671,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*39 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 136,0 +) +*40 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 137,0 +) +*41 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 138,0 +) +*42 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 139,0 +) +*43 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 140,0 +) +*44 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 141,0 +) +*45 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 142,0 +) +*46 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 143,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 115,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *47 (LEmptyRow +) +uid 145,0 +optionalChildren [ +*48 (RefLabelRowHdr +) +*49 (TitleRowHdr +) +*50 (FilterRowHdr +) +*51 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*52 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*53 (GroupColHdr +tm "GroupColHdrMgr" +) +*54 (NameColHdr +tm "GenericNameColHdrMgr" +) +*55 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*56 (InitColHdr +tm "GenericValueColHdrMgr" +) +*57 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*58 (EolColHdr +tm "GenericEolColHdrMgr" +) +*59 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "24" +) +uid 194,0 +) +*60 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "16" +) +uid 196,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 157,0 +optionalChildren [ +*61 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *62 (MRCItem +litem &47 +pos 3 +dimension 20 +) +uid 159,0 +optionalChildren [ +*63 (MRCItem +litem &48 +pos 0 +dimension 20 +uid 160,0 +) +*64 (MRCItem +litem &49 +pos 1 +dimension 23 +uid 161,0 +) +*65 (MRCItem +litem &50 +pos 2 +hidden 1 +dimension 20 +uid 162,0 +) +*66 (MRCItem +litem &59 +pos 0 +dimension 20 +uid 195,0 +) +*67 (MRCItem +litem &60 +pos 1 +dimension 20 +uid 197,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 163,0 +optionalChildren [ +*68 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 164,0 +) +*69 (MRCItem +litem &53 +pos 1 +dimension 50 +uid 165,0 +) +*70 (MRCItem +litem &54 +pos 2 +dimension 100 +uid 166,0 +) +*71 (MRCItem +litem &55 +pos 3 +dimension 100 +uid 167,0 +) +*72 (MRCItem +litem &56 +pos 4 +dimension 50 +uid 168,0 +) +*73 (MRCItem +litem &57 +pos 5 +dimension 50 +uid 169,0 +) +*74 (MRCItem +litem &58 +pos 6 +dimension 80 +uid 170,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 158,0 +vaOverrides [ +] +) +] +) +uid 144,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tester/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tester/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tester" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdramController_tester" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "sdramController_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:34" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "memory_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramController_tester" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tester/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdramController_tester/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:45:34" +) +(vvPair +variable "unit" +value "sdramController_tester" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 114,0 +optionalChildren [ +*75 (SymbolBody +uid 8,0 +optionalChildren [ +*76 (CptPort +uid 602,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 603,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38625,5250,39375,6000" +) +tg (CPTG +uid 604,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 605,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "38550,7000,39450,9500" +st "clock" +ju 2 +blo "39250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 606,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,62500,5600" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 48,0 +) +) +) +*77 (CptPort +uid 607,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 608,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 609,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 610,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "22550,7000,23450,10500" +st "ramAddr" +ju 2 +blo "23250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 611,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5600,74000,6500" +st "ramAddr : OUT unsigned (addressBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 10 +suid 49,0 +) +) +) +*78 (CptPort +uid 612,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 613,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 614,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 615,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "24550,7000,25450,11500" +st "ramDataIn" +ju 2 +blo "25250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 616,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,77000,2900" +st "ramDataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 50,0 +) +) +) +*79 (CptPort +uid 617,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 618,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 619,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 620,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "26550,7000,27450,12500" +st "ramDataOut" +ju 2 +blo "27250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 621,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,77000,7400" +st "ramDataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 51,0 +) +) +) +*80 (CptPort +uid 622,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 623,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32625,5250,33375,6000" +) +tg (CPTG +uid 624,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 625,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "32550,7000,33450,13500" +st "ramDataValid" +ju 2 +blo "33250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 626,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,62500,3800" +st "ramDataValid : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 13 +suid 52,0 +) +) +) +*81 (CptPort +uid 627,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 628,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36625,5250,37375,6000" +) +tg (CPTG +uid 629,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 630,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "36550,7000,37450,9500" +st "ramEn" +ju 2 +blo "37250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 631,0 +va (VaSet +font "courier,8,0" +) +xt "44000,7400,62500,8300" +st "ramEn : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramEn" +t "std_ulogic" +o 14 +suid 53,0 +) +) +) +*82 (CptPort +uid 632,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 633,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 634,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 635,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "28550,7000,29450,9500" +st "ramRd" +ju 2 +blo "29250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 636,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8300,62500,9200" +st "ramRd : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramRd" +t "std_ulogic" +o 15 +suid 54,0 +) +) +) +*83 (CptPort +uid 637,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 638,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 639,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 640,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "30550,7000,31450,9500" +st "ramWr" +ju 2 +blo "31250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 641,0 +va (VaSet +font "courier,8,0" +) +xt "44000,9200,62500,10100" +st "ramWr : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramWr" +t "std_ulogic" +o 16 +suid 55,0 +) +) +) +*84 (CptPort +uid 642,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 643,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40625,5250,41375,6000" +) +tg (CPTG +uid 644,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 645,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "40550,7000,41450,9500" +st "reset" +ju 2 +blo "41250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 646,0 +va (VaSet +font "courier,8,0" +) +xt "44000,10100,61500,11000" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 17 +suid 56,0 +) +) +) +*85 (CptPort +uid 647,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 648,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62625,5250,63375,6000" +) +tg (CPTG +uid 649,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 650,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "62550,7000,63450,14000" +st "selectRefresh" +ju 2 +blo "63250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 651,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,62500,4700" +st "selectRefresh : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 24 +suid 57,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,99000,14000" +) +oxt "15000,6000,96000,14000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "51250,9100,57250,10000" +st "memory_test" +blo "51250,9800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "51250,10000,62750,10900" +st "sdramController_tester" +blo "51250,10700" +) +) +gi *86 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "33000,6000,46500,9600" +st "Generic Declarations + +addressBitNb positive 24 +dataBitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "24" +) +(GiElement +name "dataBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*87 (Grouping +uid 16,0 +optionalChildren [ +*88 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*92 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*93 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*94 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*95 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*96 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*97 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *98 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*100 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Memory_test" +entityName "sdramController_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *101 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *102 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,11000,44500,11900" +st "User:" +blo "42000,11700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,11900,44000,11900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 671,0 +activeModelName "Symbol:CDM" +) diff --git a/Libs/Memory_test/hds/sdram_mt48lc16m16a2/symbol.sb b/Libs/Memory_test/hds/sdram_mt48lc16m16a2/symbol.sb new file mode 100644 index 0000000..961dc42 --- /dev/null +++ b/Libs/Memory_test/hds/sdram_mt48lc16m16a2/symbol.sb @@ -0,0 +1,2448 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "std" +unitName "textio" +) +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_STD" +) +(DmPackageRef +library "memory_test" +unitName "mti_pkg" +) +] +libraryRefs [ +"STD" +"ieee" +"memory_test" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 32,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 74,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "addr" +t "std_ulogic_vector" +b "( addr_bits-1 DOWNTO 0 )" +o 9 +suid 22,0 +) +) +uid 379,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "Ba" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 1 +suid 23,0 +i "\"00\"" +) +) +uid 381,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "Cas_n" +t "std_ulogic" +o 2 +suid 24,0 +) +) +uid 383,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "Cke" +t "std_ulogic" +o 3 +suid 25,0 +) +) +uid 385,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "Clk" +t "std_ulogic" +o 4 +suid 26,0 +) +) +uid 387,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "Cs_n" +t "std_ulogic" +o 5 +suid 27,0 +) +) +uid 389,0 +) +*20 (LogPort +port (LogicalPort +m 2 +decl (Decl +n "Dq" +t "std_logic_vector" +b "( data_bits-1 DOWNTO 0 )" +o 10 +suid 28,0 +) +) +uid 391,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "Dqm" +t "std_ulogic_vector" +b "( 1 DOWNTO 0 )" +o 6 +suid 29,0 +i "\"00\"" +) +) +uid 393,0 +) +*22 (LogPort +port (LogicalPort +decl (Decl +n "Ras_n" +t "std_ulogic" +o 7 +suid 31,0 +) +) +uid 397,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "WE_n" +t "std_ulogic" +o 8 +suid 32,0 +) +) +uid 399,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 87,0 +optionalChildren [ +*24 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *25 (MRCItem +litem &1 +pos 10 +dimension 20 +) +uid 89,0 +optionalChildren [ +*26 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 90,0 +) +*27 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 91,0 +) +*28 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 92,0 +) +*29 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 380,0 +) +*30 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 382,0 +) +*31 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 384,0 +) +*32 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 386,0 +) +*33 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 388,0 +) +*34 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 390,0 +) +*35 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 392,0 +) +*36 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 394,0 +) +*37 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 398,0 +) +*38 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 400,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 93,0 +optionalChildren [ +*39 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 94,0 +) +*40 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 95,0 +) +*41 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 96,0 +) +*42 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 97,0 +) +*43 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 98,0 +) +*44 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 99,0 +) +*45 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 100,0 +) +*46 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 101,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 88,0 +vaOverrides [ +] +) +] +) +uid 73,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *47 (LEmptyRow +) +uid 103,0 +optionalChildren [ +*48 (RefLabelRowHdr +) +*49 (TitleRowHdr +) +*50 (FilterRowHdr +) +*51 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*52 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*53 (GroupColHdr +tm "GroupColHdrMgr" +) +*54 (NameColHdr +tm "GenericNameColHdrMgr" +) +*55 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*56 (InitColHdr +tm "GenericValueColHdrMgr" +) +*57 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*58 (EolColHdr +tm "GenericEolColHdrMgr" +) +*59 (LogGeneric +generic (GiElement +name "addr_bits" +type "integer" +value "13" +) +uid 447,0 +) +*60 (LogGeneric +generic (GiElement +name "data_bits" +type "integer" +value "16" +) +uid 449,0 +) +*61 (LogGeneric +generic (GiElement +name "col_bits" +type "integer" +value "9" +) +uid 451,0 +) +*62 (LogGeneric +generic (GiElement +name "index" +type "integer" +value "0" +) +uid 453,0 +) +*63 (LogGeneric +generic (GiElement +name "fname" +type "string" +value "\"sdram.srec\"" +) +uid 455,0 +) +*64 (LogGeneric +generic (GiElement +name "tAC" +type "time" +value "6 ns" +) +uid 457,0 +) +*65 (LogGeneric +generic (GiElement +name "tHZ" +type "time" +value "7 ns" +) +uid 459,0 +) +*66 (LogGeneric +generic (GiElement +name "tOH" +type "time" +value "2.7 ns" +) +uid 461,0 +) +*67 (LogGeneric +generic (GiElement +name "tMRD" +type "integer" +value "2" +) +uid 463,0 +) +*68 (LogGeneric +generic (GiElement +name "tRAS" +type "time" +value "44 ns" +) +uid 465,0 +) +*69 (LogGeneric +generic (GiElement +name "tRC" +type "time" +value "66 ns" +) +uid 467,0 +) +*70 (LogGeneric +generic (GiElement +name "tRCD" +type "time" +value "20 ns" +) +uid 469,0 +) +*71 (LogGeneric +generic (GiElement +name "tRP" +type "time" +value "20 ns" +) +uid 471,0 +) +*72 (LogGeneric +generic (GiElement +name "tRRD" +type "time" +value "15 ns" +) +uid 473,0 +) +*73 (LogGeneric +generic (GiElement +name "tWRa" +type "time" +value "7.5 ns" +) +uid 475,0 +) +*74 (LogGeneric +generic (GiElement +name "tWRp" +type "time" +value "15 ns" +) +uid 477,0 +) +*75 (LogGeneric +generic (GiElement +name "tAH" +type "time" +value "0.8 ns" +) +uid 479,0 +) +*76 (LogGeneric +generic (GiElement +name "tAS" +type "time" +value "1.5 ns" +) +uid 481,0 +) +*77 (LogGeneric +generic (GiElement +name "tCH" +type "time" +value "2.5 ns" +) +uid 483,0 +) +*78 (LogGeneric +generic (GiElement +name "tCL" +type "time" +value "2.5 ns" +) +uid 485,0 +) +*79 (LogGeneric +generic (GiElement +name "tCK" +type "time" +value "10 ns" +) +uid 487,0 +) +*80 (LogGeneric +generic (GiElement +name "tDH" +type "time" +value "0.8 ns" +) +uid 489,0 +) +*81 (LogGeneric +generic (GiElement +name "tDS" +type "time" +value "1.5 ns" +) +uid 491,0 +) +*82 (LogGeneric +generic (GiElement +name "tCKH" +type "time" +value "0.8 ns" +) +uid 493,0 +) +*83 (LogGeneric +generic (GiElement +name "tCKS" +type "time" +value "1.5 ns" +) +uid 495,0 +) +*84 (LogGeneric +generic (GiElement +name "tCMH" +type "time" +value "0.8 ns" +) +uid 497,0 +) +*85 (LogGeneric +generic (GiElement +name "tCMS" +type "time" +value "1.5 ns" +) +uid 499,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 115,0 +optionalChildren [ +*86 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *87 (MRCItem +litem &47 +pos 27 +dimension 20 +) +uid 117,0 +optionalChildren [ +*88 (MRCItem +litem &48 +pos 0 +dimension 20 +uid 118,0 +) +*89 (MRCItem +litem &49 +pos 1 +dimension 23 +uid 119,0 +) +*90 (MRCItem +litem &50 +pos 2 +hidden 1 +dimension 20 +uid 120,0 +) +*91 (MRCItem +litem &59 +pos 0 +dimension 20 +uid 448,0 +) +*92 (MRCItem +litem &60 +pos 1 +dimension 20 +uid 450,0 +) +*93 (MRCItem +litem &61 +pos 2 +dimension 20 +uid 452,0 +) +*94 (MRCItem +litem &62 +pos 3 +dimension 20 +uid 454,0 +) +*95 (MRCItem +litem &63 +pos 4 +dimension 20 +uid 456,0 +) +*96 (MRCItem +litem &64 +pos 5 +dimension 20 +uid 458,0 +) +*97 (MRCItem +litem &65 +pos 6 +dimension 20 +uid 460,0 +) +*98 (MRCItem +litem &66 +pos 7 +dimension 20 +uid 462,0 +) +*99 (MRCItem +litem &67 +pos 8 +dimension 20 +uid 464,0 +) +*100 (MRCItem +litem &68 +pos 9 +dimension 20 +uid 466,0 +) +*101 (MRCItem +litem &69 +pos 10 +dimension 20 +uid 468,0 +) +*102 (MRCItem +litem &70 +pos 11 +dimension 20 +uid 470,0 +) +*103 (MRCItem +litem &71 +pos 12 +dimension 20 +uid 472,0 +) +*104 (MRCItem +litem &72 +pos 13 +dimension 20 +uid 474,0 +) +*105 (MRCItem +litem &73 +pos 14 +dimension 20 +uid 476,0 +) +*106 (MRCItem +litem &74 +pos 15 +dimension 20 +uid 478,0 +) +*107 (MRCItem +litem &75 +pos 16 +dimension 20 +uid 480,0 +) +*108 (MRCItem +litem &76 +pos 17 +dimension 20 +uid 482,0 +) +*109 (MRCItem +litem &77 +pos 18 +dimension 20 +uid 484,0 +) +*110 (MRCItem +litem &78 +pos 19 +dimension 20 +uid 486,0 +) +*111 (MRCItem +litem &79 +pos 20 +dimension 20 +uid 488,0 +) +*112 (MRCItem +litem &80 +pos 21 +dimension 20 +uid 490,0 +) +*113 (MRCItem +litem &81 +pos 22 +dimension 20 +uid 492,0 +) +*114 (MRCItem +litem &82 +pos 23 +dimension 20 +uid 494,0 +) +*115 (MRCItem +litem &83 +pos 24 +dimension 20 +uid 496,0 +) +*116 (MRCItem +litem &84 +pos 25 +dimension 20 +uid 498,0 +) +*117 (MRCItem +litem &85 +pos 26 +dimension 20 +uid 500,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 121,0 +optionalChildren [ +*118 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 122,0 +) +*119 (MRCItem +litem &53 +pos 1 +dimension 50 +uid 123,0 +) +*120 (MRCItem +litem &54 +pos 2 +dimension 100 +uid 124,0 +) +*121 (MRCItem +litem &55 +pos 3 +dimension 100 +uid 125,0 +) +*122 (MRCItem +litem &56 +pos 4 +dimension 166 +uid 126,0 +) +*123 (MRCItem +litem &57 +pos 5 +dimension 50 +uid 127,0 +) +*124 (MRCItem +litem &58 +pos 6 +dimension 80 +uid 128,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 116,0 +vaOverrides [ +] +) +] +) +uid 102,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram_mt48lc16m16a2/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram_mt48lc16m16a2/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram_mt48lc16m16a2" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram_mt48lc16m16a2" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "sdram_mt48lc16m16a2" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:34" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdram_mt48lc16m16a2" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram_mt48lc16m16a2/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram_mt48lc16m16a2/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:34" +) +(vvPair +variable "unit" +value "sdram_mt48lc16m16a2" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 72,0 +optionalChildren [ +*125 (SymbolBody +uid 8,0 +optionalChildren [ +*126 (CptPort +uid 324,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 325,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,10625,31000,11375" +) +tg (CPTG +uid 326,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 327,0 +va (VaSet +) +xt "32000,10500,33900,11500" +st "addr" +blo "32000,11300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 328,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,22400,26000,23300" +st "addr : IN std_ulogic_vector ( addr_bits-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +decl (Decl +n "addr" +t "std_ulogic_vector" +b "( addr_bits-1 DOWNTO 0 )" +o 9 +suid 22,0 +) +) +) +*127 (CptPort +uid 329,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 330,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,12625,31000,13375" +) +tg (CPTG +uid 331,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 332,0 +va (VaSet +) +xt "32000,12500,33300,13500" +st "Ba" +blo "32000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 333,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,15200,25000,16100" +st "Ba : IN std_ulogic_vector (1 DOWNTO 0) := \"00\" ;" +) +thePort (LogicalPort +decl (Decl +n "Ba" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 1 +suid 23,0 +i "\"00\"" +) +) +) +*128 (CptPort +uid 334,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 335,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,18625,31000,19375" +) +tg (CPTG +uid 336,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 337,0 +va (VaSet +) +xt "32000,18500,34600,19500" +st "Cas_n" +blo "32000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 338,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,16100,10500,17000" +st "Cas_n : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "Cas_n" +t "std_ulogic" +o 2 +suid 24,0 +) +) +) +*129 (CptPort +uid 339,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 340,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,24625,31000,25375" +) +tg (CPTG +uid 341,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 342,0 +va (VaSet +) +xt "32000,24500,33700,25500" +st "Cke" +blo "32000,25300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 343,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,17000,10500,17900" +st "Cke : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "Cke" +t "std_ulogic" +o 3 +suid 25,0 +) +) +) +*130 (CptPort +uid 344,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 345,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,26625,31000,27375" +) +tg (CPTG +uid 346,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 347,0 +va (VaSet +) +xt "32000,26500,33500,27500" +st "Clk" +blo "32000,27300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 348,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,17900,10500,18800" +st "Clk : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "Clk" +t "std_ulogic" +o 4 +suid 26,0 +) +) +) +*131 (CptPort +uid 349,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 350,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,14625,31000,15375" +) +tg (CPTG +uid 351,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 352,0 +va (VaSet +) +xt "32000,14500,34200,15500" +st "Cs_n" +blo "32000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 353,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,18800,10500,19700" +st "Cs_n : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "Cs_n" +t "std_ulogic" +o 5 +suid 27,0 +) +) +) +*132 (CptPort +uid 354,0 +ps "OnEdgeStrategy" +shape (Diamond +uid 355,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39000,10625,39750,11375" +) +tg (CPTG +uid 356,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 357,0 +va (VaSet +) +xt "36500,10500,38000,11500" +st "Dq" +ju 2 +blo "38000,11300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 358,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,23300,24500,24200" +st "Dq : INOUT std_logic_vector ( data_bits-1 DOWNTO 0 )" +) +thePort (LogicalPort +m 2 +decl (Decl +n "Dq" +t "std_logic_vector" +b "( data_bits-1 DOWNTO 0 )" +o 10 +suid 28,0 +) +) +) +*133 (CptPort +uid 359,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 360,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,22625,31000,23375" +) +tg (CPTG +uid 361,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 362,0 +va (VaSet +) +xt "32000,22500,34100,23500" +st "Dqm" +blo "32000,23300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 363,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,19700,25000,20600" +st "Dqm : IN std_ulogic_vector ( 1 DOWNTO 0 ) := \"00\" ;" +) +thePort (LogicalPort +decl (Decl +n "Dqm" +t "std_ulogic_vector" +b "( 1 DOWNTO 0 )" +o 6 +suid 29,0 +i "\"00\"" +) +) +) +*134 (CptPort +uid 369,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 370,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,16625,31000,17375" +) +tg (CPTG +uid 371,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 372,0 +va (VaSet +) +xt "32000,16500,34600,17500" +st "Ras_n" +blo "32000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 373,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,20600,10500,21500" +st "Ras_n : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "Ras_n" +t "std_ulogic" +o 7 +suid 31,0 +) +) +) +*135 (CptPort +uid 374,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 375,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,20625,31000,21375" +) +tg (CPTG +uid 376,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 377,0 +va (VaSet +) +xt "32000,20500,34400,21500" +st "WE_n" +blo "32000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 378,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,21500,10500,22400" +st "WE_n : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "WE_n" +t "std_ulogic" +o 8 +suid 32,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "31000,7000,39000,29000" +) +oxt "15000,6000,23000,22000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "31500,29000,37500,29900" +st "Memory_test" +blo "31500,29700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "31500,29900,41500,30800" +st "sdram_mt48lc16m16a2" +blo "31500,30600" +) +) +gi *136 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "40000,12800,56500,38900" +st "Generic Declarations + +addr_bits integer 13 +data_bits integer 16 +col_bits integer 9 +index integer 0 +fname string \"sdram.srec\" +tAC time 6 ns +tHZ time 7 ns +tOH time 2.7 ns +tMRD integer 2 +tRAS time 44 ns +tRC time 66 ns +tRCD time 20 ns +tRP time 20 ns +tRRD time 15 ns +tWRa time 7.5 ns +tWRp time 15 ns +tAH time 0.8 ns +tAS time 1.5 ns +tCH time 2.5 ns +tCL time 2.5 ns +tCK time 10 ns +tDH time 0.8 ns +tDS time 1.5 ns +tCKH time 0.8 ns +tCKS time 1.5 ns +tCMH time 0.8 ns +tCMS time 1.5 ns " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addr_bits" +type "integer" +value "13" +) +(GiElement +name "data_bits" +type "integer" +value "16" +) +(GiElement +name "col_bits" +type "integer" +value "9" +) +(GiElement +name "index" +type "integer" +value "0" +) +(GiElement +name "fname" +type "string" +value "\"sdram.srec\"" +) +(GiElement +name "tAC" +type "time" +value "6 ns" +) +(GiElement +name "tHZ" +type "time" +value "7 ns" +) +(GiElement +name "tOH" +type "time" +value "2.7 ns" +) +(GiElement +name "tMRD" +type "integer" +value "2" +) +(GiElement +name "tRAS" +type "time" +value "44 ns" +) +(GiElement +name "tRC" +type "time" +value "66 ns" +) +(GiElement +name "tRCD" +type "time" +value "20 ns" +) +(GiElement +name "tRP" +type "time" +value "20 ns" +) +(GiElement +name "tRRD" +type "time" +value "15 ns" +) +(GiElement +name "tWRa" +type "time" +value "7.5 ns" +) +(GiElement +name "tWRp" +type "time" +value "15 ns" +) +(GiElement +name "tAH" +type "time" +value "0.8 ns" +) +(GiElement +name "tAS" +type "time" +value "1.5 ns" +) +(GiElement +name "tCH" +type "time" +value "2.5 ns" +) +(GiElement +name "tCL" +type "time" +value "2.5 ns" +) +(GiElement +name "tCK" +type "time" +value "10 ns" +) +(GiElement +name "tDH" +type "time" +value "0.8 ns" +) +(GiElement +name "tDS" +type "time" +value "1.5 ns" +) +(GiElement +name "tCKH" +type "time" +value "0.8 ns" +) +(GiElement +name "tCKS" +type "time" +value "1.5 ns" +) +(GiElement +name "tCMH" +type "time" +value "0.8 ns" +) +(GiElement +name "tCMS" +type "time" +value "1.5 ns" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*137 (Grouping +uid 16,0 +optionalChildren [ +*138 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,47000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,44600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*139 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "47000,44000,51000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "47200,44000,50800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*140 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,47000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,46400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*141 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "26000,46000,30000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "26200,46000,29800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*142 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "47000,45000,67000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "47200,45200,60400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*143 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,67000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,53000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*144 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "26000,44000,47000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "32000,44500,41000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*145 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "26000,47000,30000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "26200,47000,29200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*146 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "26000,48000,30000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "26200,48000,29800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*147 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,47000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,40400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "26000,44000,67000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *148 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*149 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-6000,0,-600,1000" +st "Package List" +blo "-6000,800" +) +*150 (MLText +uid 50,0 +va (VaSet +) +xt "-6000,1000,12600,8000" +st "LIBRARY STD; + USE std.textio.all; +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.NUMERIC_STD.all; +LIBRARY memory_test; + USE memory_test.mti_pkg.all;" +tm "PackageList" +) +] +) +windowSize "70,52,1376,905" +viewArea "-7100,-1100,68990,50630" +cachedDiagramExtent "-6000,0,67000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-6000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *151 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *152 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-6000,13200,-600,14200" +st "Declarations" +blo "-6000,14000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-6000,14200,-3300,15200" +st "Ports:" +blo "-6000,15000" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-6000,24200,-3500,25100" +st "User:" +blo "-6000,24900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-6000,13200,-200,14200" +st "Internal User:" +blo "-6000,14000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,25100,-4000,25100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-6000,13200,-6000,13200" +tm "SyDeclarativeTextMgr" +) +) +lastUid 661,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hdl/DFFE_pre_sim.vhd b/Libs/Sequential/hdl/DFFE_pre_sim.vhd new file mode 100644 index 0000000..07bbccd --- /dev/null +++ b/Libs/Sequential/hdl/DFFE_pre_sim.vhd @@ -0,0 +1,16 @@ +ARCHITECTURE sim OF DFFE_pre IS +BEGIN + + process(clk, pre) + begin + if pre = '1' then + q <= '1' after delay; + elsif rising_edge(clk) then + if e = '1' then + q <= d after delay; + end if; + end if; + end process; + +END ARCHITECTURE sim; + diff --git a/Libs/Sequential/hdl/DFFE_sim.vhd b/Libs/Sequential/hdl/DFFE_sim.vhd new file mode 100644 index 0000000..41a917c --- /dev/null +++ b/Libs/Sequential/hdl/DFFE_sim.vhd @@ -0,0 +1,16 @@ +ARCHITECTURE sim OF DFFE IS +BEGIN + + process(clk, clr) + begin + if clr = '1' then + q <= '0' after delay; + elsif rising_edge(clk) then + if e = '1' then + q <= d after delay; + end if; + end if; + end process; + +END ARCHITECTURE sim; + diff --git a/Libs/Sequential/hdl/DFFE_sim1.vhd b/Libs/Sequential/hdl/DFFE_sim1.vhd new file mode 100644 index 0000000..07bbccd --- /dev/null +++ b/Libs/Sequential/hdl/DFFE_sim1.vhd @@ -0,0 +1,16 @@ +ARCHITECTURE sim OF DFFE_pre IS +BEGIN + + process(clk, pre) + begin + if pre = '1' then + q <= '1' after delay; + elsif rising_edge(clk) then + if e = '1' then + q <= d after delay; + end if; + end if; + end process; + +END ARCHITECTURE sim; + diff --git a/Libs/Sequential/hdl/DFF_pre_sim.vhd b/Libs/Sequential/hdl/DFF_pre_sim.vhd new file mode 100644 index 0000000..70a09a0 --- /dev/null +++ b/Libs/Sequential/hdl/DFF_pre_sim.vhd @@ -0,0 +1,13 @@ +ARCHITECTURE sim OF DFF_pre IS +BEGIN + + process(clk, pre) + begin + if pre = '1' then + q <= '1' after delay; + elsif rising_edge(clk) then + q <= d after delay; + end if; + end process; + +END sim; diff --git a/Libs/Sequential/hdl/DFF_sim.vhd b/Libs/Sequential/hdl/DFF_sim.vhd new file mode 100644 index 0000000..340b4fa --- /dev/null +++ b/Libs/Sequential/hdl/DFF_sim.vhd @@ -0,0 +1,13 @@ +ARCHITECTURE sim OF DFF IS +BEGIN + + process(clk, clr) + begin + if clr = '1' then + q <= '0' after delay; + elsif rising_edge(clk) then + q <= d after delay; + end if; + end process; + +END sim; diff --git a/Libs/Sequential/hdl/DFF_sim1.vhd b/Libs/Sequential/hdl/DFF_sim1.vhd new file mode 100644 index 0000000..a17f2f1 --- /dev/null +++ b/Libs/Sequential/hdl/DFF_sim1.vhd @@ -0,0 +1,18 @@ +ARCHITECTURE sim OF TFF IS + + signal q_int: std_ulogic; + +BEGIN + + process(clk, clr) + begin + if clr = '1' then + q_int <= '0' after delay; + elsif rising_edge(clk) then + q_int <= t xor q_int after delay; + end if; + end process; + + q <= q_int; + +END sim; diff --git a/Libs/Sequential/hdl/DFF_sim11.vhd b/Libs/Sequential/hdl/DFF_sim11.vhd new file mode 100644 index 0000000..fcd88e6 --- /dev/null +++ b/Libs/Sequential/hdl/DFF_sim11.vhd @@ -0,0 +1,18 @@ +ARCHITECTURE sim OF TFF_pre IS + + signal q_int: std_ulogic; + +BEGIN + + process(clk, pre) + begin + if pre = '1' then + q_int <= '1' after delay; + elsif rising_edge(clk) then + q_int <= t xor q_int after delay; + end if; + end process; + + q <= q_int; + +END sim; diff --git a/Libs/Sequential/hdl/DFF_sim2.vhd b/Libs/Sequential/hdl/DFF_sim2.vhd new file mode 100644 index 0000000..70a09a0 --- /dev/null +++ b/Libs/Sequential/hdl/DFF_sim2.vhd @@ -0,0 +1,13 @@ +ARCHITECTURE sim OF DFF_pre IS +BEGIN + + process(clk, pre) + begin + if pre = '1' then + q <= '1' after delay; + elsif rising_edge(clk) then + q <= d after delay; + end if; + end process; + +END sim; diff --git a/Libs/Sequential/hdl/TFF_pre_sim.vhd b/Libs/Sequential/hdl/TFF_pre_sim.vhd new file mode 100644 index 0000000..fcd88e6 --- /dev/null +++ b/Libs/Sequential/hdl/TFF_pre_sim.vhd @@ -0,0 +1,18 @@ +ARCHITECTURE sim OF TFF_pre IS + + signal q_int: std_ulogic; + +BEGIN + + process(clk, pre) + begin + if pre = '1' then + q_int <= '1' after delay; + elsif rising_edge(clk) then + q_int <= t xor q_int after delay; + end if; + end process; + + q <= q_int; + +END sim; diff --git a/Libs/Sequential/hdl/TFF_sim.vhd b/Libs/Sequential/hdl/TFF_sim.vhd new file mode 100644 index 0000000..a17f2f1 --- /dev/null +++ b/Libs/Sequential/hdl/TFF_sim.vhd @@ -0,0 +1,18 @@ +ARCHITECTURE sim OF TFF IS + + signal q_int: std_ulogic; + +BEGIN + + process(clk, clr) + begin + if clr = '1' then + q_int <= '0' after delay; + elsif rising_edge(clk) then + q_int <= t xor q_int after delay; + end if; + end process; + + q <= q_int; + +END sim; diff --git a/Libs/Sequential/hdl/accumulator_RTL.vhd b/Libs/Sequential/hdl/accumulator_RTL.vhd new file mode 100644 index 0000000..44d3ffe --- /dev/null +++ b/Libs/Sequential/hdl/accumulator_RTL.vhd @@ -0,0 +1,46 @@ +-------------------------------------------------------------------------------- +-- Copyright 2013 HES-SO Valais Wallis (www.hevs.ch) +-- +-- This program is free software: you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program IS distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- You should have received a copy of the GNU General Public License along with +-- this program. If not, see +-------------------------------------------------------------------------------- +-- Accumulator +-- Accumulator with the step as signal and a synchronous clear signal. +-- +-- Created on 2013-03-03 +-- +-- Version: 1.0 +-- Author: Oliver A. Gubler (oliver.gubler@hevs.ch) +-------------------------------------------------------------------------------- +-- +ARCHITECTURE RTL OF accumulator IS + signal sum_s : unsigned(bitNb-1 downto 0); +begin + + process (clock, reset) + begin + if reset = '1' then + sum_s <= (OTHERS => '0'); + elsif rising_edge(clock) then + if enable = '1' then + sum_s <= unsigned(step) + sum_s; + end if; + if clear = '1' then + sum_s <= (OTHERS => '0'); + end if; + end if; + end process; + + acc <= sum_s; + +END ARCHITECTURE RTL; + diff --git a/Libs/Sequential/hdl/counterEnableResetSync_rtl.vhd b/Libs/Sequential/hdl/counterEnableResetSync_rtl.vhd new file mode 100644 index 0000000..460fe82 --- /dev/null +++ b/Libs/Sequential/hdl/counterEnableResetSync_rtl.vhd @@ -0,0 +1,46 @@ +-------------------------------------------------------------------------------- +-- Copyright 2013 HES-SO Valais Wallis (www.hevs.ch) +-- +-- This program is free software: you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program IS distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- You should have received a copy of the GNU General Public License along with +-- this program. If not, see +-------------------------------------------------------------------------------- +-- Counter +-- Simple counter with a generic width of nbBits. +-- +-- Created on 2013-08-10 +-- +-- Version: 1.0 +-- Author: Oliver A. Gubler (oliver.gubler@hevs.ch) +-------------------------------------------------------------------------------- +-- +ARCHITECTURE rtl OF counterEnableResetSync IS + + signal sCountOut: unsigned(countOut'range); + +BEGIN + + countEndlessly: process(reset, clock) + begin + if reset = '1' then + sCountOut <= (others => '0'); + elsif rising_edge(clock) then + if resetSync = '1' then + sCountOut <= (others => '0'); + elsif enable = '1' then + sCountOut <= sCountOut + 1; + end if; + end if; + end process countEndlessly; + + countOut <= sCountOut after delay; + +END ARCHITECTURE RTL; diff --git a/Libs/Sequential/hdl/counterEnable_rtl.vhd b/Libs/Sequential/hdl/counterEnable_rtl.vhd new file mode 100644 index 0000000..1841030 --- /dev/null +++ b/Libs/Sequential/hdl/counterEnable_rtl.vhd @@ -0,0 +1,44 @@ +-------------------------------------------------------------------------------- +-- Copyright 2013 HES-SO Valais Wallis (www.hevs.ch) +-- +-- This program is free software: you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program IS distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- You should have received a copy of the GNU General Public License along with +-- this program. If not, see +-------------------------------------------------------------------------------- +-- Counter +-- Simple counter with a generic width of nbBits. +-- +-- Created on 2013-08-10 +-- +-- Version: 1.0 +-- Author: Oliver A. Gubler (oliver.gubler@hevs.ch) +-------------------------------------------------------------------------------- +-- +ARCHITECTURE rtl OF counterEnable IS + + signal sCountOut: unsigned(countOut'range); + +BEGIN + + countEndlessly: process(reset, clock) + begin + if reset = '1' then + sCountOut <= (others => '0'); + elsif rising_edge(clock) then + if enable = '1' then + sCountOut <= sCountOut + 1; + end if; + end if; + end process countEndlessly; + + countOut <= sCountOut after delay; + +END ARCHITECTURE RTL; diff --git a/Libs/Sequential/hdl/counterRestart_RTL.vhd b/Libs/Sequential/hdl/counterRestart_RTL.vhd new file mode 100644 index 0000000..a9950b9 --- /dev/null +++ b/Libs/Sequential/hdl/counterRestart_RTL.vhd @@ -0,0 +1,22 @@ +ARCHITECTURE RTL OF counterRestart IS + + signal count: unsigned(countOut'range); + +BEGIN + + countWithRestart: process(reset, clock) + begin + if reset = '1' then + count <= (others => '0'); + elsif rising_edge(clock) then + if restart = '1' then + count <= (others => '0'); + else + count <= count+1; + end if; + end if; + end process countWithRestart; + + countOut <= count after delay; + +END ARCHITECTURE RTL; diff --git a/Libs/Sequential/hdl/counterUpDownEnable_RTL.vhd b/Libs/Sequential/hdl/counterUpDownEnable_RTL.vhd new file mode 100644 index 0000000..7decb38 --- /dev/null +++ b/Libs/Sequential/hdl/counterUpDownEnable_RTL.vhd @@ -0,0 +1,24 @@ +ARCHITECTURE RTL OF counterUpDownEnable IS + + signal sCountOut: unsigned(countOut'range); + +BEGIN + + count: process(reset, clock) + begin + if reset = '1' then + sCountOut <= (others => '0'); + elsif rising_edge(clock) then + if enable = '1' then + if up = '1' then + sCountOut <= sCountOut + 1; + elsif down = '1' then + sCountOut <= sCountOut - 1; + end if; + end if; + end if; + end process count; + + countOut <= sCountOut after delay; + +END ARCHITECTURE RTL; diff --git a/Libs/Sequential/hdl/counterUpDown_RTL.vhd b/Libs/Sequential/hdl/counterUpDown_RTL.vhd new file mode 100644 index 0000000..a141be4 --- /dev/null +++ b/Libs/Sequential/hdl/counterUpDown_RTL.vhd @@ -0,0 +1,22 @@ +ARCHITECTURE RTL OF counterUpDown IS + + signal sCountOut: unsigned(countOut'range); + +BEGIN + + count: process(reset, clock) + begin + if reset = '1' then + sCountOut <= (others => '0'); + elsif rising_edge(clock) then + if up = '1' then + sCountOut <= sCountOut + 1; + elsif down = '1' then + sCountOut <= sCountOut - 1; + end if; + end if; + end process count; + + countOut <= sCountOut after delay; + +END ARCHITECTURE RTL; diff --git a/Libs/Sequential/hdl/counter_rtl.vhd b/Libs/Sequential/hdl/counter_rtl.vhd new file mode 100644 index 0000000..d20f51d --- /dev/null +++ b/Libs/Sequential/hdl/counter_rtl.vhd @@ -0,0 +1,18 @@ +ARCHITECTURE RTL OF counter IS + + signal count: unsigned(countOut'range); + +BEGIN + + countEndlessly: process(reset, clock) + begin + if reset = '1' then + count <= (others => '0'); + elsif rising_edge(clock) then + count <= count+1; + end if; + end process countEndlessly; + + countOut <= count after delay; + +END ARCHITECTURE RTL; diff --git a/Libs/Sequential/hdl/edgeDetector_arch.vhd b/Libs/Sequential/hdl/edgeDetector_arch.vhd new file mode 100644 index 0000000..69958e7 --- /dev/null +++ b/Libs/Sequential/hdl/edgeDetector_arch.vhd @@ -0,0 +1,50 @@ +-------------------------------------------------------------------------------- +-- Copyright 2014 HES-SO Valais Wallis (www.hevs.ch) +-- +-- This program is free software: you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program IS distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- You should have received a copy of the GNU General Public License along with +-- this program. If not, see +-------------------------------------------------------------------------------- +-- EdgeDetector +-- Detect rising and falling edges of a signal. +-- +-- Created on 2014-04-02 +-- +-- Version: 1.0 +-- Author: Oliver A. Gubler (oliver.gubler@hevs.ch) +-------------------------------------------------------------------------------- +ARCHITECTURE arch OF edgeDetector IS + + SIGNAL signal_s : std_ulogic; + SIGNAL rising_detected_s : std_ulogic; + SIGNAL falling_detected_s : std_ulogic; + +BEGIN + + -- sync + reg : PROCESS (reset,clock) + BEGIN + IF reset = '1' THEN + signal_s <= '0'; + ELSIF rising_edge(clock) THEN + signal_s <= input; + END IF; + END PROCESS reg ; + + -- edge detection + rising_detected_s <= input AND NOT signal_s; + falling_detected_s <= NOT input AND signal_s; + + -- output + rising_detected <= rising_detected_s; + falling_detected <= falling_detected_s; + +END ARCHITECTURE arch; diff --git a/Libs/Sequential/hdl/freqDividerEnable_RTL.vhd b/Libs/Sequential/hdl/freqDividerEnable_RTL.vhd new file mode 100644 index 0000000..93ac7f6 --- /dev/null +++ b/Libs/Sequential/hdl/freqDividerEnable_RTL.vhd @@ -0,0 +1,28 @@ +LIBRARY Common; + USE Common.CommonLib.all; + +ARCHITECTURE RTL OF freqDividerEnable IS + + signal count: unsigned(requiredBitNb(divideValue)-1 downto 0); + +BEGIN + + countEndlessly: process(reset, clock) + begin + if reset = '1' then + count <= (others => '0'); + elsif rising_edge(clock) then + if enIn = '1' then + if count = 0 then + count <= to_unsigned(divideValue-1, count'length); + else + count <= count-1 ; + end if; + end if; + end if; + end process countEndlessly; + + enOut <= '1' after delay when count = 0 + else '0' after delay; + +END ARCHITECTURE RTL; diff --git a/Libs/Sequential/hdl/freqDivider_RTL.vhd b/Libs/Sequential/hdl/freqDivider_RTL.vhd new file mode 100644 index 0000000..6462dce --- /dev/null +++ b/Libs/Sequential/hdl/freqDivider_RTL.vhd @@ -0,0 +1,26 @@ +LIBRARY Common; + USE Common.CommonLib.all; + +ARCHITECTURE RTL OF freqDivider IS + + signal count: unsigned(requiredBitNb(divideValue)-1 downto 0); + +BEGIN + + countEndlessly: process(reset, clock) + begin + if reset = '1' then + count <= (others => '0'); + elsif rising_edge(clock) then + if count = 0 then + count <= to_unsigned(divideValue-1, count'length); + else + count <= count-1 ; + end if; + end if; + end process countEndlessly; + + enable <= '1' after delay when count = 0 + else '0' after delay; + +END ARCHITECTURE RTL; diff --git a/Libs/Sequential/hdl/registerLogicVector_sim.vhd b/Libs/Sequential/hdl/registerLogicVector_sim.vhd new file mode 100644 index 0000000..11cfb55 --- /dev/null +++ b/Libs/Sequential/hdl/registerLogicVector_sim.vhd @@ -0,0 +1,16 @@ +ARCHITECTURE sim OF registerLogicVector IS +BEGIN + + registerData: process(reset, clock) + begin + if reset = '1' then + dataOut <= (others => '0') after delay; + elsif rising_edge(clock) then + if enable = '1' then + dataOut <= dataIn after delay; + end if; + end if; + end process registerData; + +END ARCHITECTURE sim; + diff --git a/Libs/Sequential/hdl/registerSigned_sim.vhd b/Libs/Sequential/hdl/registerSigned_sim.vhd new file mode 100644 index 0000000..4010de2 --- /dev/null +++ b/Libs/Sequential/hdl/registerSigned_sim.vhd @@ -0,0 +1,16 @@ +ARCHITECTURE sim OF registerSigned IS +BEGIN + + registerData: process(reset, clock) + begin + if reset = '1' then + dataOut <= (others => '0') after delay; + elsif rising_edge(clock) then + if enable = '1' then + dataOut <= dataIn after delay; + end if; + end if; + end process registerData; + +END ARCHITECTURE sim; + diff --git a/Libs/Sequential/hdl/registerULogicVectorTo_RTL.vhd b/Libs/Sequential/hdl/registerULogicVectorTo_RTL.vhd new file mode 100644 index 0000000..458385d --- /dev/null +++ b/Libs/Sequential/hdl/registerULogicVectorTo_RTL.vhd @@ -0,0 +1,16 @@ +ARCHITECTURE sim OF registerULogicVectorTo IS +BEGIN + + registerData: process(reset, clock) + begin + if reset = '1' then + dataOut <= (others => '0') after delay; + elsif rising_edge(clock) then + if enable = '1' then + dataOut <= dataIn after delay; + end if; + end if; + end process registerData; + +END ARCHITECTURE sim; + diff --git a/Libs/Sequential/hdl/registerULogicVector_sim.vhd b/Libs/Sequential/hdl/registerULogicVector_sim.vhd new file mode 100644 index 0000000..88c99d0 --- /dev/null +++ b/Libs/Sequential/hdl/registerULogicVector_sim.vhd @@ -0,0 +1,16 @@ +ARCHITECTURE sim OF registerULogicVector IS +BEGIN + + registerData: process(reset, clock) + begin + if reset = '1' then + dataOut <= (others => '0') after delay; + elsif rising_edge(clock) then + if enable = '1' then + dataOut <= dataIn after delay; + end if; + end if; + end process registerData; + +END ARCHITECTURE sim; + diff --git a/Libs/Sequential/hdl/registerULogicVector_sim1.vhd b/Libs/Sequential/hdl/registerULogicVector_sim1.vhd new file mode 100644 index 0000000..11cfb55 --- /dev/null +++ b/Libs/Sequential/hdl/registerULogicVector_sim1.vhd @@ -0,0 +1,16 @@ +ARCHITECTURE sim OF registerLogicVector IS +BEGIN + + registerData: process(reset, clock) + begin + if reset = '1' then + dataOut <= (others => '0') after delay; + elsif rising_edge(clock) then + if enable = '1' then + dataOut <= dataIn after delay; + end if; + end if; + end process registerData; + +END ARCHITECTURE sim; + diff --git a/Libs/Sequential/hdl/registerUnsigned_sim.vhd b/Libs/Sequential/hdl/registerUnsigned_sim.vhd new file mode 100644 index 0000000..0fe6357 --- /dev/null +++ b/Libs/Sequential/hdl/registerUnsigned_sim.vhd @@ -0,0 +1,16 @@ +ARCHITECTURE sim OF registerUnsigned IS +BEGIN + + registerData: process(reset, clock) + begin + if reset = '1' then + dataOut <= (others => '0') after delay; + elsif rising_edge(clock) then + if enable = '1' then + dataOut <= dataIn after delay; + end if; + end if; + end process registerData; + +END ARCHITECTURE sim; + diff --git a/Libs/Sequential/hds/.hdlsidedata/_DFFE_pre_sim.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_DFFE_pre_sim.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_DFFE_pre_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_DFFE_sim.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_DFFE_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_DFFE_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_DFFE_sim1.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_DFFE_sim1.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_DFFE_sim1.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_DFF_pre_sim.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_DFF_pre_sim.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_DFF_pre_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_DFF_sim.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_DFF_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_DFF_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_DFF_sim1.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_DFF_sim1.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_DFF_sim1.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_DFF_sim11.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_DFF_sim11.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_DFF_sim11.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_DFF_sim2.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_DFF_sim2.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_DFF_sim2.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_SRAM_sim.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_SRAM_sim.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_SRAM_sim.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/Sequential/hds/.hdlsidedata/_TFF_pre_sim.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_TFF_pre_sim.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_TFF_pre_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_TFF_sim.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_TFF_sim.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_TFF_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_accumulator_RTL.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_accumulator_RTL.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_accumulator_RTL.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/Sequential/hds/.hdlsidedata/_accumulator_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_accumulator_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_accumulator_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_counterEnableResetSync_rtl.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_counterEnableResetSync_rtl.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_counterEnableResetSync_rtl.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_counterEnable_rtl.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_counterEnable_rtl.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_counterEnable_rtl.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_counterRestart_RTL.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_counterRestart_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_counterRestart_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_counterUpDownEnable_RTL.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_counterUpDownEnable_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_counterUpDownEnable_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_counterUpDown_RTL.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_counterUpDown_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_counterUpDown_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_counterUpDown_arch.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_counterUpDown_arch.vhd._fpf new file mode 100644 index 0000000..19d6635 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_counterUpDown_arch.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2002 diff --git a/Libs/Sequential/hds/.hdlsidedata/_counter_arch.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_counter_arch.vhd._fpf new file mode 100644 index 0000000..19d6635 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_counter_arch.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2002 diff --git a/Libs/Sequential/hds/.hdlsidedata/_counter_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_counter_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_counter_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_counter_rtl.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_counter_rtl.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_counter_rtl.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_counterenable_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_counterenable_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_counterenable_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_counterenableresetsync_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_counterenableresetsync_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_counterenableresetsync_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_counterrestart_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_counterrestart_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_counterrestart_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_counterupdown_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_counterupdown_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_counterupdown_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_counterupdownenable_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_counterupdownenable_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_counterupdownenable_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_counterupdownenable_struct.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_counterupdownenable_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_counterupdownenable_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_dff_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_dff_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_dff_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_dff_pre_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_dff_pre_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_dff_pre_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_dffe_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_dffe_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_dffe_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_dffe_pre_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_dffe_pre_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_dffe_pre_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_edgeDetector_RTL.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_edgeDetector_RTL.vhd._fpf new file mode 100644 index 0000000..19d6635 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_edgeDetector_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2002 diff --git a/Libs/Sequential/hds/.hdlsidedata/_edgeDetector_arch.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_edgeDetector_arch.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_edgeDetector_arch.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/Sequential/hds/.hdlsidedata/_edgedetector_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_edgedetector_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_edgedetector_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_freqDividerEnable_RTL.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_freqDividerEnable_RTL.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_freqDividerEnable_RTL.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_freqDivider_RTL.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_freqDivider_RTL.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_freqDivider_RTL.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_freqdivider_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_freqdivider_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_freqdivider_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_freqdividerenable_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_freqdividerenable_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_freqdividerenable_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_registerLogicVector_sim.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_registerLogicVector_sim.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_registerLogicVector_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_registerSigned_sim.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_registerSigned_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_registerSigned_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_registerULogicVectorTo_RTL.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_registerULogicVectorTo_RTL.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_registerULogicVectorTo_RTL.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_registerULogicVector_sim.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_registerULogicVector_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_registerULogicVector_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_registerULogicVector_sim1.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_registerULogicVector_sim1.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_registerULogicVector_sim1.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_registerUnsigned_sim.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_registerUnsigned_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_registerUnsigned_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_registerfile_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_registerfile_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_registerfile_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_registerfile_struct.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_registerfile_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_registerfile_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_registerlogicvector_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_registerlogicvector_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_registerlogicvector_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_registersigned_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_registersigned_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_registersigned_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_registerulogicvector_entity.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_registerulogicvector_entity.vhd._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_registerulogicvector_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/Libs/Sequential/hds/.hdlsidedata/_registerulogicvector_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_registerulogicvector_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_registerulogicvector_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_registerulogicvectorto_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_registerulogicvectorto_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_registerulogicvectorto_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_registerunsigned_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_registerunsigned_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_registerunsigned_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_reglatch_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_reglatch_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_reglatch_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_sram_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_sram_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_sram_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_sram_struct.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_sram_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_sram_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_tff_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_tff_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_tff_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_tff_pre_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_tff_pre_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_tff_pre_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/@d@f@f/symbol.sb b/Libs/Sequential/hds/@d@f@f/symbol.sb new file mode 100644 index 0000000..47e18c6 --- /dev/null +++ b/Libs/Sequential/hds/@d@f@f/symbol.sb @@ -0,0 +1,1579 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 159,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +suid 1,0 +) +) +uid 160,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +uid 161,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 162,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 163,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 164,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 101,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 104,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 106,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 108,0 +) +*23 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 127,0 +) +*24 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 128,0 +) +*25 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 129,0 +) +*26 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 130,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 102,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 110,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 114,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 116,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 118,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 120,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 122,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 124,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 126,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 100,0 +vaOverrides [ +] +) +] +) +uid 158,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 166,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 156,0 +) +] +) +pdm (PhysicalDM +uid 167,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 132,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 135,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 137,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 139,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 157,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 133,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 141,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 145,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 147,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 149,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 151,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 153,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 155,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 131,0 +vaOverrides [ +] +) +] +) +uid 165,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@d@f@f/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@d@f@f/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@d@f@f" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/DFF" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "DFF" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:19" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "DFF" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@d@f@f/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/DFF/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_ActelUserPath" +value "$HDS_PROJECT_DIR\\..\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:19" +) +(vvPair +variable "unit" +value "DFF" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*61 (SymbolBody +uid 11,0 +optionalChildren [ +*62 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,16625,33000,17375" +) +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "34000,16500,35000,17500" +st "D" +blo "34000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12100,15500,13000" +st "D : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +suid 1,0 +) +) +) +*63 (CptPort +uid 57,0 +optionalChildren [ +*64 (FFT +pts [ +"33750,21000" +"33000,21375" +"33000,20625" +] +uid 99,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33000,20625,33750,21375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,20625,33000,21375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +) +xt "34000,20600,35900,21600" +st "CLK" +blo "34000,21400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 88,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10300,15500,11200" +st "CLK : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +) +*65 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35625,23000,36375,23750" +) +tg (CPTG +uid 95,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +) +xt "35000,22000,37000,23000" +st "CLR" +blo "35000,22800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 89,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11200,15500,12100" +st "CLR : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*66 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39000,16625,39750,17375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +) +xt "37000,16500,38000,17500" +st "Q" +ju 2 +blo "38000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,14500,13900" +st "Q : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 12,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,15000,39000,23000" +) +showPorts 0 +oxt "15000,10000,21000,19000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "courier,8,1" +) +xt "39600,18700,45100,19600" +st "sequential" +blo "39600,19400" +) +second (Text +uid 15,0 +va (VaSet +font "courier,8,1" +) +xt "39600,19600,41100,20500" +st "DFF" +blo "39600,20300" +) +) +gi *67 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "courier,8,0" +) +xt "40000,23600,51500,26300" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*68 (Grouping +uid 191,0 +optionalChildren [ +*69 (CommentText +uid 193,0 +shape (Rectangle +uid 194,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 195,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 196,0 +shape (Rectangle +uid 197,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 198,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 199,0 +shape (Rectangle +uid 200,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 201,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 202,0 +shape (Rectangle +uid 203,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 204,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 205,0 +shape (Rectangle +uid 206,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 207,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 208,0 +shape (Rectangle +uid 209,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 210,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 211,0 +shape (Rectangle +uid 212,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 213,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 214,0 +shape (Rectangle +uid 215,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 216,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 217,0 +shape (Rectangle +uid 218,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 219,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 220,0 +shape (Rectangle +uid 221,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 222,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,49400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 192,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *79 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +uid 97,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*81 (MLText +uid 98,0 +va (VaSet +) +xt "0,1000,18600,5000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "143,37,1429,895" +viewArea "-1000,-1000,75824,50552" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *82 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *83 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,8300,5400,9300" +st "Declarations" +blo "0,9100" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,9300,2700,10300" +st "Ports:" +blo "0,10100" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,13900,2500,14800" +st "User:" +blo "0,14600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,8300,5800,9300" +st "Internal User:" +blo "0,9100" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14800,2000,14800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,8300,32000,8300" +tm "SyDeclarativeTextMgr" +) +) +lastUid 337,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/@d@f@f@e/symbol.sb b/Libs/Sequential/hds/@d@f@f@e/symbol.sb new file mode 100644 index 0000000..2349f39 --- /dev/null +++ b/Libs/Sequential/hds/@d@f@f@e/symbol.sb @@ -0,0 +1,1631 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 165,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 166,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +suid 1,0 +) +) +uid 167,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 168,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +uid 169,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "E" +t "std_uLogic" +o 5 +suid 5,0 +) +) +uid 170,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 171,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 106,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 109,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 111,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 113,0 +) +*24 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 132,0 +) +*25 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 133,0 +) +*26 (MRCItem +litem &4 +pos 3 +dimension 20 +uid 134,0 +) +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 135,0 +) +*28 (MRCItem +litem &6 +pos 4 +dimension 20 +uid 136,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 107,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 115,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 119,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 121,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 123,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 125,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 127,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 129,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 131,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 105,0 +vaOverrides [ +] +) +] +) +uid 164,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 173,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 162,0 +) +] +) +pdm (PhysicalDM +uid 174,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 1 +dimension 20 +) +uid 138,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 141,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 143,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 145,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 163,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 139,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 147,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 151,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 153,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 155,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 157,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 159,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 161,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 137,0 +vaOverrides [ +] +) +] +) +uid 172,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@d@f@f@e/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@d@f@f@e/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@d@f@f@e" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/DFFE" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "DFFE" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:19" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "DFFE" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@d@f@f@e/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/DFFE/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_ActelUserPath" +value "$HDS_PROJECT_DIR\\..\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:19" +) +(vvPair +variable "unit" +value "DFFE" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*63 (SymbolBody +uid 11,0 +optionalChildren [ +*64 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,12625,36000,13375" +) +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "37000,12500,38000,13500" +st "D" +blo "37000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12100,15500,13000" +st "D : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +suid 1,0 +) +) +) +*65 (CptPort +uid 57,0 +optionalChildren [ +*66 (FFT +pts [ +"36750,19000" +"36000,19375" +"36000,18625" +] +uid 104,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36000,18625,36750,19375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,18625,36000,19375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +) +xt "37000,18600,38900,19600" +st "CLK" +blo "37000,19400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 88,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10300,15500,11200" +st "CLK : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +) +*67 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38625,21000,39375,21750" +) +tg (CPTG +uid 95,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +) +xt "38000,20000,40000,21000" +st "CLR" +blo "38000,20800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 89,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11200,15500,12100" +st "CLR : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*68 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42000,12625,42750,13375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +) +xt "40000,12500,41000,13500" +st "Q" +ju 2 +blo "41000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13900,14500,14800" +st "Q : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +*69 (CptPort +uid 99,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 100,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,14625,36000,15375" +) +tg (CPTG +uid 101,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 102,0 +va (VaSet +) +xt "37000,14500,37900,15500" +st "E" +blo "37000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 103,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,15500,13900" +st "E : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "E" +t "std_uLogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 12,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "36000,11000,42000,21000" +) +showPorts 0 +oxt "15000,10000,21000,19000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "courier,8,1" +) +xt "42600,16700,48100,17600" +st "sequential" +blo "42600,17400" +) +second (Text +uid 15,0 +va (VaSet +font "courier,8,1" +) +xt "42600,17600,44600,18500" +st "DFFE" +blo "42600,18300" +) +) +gi *70 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "courier,8,0" +) +xt "43000,21600,54500,24300" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*71 (Grouping +uid 198,0 +optionalChildren [ +*72 (CommentText +uid 200,0 +shape (Rectangle +uid 201,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 202,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,51200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 203,0 +shape (Rectangle +uid 204,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 205,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 206,0 +shape (Rectangle +uid 207,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 208,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 209,0 +shape (Rectangle +uid 210,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 211,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 212,0 +shape (Rectangle +uid 213,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 214,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 215,0 +shape (Rectangle +uid 216,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 217,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 218,0 +shape (Rectangle +uid 219,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 220,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 221,0 +shape (Rectangle +uid 222,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 223,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 224,0 +shape (Rectangle +uid 225,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 226,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 227,0 +shape (Rectangle +uid 228,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 229,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,50000,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 199,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *82 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +uid 97,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*84 (MLText +uid 98,0 +va (VaSet +) +xt "0,1000,18600,5000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "98,33,1387,900" +viewArea "-1097,-1097,74561,50166" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *85 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *86 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,8300,5400,9300" +st "Declarations" +blo "0,9100" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,9300,2700,10300" +st "Ports:" +blo "0,10100" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,14800,2500,15700" +st "User:" +blo "0,15500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,8300,5800,9300" +st "Internal User:" +blo "0,9100" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15700,2000,15700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,8300,32000,8300" +tm "SyDeclarativeTextMgr" +) +) +lastUid 275,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/@d@f@f@e_pre/symbol.sb b/Libs/Sequential/hds/@d@f@f@e_pre/symbol.sb new file mode 100644 index 0000000..a398fd3 --- /dev/null +++ b/Libs/Sequential/hds/@d@f@f@e_pre/symbol.sb @@ -0,0 +1,1641 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 165,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "PRE" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 166,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +suid 1,0 +) +) +uid 167,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 168,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +uid 169,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "E" +t "std_uLogic" +o 5 +suid 5,0 +) +) +uid 170,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 171,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 106,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 109,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 111,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 113,0 +) +*24 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 132,0 +) +*25 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 133,0 +) +*26 (MRCItem +litem &4 +pos 3 +dimension 20 +uid 134,0 +) +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 135,0 +) +*28 (MRCItem +litem &6 +pos 4 +dimension 20 +uid 136,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 107,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 115,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 119,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 121,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 123,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 125,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 127,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 129,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 131,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 105,0 +vaOverrides [ +] +) +] +) +uid 164,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 173,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 162,0 +) +] +) +pdm (PhysicalDM +uid 174,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 1 +dimension 20 +) +uid 138,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 141,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 143,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 145,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 163,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 139,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 147,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 151,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 153,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 155,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 157,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 159,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 161,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 137,0 +vaOverrides [ +] +) +] +) +uid 172,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@d@f@f@e_pre/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@d@f@f@e_pre/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@d@f@f@e_pre" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/DFFE_pre" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "DFFE_pre" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:19" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "DFFE_pre" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@d@f@f@e_pre/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/DFFE_pre/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_ActelUserPath" +value "$HDS_PROJECT_DIR\\..\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:19" +) +(vvPair +variable "unit" +value "DFFE_pre" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*63 (SymbolBody +uid 11,0 +optionalChildren [ +*64 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,12625,36000,13375" +) +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "37000,12500,38000,13500" +st "D" +blo "37000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11200,15500,12100" +st "D : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +suid 1,0 +) +) +) +*65 (CptPort +uid 57,0 +optionalChildren [ +*66 (FFT +pts [ +"36750,19000" +"36000,19375" +"36000,18625" +] +uid 104,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36000,18625,36750,19375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,18625,36000,19375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +) +xt "37000,18600,38900,19600" +st "CLK" +blo "37000,19400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 88,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10300,15500,11200" +st "CLK : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +) +*67 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38625,10250,39375,11000" +) +tg (CPTG +uid 95,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +) +xt "38000,11000,40000,12000" +st "PRE" +ju 2 +blo "40000,11800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 89,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,15500,13900" +st "PRE : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "PRE" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*68 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42000,12625,42750,13375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +) +xt "40000,12500,41000,13500" +st "Q" +ju 2 +blo "41000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13900,14500,14800" +st "Q : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +*69 (CptPort +uid 99,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 100,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,14625,36000,15375" +) +tg (CPTG +uid 101,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 102,0 +va (VaSet +) +xt "37000,14500,37900,15500" +st "E" +blo "37000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 103,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12100,15500,13000" +st "E : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "E" +t "std_uLogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 12,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "36000,11000,42000,21000" +) +showPorts 0 +oxt "15000,10000,21000,19000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "courier,8,1" +) +xt "42600,16700,48100,17600" +st "sequential" +blo "42600,17400" +) +second (Text +uid 15,0 +va (VaSet +font "courier,8,1" +) +xt "42600,17600,46600,18500" +st "DFFE_pre" +blo "42600,18300" +) +) +gi *70 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "courier,8,0" +) +xt "43000,21600,54500,24300" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*71 (Grouping +uid 198,0 +optionalChildren [ +*72 (CommentText +uid 200,0 +shape (Rectangle +uid 201,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 202,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,51200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 203,0 +shape (Rectangle +uid 204,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 205,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 206,0 +shape (Rectangle +uid 207,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 208,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 209,0 +shape (Rectangle +uid 210,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 211,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 212,0 +shape (Rectangle +uid 213,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 214,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 215,0 +shape (Rectangle +uid 216,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 217,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 218,0 +shape (Rectangle +uid 219,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 220,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 221,0 +shape (Rectangle +uid 222,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 223,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 224,0 +shape (Rectangle +uid 225,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 226,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 227,0 +shape (Rectangle +uid 228,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 229,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,52400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 199,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *82 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +uid 97,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*84 (MLText +uid 98,0 +va (VaSet +) +xt "0,1000,18600,5000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "120,35,1419,901" +viewArea "-1091,-1091,75596,50318" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *85 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *86 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,8300,5400,9300" +st "Declarations" +blo "0,9100" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,9300,2700,10300" +st "Ports:" +blo "0,10100" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,14800,2500,15700" +st "User:" +blo "0,15500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,8300,5800,9300" +st "Internal User:" +blo "0,9100" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15700,2000,15700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,8300,32000,8300" +tm "SyDeclarativeTextMgr" +) +) +lastUid 298,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/@d@f@f_pre/symbol.sb b/Libs/Sequential/hds/@d@f@f_pre/symbol.sb new file mode 100644 index 0000000..a846bb8 --- /dev/null +++ b/Libs/Sequential/hds/@d@f@f_pre/symbol.sb @@ -0,0 +1,1589 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 159,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +suid 1,0 +) +) +uid 160,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +uid 161,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "PRE" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 162,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 163,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 164,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 101,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 104,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 106,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 108,0 +) +*23 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 127,0 +) +*24 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 128,0 +) +*25 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 129,0 +) +*26 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 130,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 102,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 110,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 114,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 116,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 118,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 120,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 122,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 124,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 126,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 100,0 +vaOverrides [ +] +) +] +) +uid 158,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 166,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 156,0 +) +] +) +pdm (PhysicalDM +uid 167,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 132,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 135,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 137,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 139,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 157,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 133,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 141,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 145,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 147,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 149,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 151,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 153,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 155,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 131,0 +vaOverrides [ +] +) +] +) +uid 165,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@d@f@f_pre/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@d@f@f_pre/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@d@f@f_pre" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/DFF_pre" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "DFF_pre" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:19" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "DFF_pre" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@d@f@f_pre/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/DFF_pre/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_ActelUserPath" +value "$HDS_PROJECT_DIR\\..\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:19" +) +(vvPair +variable "unit" +value "DFF_pre" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*61 (SymbolBody +uid 11,0 +optionalChildren [ +*62 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,16625,33000,17375" +) +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "34000,16500,35000,17500" +st "D" +blo "34000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11200,15500,12100" +st "D : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +suid 1,0 +) +) +) +*63 (CptPort +uid 57,0 +optionalChildren [ +*64 (FFT +pts [ +"33750,21000" +"33000,21375" +"33000,20625" +] +uid 99,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33000,20625,33750,21375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,20625,33000,21375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +) +xt "34000,20600,35900,21600" +st "CLK" +blo "34000,21400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 88,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10300,15500,11200" +st "CLK : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +) +*65 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35625,14250,36375,15000" +) +tg (CPTG +uid 95,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +) +xt "35000,15000,37000,16000" +st "PRE" +ju 2 +blo "37000,15800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 89,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12100,15500,13000" +st "PRE : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "PRE" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*66 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39000,16625,39750,17375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +) +xt "37000,16500,38000,17500" +st "Q" +ju 2 +blo "38000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,14500,13900" +st "Q : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 12,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,15000,39000,23000" +) +showPorts 0 +oxt "15000,10000,21000,19000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "courier,8,1" +) +xt "39600,18700,45100,19600" +st "sequential" +blo "39600,19400" +) +second (Text +uid 15,0 +va (VaSet +font "courier,8,1" +) +xt "39600,19600,43100,20500" +st "DFF_pre" +blo "39600,20300" +) +) +gi *67 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "courier,8,0" +) +xt "40000,23600,50500,26300" +st "Generic Declarations + +delay time gateDelay" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*68 (Grouping +uid 191,0 +optionalChildren [ +*69 (CommentText +uid 193,0 +shape (Rectangle +uid 194,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 195,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 196,0 +shape (Rectangle +uid 197,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 198,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 199,0 +shape (Rectangle +uid 200,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 201,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 202,0 +shape (Rectangle +uid 203,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 204,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 205,0 +shape (Rectangle +uid 206,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 207,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 208,0 +shape (Rectangle +uid 209,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 210,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 211,0 +shape (Rectangle +uid 212,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 213,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 214,0 +shape (Rectangle +uid 215,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 216,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 217,0 +shape (Rectangle +uid 218,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 219,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 220,0 +shape (Rectangle +uid 221,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 222,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 192,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *79 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +uid 97,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*81 (MLText +uid 98,0 +va (VaSet +) +xt "0,1000,18600,5000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "65,42,1361,900" +viewArea "-1000,-1000,76544,50552" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *82 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *83 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,8300,5400,9300" +st "Declarations" +blo "0,9100" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,9300,2700,10300" +st "Ports:" +blo "0,10100" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,13900,2500,14800" +st "User:" +blo "0,14600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,8300,5800,9300" +st "Internal User:" +blo "0,9100" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14800,2000,14800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,8300,32000,8300" +tm "SyDeclarativeTextMgr" +) +) +lastUid 360,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/@s@r@a@m/struct.bd b/Libs/Sequential/hds/@s@r@a@m/struct.bd new file mode 100644 index 0000000..83a611a --- /dev/null +++ b/Libs/Sequential/hds/@s@r@a@m/struct.bd @@ -0,0 +1,3031 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I0" +duLibraryName "virtexPrimitives" +duName "RAMnXmD" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +(GiElement +name "addressNbBits" +type "positive" +value "addressNbBits" +) +(GiElement +name "dataNbBits" +type "positive" +value "dataNbBits" +) +] +mwi 0 +uid 151,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb5" +number "5" +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@s@r@a@m/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@s@r@a@m/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@s@r@a@m" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/SRAM" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "SRAM" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:19" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "SRAM" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@s@r@a@m/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/SRAM/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:46:19" +) +(vvPair +variable "unit" +value "SRAM" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 41,0 +optionalChildren [ +*1 (PortIoIn +uid 53,0 +shape (CompositeShape +uid 294,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 295,0 +sl 0 +ro 270 +xt "-2000,2625,-500,3375" +) +(Line +uid 296,0 +sl 0 +ro 270 +xt "-500,3000,0,3000" +pts [ +"-500,3000" +"0,3000" +] +) +] +) +tg (WTG +uid 297,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 298,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "-31900,2300,-3000,3700" +st "addressIn : (addressNbBits-1 DOWNTO 0)" +ju 2 +blo "-3000,3500" +tm "WireNameMgr" +) +s (Text +uid 299,0 +va (VaSet +font "courier,12,0" +) +xt "-31900,3700,-31900,3700" +ju 2 +blo "-31900,3700" +tm "SignalTypeMgr" +) +) +) +*2 (Net +uid 65,0 +decl (Decl +n "addressIn" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 1 +suid 1,0 +) +declText (MLText +uid 66,0 +va (VaSet +font "courier,9,0" +) +xt "-45000,2800,-20500,3700" +st "addressIn : unsigned(addressNbBits-1 DOWNTO 0)" +) +) +*3 (PortIoIn +uid 67,0 +shape (CompositeShape +uid 300,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 301,0 +sl 0 +ro 270 +xt "-2000,10625,-500,11375" +) +(Line +uid 302,0 +sl 0 +ro 270 +xt "-500,11000,0,11000" +pts [ +"-500,11000" +"0,11000" +] +) +] +) +tg (WTG +uid 303,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 304,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "-6800,10300,-3000,11700" +st "clock" +ju 2 +blo "-3000,11500" +tm "WireNameMgr" +) +s (Text +uid 305,0 +va (VaSet +font "courier,12,0" +) +xt "-6800,11700,-6800,11700" +ju 2 +blo "-6800,11700" +tm "SignalTypeMgr" +) +) +) +*4 (Net +uid 79,0 +decl (Decl +n "clock" +t "std_uLogic" +o 2 +suid 2,0 +) +declText (MLText +uid 80,0 +va (VaSet +font "courier,9,0" +) +xt "-45000,4000,-32500,4900" +st "clock : std_uLogic" +) +) +*5 (PortIoIn +uid 81,0 +shape (CompositeShape +uid 306,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 307,0 +sl 0 +ro 270 +xt "-2000,625,-500,1375" +) +(Line +uid 308,0 +sl 0 +ro 270 +xt "-500,1000,0,1000" +pts [ +"-500,1000" +"0,1000" +] +) +] +) +tg (WTG +uid 309,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 310,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "-27500,300,-3000,1700" +st "dataIn : (dataNbBits-1 DOWNTO 0)" +ju 2 +blo "-3000,1500" +tm "WireNameMgr" +) +s (Text +uid 311,0 +va (VaSet +font "courier,12,0" +) +xt "-27500,1700,-27500,1700" +ju 2 +blo "-27500,1700" +tm "SignalTypeMgr" +) +) +) +*6 (Net +uid 93,0 +decl (Decl +n "dataIn" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 3 +suid 3,0 +) +declText (MLText +uid 94,0 +va (VaSet +font "courier,9,0" +) +xt "-45000,5200,-23000,6100" +st "dataIn : signed(dataNbBits-1 DOWNTO 0)" +) +) +*7 (PortIoOut +uid 95,0 +shape (CompositeShape +uid 312,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 313,0 +sl 0 +ro 270 +xt "32500,2625,34000,3375" +) +(Line +uid 314,0 +sl 0 +ro 270 +xt "32000,3000,32500,3000" +pts [ +"32000,3000" +"32500,3000" +] +) +] +) +tg (WTG +uid 315,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 316,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "35000,2300,60500,3700" +st "dataOut : (dataNbBits-1 DOWNTO 0)" +blo "35000,3500" +tm "WireNameMgr" +) +s (Text +uid 317,0 +va (VaSet +font "courier,12,0" +) +xt "35000,3700,35000,3700" +blo "35000,3700" +tm "SignalTypeMgr" +) +) +) +*8 (Net +uid 107,0 +decl (Decl +n "dataOut" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 5 +suid 4,0 +) +declText (MLText +uid 108,0 +va (VaSet +font "courier,9,0" +) +xt "-45000,7600,-23000,8500" +st "dataOut : signed(dataNbBits-1 DOWNTO 0)" +) +) +*9 (PortIoIn +uid 109,0 +shape (CompositeShape +uid 318,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 319,0 +sl 0 +ro 270 +xt "-2000,8625,-500,9375" +) +(Line +uid 320,0 +sl 0 +ro 270 +xt "-500,9000,0,9000" +pts [ +"-500,9000" +"0,9000" +] +) +] +) +tg (WTG +uid 321,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 322,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "-12100,8300,-3000,9700" +st "writeEnable" +ju 2 +blo "-3000,9500" +tm "WireNameMgr" +) +s (Text +uid 323,0 +va (VaSet +font "courier,12,0" +) +xt "-12100,9700,-12100,9700" +ju 2 +blo "-12100,9700" +tm "SignalTypeMgr" +) +) +) +*10 (Net +uid 121,0 +decl (Decl +n "writeEnable" +t "std_uLogic" +o 4 +suid 5,0 +) +declText (MLText +uid 122,0 +va (VaSet +font "courier,9,0" +) +xt "-45000,6400,-32500,7300" +st "writeEnable : std_uLogic" +) +) +*11 (SaComponent +uid 151,0 +optionalChildren [ +*12 (CptPort +uid 123,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 124,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,2625,24750,3375" +) +tg (CPTG +uid 125,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 126,0 +va (VaSet +font "courier,12,0" +) +xt "19600,2300,23000,3700" +st "SPO" +ju 2 +blo "23000,3500" +) +s (Text +uid 160,0 +va (VaSet +font "courier,12,0" +) +xt "23000,3700,23000,3700" +ju 2 +blo "0,-200" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SPO" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 6 +) +) +) +*13 (CptPort +uid 127,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 128,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,10625,8000,11375" +) +tg (CPTG +uid 129,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 130,0 +va (VaSet +font "courier,12,0" +) +xt "9000,10300,13500,11700" +st "WCLK" +blo "9000,11500" +) +s (Text +uid 161,0 +va (VaSet +font "courier,12,0" +) +xt "9000,11700,9000,11700" +blo "0,-200" +) +) +thePort (LogicalPort +decl (Decl +n "WCLK" +t "std_uLogic" +o 1 +) +) +) +*14 (CptPort +uid 131,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 132,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,625,8000,1375" +) +tg (CPTG +uid 133,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 134,0 +va (VaSet +font "courier,12,0" +) +xt "9000,300,10700,1700" +st "D" +blo "9000,1500" +) +s (Text +uid 162,0 +va (VaSet +font "courier,12,0" +) +xt "9000,1700,9000,1700" +blo "0,-200" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 2 +) +) +) +*15 (CptPort +uid 135,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 136,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,4625,24750,5375" +) +tg (CPTG +uid 137,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 138,0 +va (VaSet +font "courier,12,0" +) +xt "19500,4300,23000,5700" +st "DPO" +ju 2 +blo "23000,5500" +) +s (Text +uid 163,0 +va (VaSet +font "courier,12,0" +) +xt "23000,5700,23000,5700" +ju 2 +blo "0,-200" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "DPO" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 7 +) +) +) +*16 (CptPort +uid 139,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 140,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,8625,8000,9375" +) +tg (CPTG +uid 141,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 142,0 +va (VaSet +font "courier,12,0" +) +xt "9000,8300,11900,9700" +st "WE" +blo "9000,9500" +) +s (Text +uid 164,0 +va (VaSet +font "courier,12,0" +) +xt "9000,9700,9000,9700" +blo "0,-200" +) +) +thePort (LogicalPort +decl (Decl +n "WE" +t "std_uLogic" +o 5 +) +) +) +*17 (CptPort +uid 143,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 144,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,2625,8000,3375" +) +tg (CPTG +uid 145,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 146,0 +va (VaSet +font "courier,12,0" +) +xt "9000,2300,10600,3700" +st "A" +blo "9000,3500" +) +s (Text +uid 165,0 +va (VaSet +font "courier,12,0" +) +xt "9000,3700,9000,3700" +blo "0,-200" +) +) +thePort (LogicalPort +decl (Decl +n "A" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 3 +) +) +) +*18 (CptPort +uid 147,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 148,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,4625,8000,5375" +) +tg (CPTG +uid 149,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 150,0 +va (VaSet +font "courier,12,0" +) +xt "9000,4300,13100,5700" +st "DPRA" +blo "9000,5500" +) +s (Text +uid 166,0 +va (VaSet +font "courier,12,0" +) +xt "9000,5700,9000,5700" +blo "0,-200" +) +) +thePort (LogicalPort +decl (Decl +n "DPRA" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 4 +) +) +) +] +shape (Rectangle +uid 152,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "8000,-3000,24000,13000" +) +ttg (MlTextGroup +uid 153,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*19 (Text +uid 154,0 +va (VaSet +bg "0,0,0" +) +xt "7910,13700,13710,14700" +st "virtexPrimitives" +blo "7910,14500" +tm "BdLibraryNameMgr" +) +*20 (Text +uid 155,0 +va (VaSet +bg "0,0,0" +) +xt "7910,14700,12210,15700" +st "RAMnXmD" +blo "7910,15500" +tm "CptNameMgr" +) +*21 (Text +uid 156,0 +va (VaSet +bg "0,0,0" +) +xt "7910,15700,8910,16700" +st "I0" +blo "7910,16500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 157,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 158,0 +text (MLText +uid 159,0 +va (VaSet +) +xt "8000,18000,36200,21000" +st "delay = 1 ns ( time ) +addressNbBits = addressNbBits ( positive ) +dataNbBits = dataNbBits ( positive ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +(GiElement +name "addressNbBits" +type "positive" +value "addressNbBits" +) +(GiElement +name "dataNbBits" +type "positive" +value "dataNbBits" +) +] +) +portVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +sIVOD 1 +) +archFileType "UNKNOWN" +) +*22 (Net +uid 195,0 +decl (Decl +n "DPRA" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 6 +suid 6,0 +) +declText (MLText +uid 196,0 +va (VaSet +font "courier,9,0" +) +xt "-45000,10000,-17000,10900" +st "SIGNAL DPRA : unsigned(addressNbBits-1 DOWNTO 0)" +) +) +*23 (HdlText +uid 205,0 +optionalChildren [ +*24 (EmbeddedText +uid 210,0 +commentText (CommentText +uid 211,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 212,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-15000,18000,-1000,20000" +) +oxt "0,0,18000,5000" +text (MLText +uid 213,0 +va (VaSet +font "courier,9,0" +) +xt "-14800,18200,-2100,19100" +st " +DPRA <= (others => '-'); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 14000 +) +) +) +] +shape (Rectangle +uid 206,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-16000,17000,0,21000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 207,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*25 (Text +uid 208,0 +va (VaSet +) +xt "-15950,20700,-14350,21700" +st "eb5" +blo "-15950,21500" +tm "HdlTextNameMgr" +) +*26 (Text +uid 209,0 +va (VaSet +) +xt "-15950,21700,-15150,22700" +st "5" +blo "-15950,22500" +tm "HdlTextNumberMgr" +) +] +) +) +*27 (Wire +uid 57,0 +shape (OrthoPolyLine +uid 58,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "0,3000,7250,3000" +pts [ +"0,3000" +"7250,3000" +] +) +start &1 +end &17 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 61,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "0,1600,7200,3000" +st "addressIn" +blo "0,2800" +tm "WireNameMgr" +) +) +on &2 +) +*28 (Wire +uid 71,0 +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +) +xt "0,11000,7250,11000" +pts [ +"0,11000" +"7250,11000" +] +) +start &3 +end &13 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "0,9600,3800,11000" +st "clock" +blo "0,10800" +tm "WireNameMgr" +) +) +on &4 +) +*29 (Wire +uid 85,0 +shape (OrthoPolyLine +uid 86,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "0,1000,7250,1000" +pts [ +"0,1000" +"7250,1000" +] +) +start &5 +end &14 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 89,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 90,0 +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "0,-400,5000,1000" +st "dataIn" +blo "0,800" +tm "WireNameMgr" +) +) +on &6 +) +*30 (Wire +uid 99,0 +shape (OrthoPolyLine +uid 100,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "24750,3000,32000,3000" +pts [ +"24750,3000" +"32000,3000" +] +) +start &12 +end &7 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 103,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 104,0 +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "27000,1600,33000,3000" +st "dataOut" +blo "27000,2800" +tm "WireNameMgr" +) +) +on &8 +) +*31 (Wire +uid 113,0 +shape (OrthoPolyLine +uid 114,0 +va (VaSet +vasetType 3 +) +xt "0,9000,7250,9000" +pts [ +"0,9000" +"7250,9000" +] +) +start &9 +end &16 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 117,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 118,0 +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "0,7600,9100,9000" +st "writeEnable" +blo "0,8800" +tm "WireNameMgr" +) +) +on &10 +) +*32 (Wire +uid 197,0 +shape (OrthoPolyLine +uid 198,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "4000,5000,7250,5000" +pts [ +"7250,5000" +"4000,5000" +] +) +start &18 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 201,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 202,0 +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "3250,3600,7350,5000" +st "DPRA" +blo "3250,4800" +tm "WireNameMgr" +) +) +on &22 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *33 (PackageList +uid 42,0 +stg "VerticalLayoutStrategy" +textVec [ +*34 (Text +uid 43,0 +va (VaSet +font "courier,12,0" +) +xt "-47000,-7000,-37500,-5600" +st "Package List" +blo "-47000,-5800" +) +*35 (MLText +uid 44,0 +va (VaSet +) +xt "-47000,-5600,-28400,-2600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 45,0 +stg "VerticalLayoutStrategy" +textVec [ +*36 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "courier,12,1" +) +xt "20000,0,34800,1400" +st "Compiler Directives" +blo "20000,1200" +) +*37 (Text +uid 47,0 +va (VaSet +isHidden 1 +font "courier,12,1" +) +xt "20000,1600,37800,3000" +st "Pre-module directives:" +blo "20000,2800" +) +*38 (MLText +uid 48,0 +va (VaSet +isHidden 1 +) +xt "20000,3200,32000,5200" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*39 (Text +uid 49,0 +va (VaSet +isHidden 1 +font "courier,12,1" +) +xt "20000,5800,38400,7200" +st "Post-module directives:" +blo "20000,7000" +) +*40 (MLText +uid 50,0 +va (VaSet +isHidden 1 +) +xt "20000,7400,20000,7400" +tm "BdCompilerDirectivesTextMgr" +) +*41 (Text +uid 51,0 +va (VaSet +isHidden 1 +font "courier,12,1" +) +xt "20000,7600,37900,9000" +st "End-module directives:" +blo "20000,8800" +) +*42 (MLText +uid 52,0 +va (VaSet +isHidden 1 +) +xt "20000,1400,20000,1400" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "29,35,953,614" +viewArea "-48346,-10777,38712,27096" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +lastUid 381,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +bg "65535,0,0" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +bg "0,0,0" +font "courier,10,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +bg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*43 (Text +va (VaSet +bg "0,0,0" +) +xt "1700,3000,5200,4000" +st "" +blo "1700,3800" +tm "BdLibraryNameMgr" +) +*44 (Text +va (VaSet +bg "0,0,0" +) +xt "1700,4000,4800,5000" +st "" +blo "1700,4800" +tm "BlkNameMgr" +) +*45 (Text +va (VaSet +bg "0,0,0" +) +xt "1700,5000,2700,6000" +st "I0" +blo "1700,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +bg "0,0,0" +) +xt "1700,13000,1700,13000" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*46 (Text +va (VaSet +bg "0,0,0" +) +xt "-100,3000,2600,4000" +st "Library" +blo "-100,3800" +) +*47 (Text +va (VaSet +bg "0,0,0" +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*48 (Text +va (VaSet +bg "0,0,0" +) +xt "-100,5000,900,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +bg "0,0,0" +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +) +xt "-350,0,8350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*49 (Text +va (VaSet +bg "0,0,0" +) +xt "150,3000,2850,4000" +st "Library" +blo "150,3800" +tm "BdLibraryNameMgr" +) +*50 (Text +va (VaSet +bg "0,0,0" +) +xt "150,4000,5650,5000" +st "SaComponent" +blo "150,4800" +tm "CptNameMgr" +) +*51 (Text +va (VaSet +bg "0,0,0" +) +xt "150,5000,1150,6000" +st "I0" +blo "150,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +bg "0,0,0" +) +xt "-6850,1000,-6850,1000" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*52 (Text +va (VaSet +bg "0,0,0" +) +xt "-350,3000,2350,4000" +st "Library" +blo "-350,3800" +) +*53 (Text +va (VaSet +bg "0,0,0" +) +xt "-350,4000,5750,5000" +st "VhdlComponent" +blo "-350,4800" +) +*54 (Text +va (VaSet +bg "0,0,0" +) +xt "-350,5000,650,6000" +st "I0" +blo "-350,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +bg "0,0,0" +) +xt "-7350,1000,-7350,1000" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +) +xt "-1500,0,9500,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*55 (Text +va (VaSet +bg "0,0,0" +) +xt "-1000,3000,1700,4000" +st "Library" +blo "-1000,3800" +) +*56 (Text +va (VaSet +bg "0,0,0" +) +xt "-1000,4000,6100,5000" +st "VerilogComponent" +blo "-1000,4800" +) +*57 (Text +va (VaSet +bg "0,0,0" +) +xt "-1000,5000,0,6000" +st "I0" +blo "-1000,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +bg "0,0,0" +) +xt "-8000,1000,-8000,1000" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +bg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*58 (Text +va (VaSet +bg "0,0,0" +) +xt "3050,3700,4650,4700" +st "eb1" +blo "3050,4500" +tm "HdlTextNameMgr" +) +*59 (Text +va (VaSet +bg "0,0,0" +) +xt "3050,4700,3850,5700" +st "1" +blo "3050,5500" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +bg "0,0,0" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +bg "0,0,0" +) +xt "-400,-600,600,400" +st "G" +blo "-400,200" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "-3000,-500,-3000,-500" +ju 2 +blo "-3000,-500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "-3000,-500,-3000,-500" +ju 2 +blo "-3000,-500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "0,0,3400,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "0,0,4700,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +bg "0,0,0" +) +xt "0,0,3000,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +bg "0,0,0" +) +xt "0,1000,1200,2000" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +bg "0,0,0" +) +xt "0,0,5400,1000" +st "Auto list" +) +second (MLText +va (VaSet +bg "0,0,0" +) +xt "0,1000,10800,2000" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +bg "0,0,0" +) +xt "0,-1300,17400,-300" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1150,1650" +) +num (Text +va (VaSet +bg "0,0,0" +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +va (VaSet +bg "0,0,0" +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*61 (MLText +va (VaSet +bg "0,0,0" +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +bg "0,0,0" +) +xt "0,-1300,10800,-300" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1150,1650" +) +num (Text +va (VaSet +bg "0,0,0" +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*62 (Text +va (VaSet +bg "0,0,0" +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*63 (MLText +va (VaSet +bg "0,0,0" +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "0,750,3400,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "0,750,3400,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,9,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-47000,400,-38400,1600" +st "Declarations" +blo "-47000,1400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "-47000,1600,-42800,2800" +st "Ports:" +blo "-47000,2600" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-47000,400,-41000,1600" +st "Pre User:" +blo "-47000,1400" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-47000,400,-47000,400" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,10,1" +) +xt "-47000,8800,-36000,10000" +st "Diagram Signals:" +blo "-47000,9800" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-47000,400,-39700,1600" +st "Post User:" +blo "-47000,1400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-47000,400,-47000,400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 6,0 +usingSuid 1 +emptyRow *64 (LEmptyRow +) +uid 239,0 +optionalChildren [ +*65 (RefLabelRowHdr +) +*66 (TitleRowHdr +) +*67 (FilterRowHdr +) +*68 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*69 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*70 (GroupColHdr +tm "GroupColHdrMgr" +) +*71 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*72 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*73 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*74 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*75 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*76 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*77 (LeafLogPort +port (LogicalPort +decl (Decl +n "addressIn" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 226,0 +) +*78 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 228,0 +) +*79 (LeafLogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +uid 230,0 +) +*80 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 5 +suid 4,0 +) +) +uid 232,0 +) +*81 (LeafLogPort +port (LogicalPort +decl (Decl +n "writeEnable" +t "std_uLogic" +o 4 +suid 5,0 +) +) +uid 234,0 +) +*82 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "DPRA" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +uid 236,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 252,0 +optionalChildren [ +*83 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *84 (MRCItem +litem &64 +pos 3 +dimension 20 +) +uid 254,0 +optionalChildren [ +*85 (MRCItem +litem &65 +pos 0 +dimension 20 +uid 255,0 +) +*86 (MRCItem +litem &66 +pos 1 +dimension 23 +uid 256,0 +) +*87 (MRCItem +litem &67 +pos 2 +hidden 1 +dimension 20 +uid 257,0 +) +*88 (MRCItem +litem &77 +pos 0 +dimension 20 +uid 227,0 +) +*89 (MRCItem +litem &78 +pos 1 +dimension 20 +uid 229,0 +) +*90 (MRCItem +litem &79 +pos 2 +dimension 20 +uid 231,0 +) +*91 (MRCItem +litem &80 +pos 3 +dimension 20 +uid 233,0 +) +*92 (MRCItem +litem &81 +pos 4 +dimension 20 +uid 235,0 +) +*93 (MRCItem +litem &82 +pos 5 +dimension 20 +uid 237,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 258,0 +optionalChildren [ +*94 (MRCItem +litem &68 +pos 0 +dimension 20 +uid 259,0 +) +*95 (MRCItem +litem &70 +pos 1 +dimension 50 +uid 260,0 +) +*96 (MRCItem +litem &71 +pos 2 +dimension 100 +uid 261,0 +) +*97 (MRCItem +litem &72 +pos 3 +dimension 50 +uid 262,0 +) +*98 (MRCItem +litem &73 +pos 4 +dimension 100 +uid 263,0 +) +*99 (MRCItem +litem &74 +pos 5 +dimension 100 +uid 264,0 +) +*100 (MRCItem +litem &75 +pos 6 +dimension 50 +uid 265,0 +) +*101 (MRCItem +litem &76 +pos 7 +dimension 80 +uid 266,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 253,0 +vaOverrides [ +] +) +] +) +uid 238,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *102 (LEmptyRow +) +uid 268,0 +optionalChildren [ +*103 (RefLabelRowHdr +) +*104 (TitleRowHdr +) +*105 (FilterRowHdr +) +*106 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*107 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*108 (GroupColHdr +tm "GroupColHdrMgr" +) +*109 (NameColHdr +tm "GenericNameColHdrMgr" +) +*110 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*111 (InitColHdr +tm "GenericValueColHdrMgr" +) +*112 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*113 (EolColHdr +tm "GenericEolColHdrMgr" +) +*114 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 327,0 +) +*115 (LogGeneric +generic (GiElement +name "addressNbBits" +type "positive" +value "4" +) +uid 329,0 +) +*116 (LogGeneric +generic (GiElement +name "dataNbBits" +type "positive" +value "8" +) +uid 331,0 +) +] +) +pdm (PhysicalDM +uid 280,0 +optionalChildren [ +*117 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *118 (MRCItem +litem &102 +pos 3 +dimension 20 +) +uid 282,0 +optionalChildren [ +*119 (MRCItem +litem &103 +pos 0 +dimension 20 +uid 283,0 +) +*120 (MRCItem +litem &104 +pos 1 +dimension 23 +uid 284,0 +) +*121 (MRCItem +litem &105 +pos 2 +hidden 1 +dimension 20 +uid 285,0 +) +*122 (MRCItem +litem &114 +pos 0 +dimension 20 +uid 326,0 +) +*123 (MRCItem +litem &115 +pos 1 +dimension 20 +uid 328,0 +) +*124 (MRCItem +litem &116 +pos 2 +dimension 20 +uid 330,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 286,0 +optionalChildren [ +*125 (MRCItem +litem &106 +pos 0 +dimension 20 +uid 287,0 +) +*126 (MRCItem +litem &108 +pos 1 +dimension 50 +uid 288,0 +) +*127 (MRCItem +litem &109 +pos 2 +dimension 100 +uid 289,0 +) +*128 (MRCItem +litem &110 +pos 3 +dimension 100 +uid 290,0 +) +*129 (MRCItem +litem &111 +pos 4 +dimension 50 +uid 291,0 +) +*130 (MRCItem +litem &112 +pos 5 +dimension 50 +uid 292,0 +) +*131 (MRCItem +litem &113 +pos 6 +dimension 80 +uid 293,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 281,0 +vaOverrides [ +] +) +] +) +uid 267,0 +type 1 +) +) diff --git a/Libs/Sequential/hds/@s@r@a@m/symbol.sb b/Libs/Sequential/hds/@s@r@a@m/symbol.sb new file mode 100644 index 0000000..4667b34 --- /dev/null +++ b/Libs/Sequential/hds/@s@r@a@m/symbol.sb @@ -0,0 +1,1342 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +itemName "ALL" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 192,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 5 +suid 3,0 +) +) +uid 193,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "addressIn" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 1 +suid 5,0 +) +) +uid 194,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_uLogic" +o 2 +suid 1,0 +) +) +uid 195,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 3 +suid 2,0 +) +) +uid 196,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "writeEnable" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 197,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 198,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 129,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 132,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 134,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 136,0 +) +*24 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 155,0 +) +*25 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 156,0 +) +*26 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 157,0 +) +*27 (MRCItem +litem &5 +pos 2 +dimension 20 +uid 158,0 +) +*28 (MRCItem +litem &6 +pos 4 +dimension 20 +uid 159,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 130,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 138,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 142,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 144,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 146,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 148,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 150,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 152,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 154,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 128,0 +vaOverrides [ +] +) +] +) +uid 191,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 200,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 185,0 +) +*50 (LogGeneric +generic (GiElement +name "addressNbBits" +type "positive" +value "4" +) +uid 186,0 +) +*51 (LogGeneric +generic (GiElement +name "dataNbBits" +type "positive" +value "8" +) +uid 187,0 +) +] +) +pdm (PhysicalDM +uid 201,0 +optionalChildren [ +*52 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *53 (MRCItem +litem &37 +pos 3 +dimension 20 +) +uid 161,0 +optionalChildren [ +*54 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 164,0 +) +*55 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 166,0 +) +*56 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 168,0 +) +*57 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 188,0 +) +*58 (MRCItem +litem &50 +pos 1 +dimension 20 +uid 189,0 +) +*59 (MRCItem +litem &51 +pos 2 +dimension 20 +uid 190,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 162,0 +optionalChildren [ +*60 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 170,0 +) +*61 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 174,0 +) +*62 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 176,0 +) +*63 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 178,0 +) +*64 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 180,0 +) +*65 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 182,0 +) +*66 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 184,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 160,0 +vaOverrides [ +] +) +] +) +uid 199,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@s@r@a@m/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@s@r@a@m/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@s@r@a@m" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/SRAM" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "SRAM" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:18" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "SRAM" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@s@r@a@m/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/SRAM/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:18" +) +(vvPair +variable "unit" +value "SRAM" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*67 (SymbolBody +uid 8,0 +optionalChildren [ +*68 (CptPort +uid 68,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 69,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,9625,42000,10375" +) +tg (CPTG +uid 70,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 71,0 +va (VaSet +font "courier,12,0" +) +xt "43000,9300,46800,10700" +st "clock" +blo "43000,10500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 72,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10300,19500,11200" +st "clock : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_uLogic" +o 2 +suid 1,0 +) +) +) +*69 (CptPort +uid 78,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 85,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,3625,42000,4375" +) +tg (CPTG +uid 80,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 81,0 +va (VaSet +font "courier,12,0" +) +xt "43000,3300,48000,4700" +st "dataIn" +blo "43000,4500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 82,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11200,29000,12100" +st "dataIn : IN signed (dataNbBits-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 3 +suid 2,0 +) +) +) +*70 (CptPort +uid 90,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 91,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,3625,58750,4375" +) +tg (CPTG +uid 92,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 93,0 +va (VaSet +font "courier,12,0" +) +xt "51000,3300,57000,4700" +st "dataOut" +ju 2 +blo "57000,4500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 95,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,28000,13900" +st "dataOut : OUT signed (dataNbBits-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 5 +suid 3,0 +) +) +) +*71 (CptPort +uid 96,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 97,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,7625,42000,8375" +) +tg (CPTG +uid 98,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 99,0 +va (VaSet +font "courier,12,0" +) +xt "43000,7300,52100,8700" +st "writeEnable" +blo "43000,8500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 101,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12100,19500,13000" +st "writeEnable : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "writeEnable" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +*72 (CptPort +uid 114,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 115,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,1625,42000,2375" +) +tg (CPTG +uid 116,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 117,0 +va (VaSet +font "courier,12,0" +) +xt "43000,1300,50200,2700" +st "addressIn" +blo "43000,2500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 119,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9400,31500,10300" +st "addressIn : IN unsigned (addressNbBits-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "addressIn" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 1 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 67,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "42000,-2000,58000,12000" +) +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "41910,12700,48510,13700" +st "sequential" +blo "41910,13500" +) +second (Text +uid 12,0 +va (VaSet +) +xt "41910,13700,44310,14700" +st "SRAM" +blo "41910,14500" +) +) +gi *73 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +) +xt "42000,17000,63000,22000" +st "Generic Declarations + +delay time gateDelay +addressNbBits positive 4 +dataNbBits positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "addressNbBits" +type "positive" +value "4" +) +(GiElement +name "dataNbBits" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *74 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 126,0 +va (VaSet +font "courier,10,1" +) +xt "0,-2500,7600,-1300" +st "Package List" +blo "0,-1500" +) +*76 (MLText +uid 127,0 +va (VaSet +) +xt "0,-1300,18600,3700" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.ALL;" +tm "PackageList" +) +] +) +windowSize "13,12,1004,738" +viewArea "-900,-7900,60903,36245" +cachedDiagramExtent "0,-2500,64200,23000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-3000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "1000,1000,4500,2200" +st "Panel0" +blo "1000,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "26910,24700,30410,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *77 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *78 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,7000,8600,8200" +st "Declarations" +blo "0,8000" +) +portLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,8200,4200,9400" +st "Ports:" +blo "0,9200" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,13900,3000,14900" +st "User:" +blo "0,14700" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,15100,9400,16300" +st "Internal User:" +blo "0,16100" +) +externalText (MLText +uid 3,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14900,2000,14900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,16800,2000,16800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 270,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/@t@f@f/symbol.sb b/Libs/Sequential/hds/@t@f@f/symbol.sb new file mode 100644 index 0000000..a38a377 --- /dev/null +++ b/Libs/Sequential/hds/@t@f@f/symbol.sb @@ -0,0 +1,1575 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 159,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "T" +t "std_uLogic" +o 3 +suid 1,0 +) +) +uid 160,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +uid 161,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 162,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 163,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 164,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 101,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 104,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 106,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 108,0 +) +*23 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 127,0 +) +*24 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 128,0 +) +*25 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 129,0 +) +*26 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 130,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 102,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 110,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 114,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 116,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 118,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 120,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 122,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 124,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 126,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 100,0 +vaOverrides [ +] +) +] +) +uid 158,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 166,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 156,0 +) +] +) +pdm (PhysicalDM +uid 167,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 132,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 135,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 137,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 139,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 157,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 133,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 141,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 145,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 147,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 149,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 151,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 153,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 155,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 131,0 +vaOverrides [ +] +) +] +) +uid 165,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@t@f@f/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@t@f@f/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@t@f@f" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/TFF" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "TFF" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:18" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "TFF" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@t@f@f/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/TFF/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_ActelUserPath" +value "$HDS_PROJECT_DIR\\..\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:18" +) +(vvPair +variable "unit" +value "TFF" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*61 (SymbolBody +uid 11,0 +optionalChildren [ +*62 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,16625,33000,17375" +) +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "34000,16500,34900,17500" +st "T" +blo "34000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12100,15500,13000" +st "T : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "T" +t "std_uLogic" +o 3 +suid 1,0 +) +) +) +*63 (CptPort +uid 57,0 +optionalChildren [ +*64 (FFT +pts [ +"33750,21000" +"33000,21375" +"33000,20625" +] +uid 99,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33000,20625,33750,21375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,20625,33000,21375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +) +xt "34000,20600,35900,21600" +st "CLK" +blo "34000,21400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 88,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10300,15500,11200" +st "CLK : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +) +*65 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35625,23000,36375,23750" +) +tg (CPTG +uid 95,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +) +xt "35000,22000,37000,23000" +st "CLR" +blo "35000,22800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 89,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11200,15500,12100" +st "CLR : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*66 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39000,16625,39750,17375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +) +xt "37000,16500,38000,17500" +st "Q" +ju 2 +blo "38000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,14500,13900" +st "Q : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 12,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,15000,39000,23000" +) +showPorts 0 +oxt "15000,10000,21000,19000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "courier,8,1" +) +xt "39600,18700,45100,19600" +st "sequential" +blo "39600,19400" +) +second (Text +uid 15,0 +va (VaSet +font "courier,8,1" +) +xt "39600,19600,41100,20500" +st "TFF" +blo "39600,20300" +) +) +gi *67 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "courier,8,0" +) +xt "40000,23600,51500,26300" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*68 (Grouping +uid 191,0 +optionalChildren [ +*69 (CommentText +uid 193,0 +shape (Rectangle +uid 194,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 195,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,51200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 196,0 +shape (Rectangle +uid 197,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 198,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 199,0 +shape (Rectangle +uid 200,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 201,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 202,0 +shape (Rectangle +uid 203,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 204,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 205,0 +shape (Rectangle +uid 206,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 207,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 208,0 +shape (Rectangle +uid 209,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 210,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 211,0 +shape (Rectangle +uid 212,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 213,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 214,0 +shape (Rectangle +uid 215,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 216,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 217,0 +shape (Rectangle +uid 218,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 219,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 220,0 +shape (Rectangle +uid 221,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 222,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,49400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 192,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *79 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +uid 97,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*81 (MLText +uid 98,0 +va (VaSet +) +xt "0,1000,18600,5000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "175,38,1427,889" +viewArea "-1066,-1066,74623,50883" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *82 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *83 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,8300,5400,9300" +st "Declarations" +blo "0,9100" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,9300,2700,10300" +st "Ports:" +blo "0,10100" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,13900,2500,14800" +st "User:" +blo "0,14600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,8300,5800,9300" +st "Internal User:" +blo "0,9100" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14800,2000,14800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,8300,32000,8300" +tm "SyDeclarativeTextMgr" +) +) +lastUid 314,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/@t@f@f_pre/symbol.sb b/Libs/Sequential/hds/@t@f@f_pre/symbol.sb new file mode 100644 index 0000000..5eabf80 --- /dev/null +++ b/Libs/Sequential/hds/@t@f@f_pre/symbol.sb @@ -0,0 +1,1585 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 159,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "T" +t "std_uLogic" +o 3 +suid 1,0 +) +) +uid 160,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +uid 161,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "PRE" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 162,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 163,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 164,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 101,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 104,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 106,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 108,0 +) +*23 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 127,0 +) +*24 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 128,0 +) +*25 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 129,0 +) +*26 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 130,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 102,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 110,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 114,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 116,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 118,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 120,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 122,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 124,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 126,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 100,0 +vaOverrides [ +] +) +] +) +uid 158,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 166,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 156,0 +) +] +) +pdm (PhysicalDM +uid 167,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 132,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 135,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 137,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 139,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 157,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 133,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 141,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 145,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 147,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 149,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 151,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 153,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 155,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 131,0 +vaOverrides [ +] +) +] +) +uid 165,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@t@f@f_pre/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@t@f@f_pre/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@t@f@f_pre" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/TFF_pre" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "TFF_pre" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:18" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "TFF_pre" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@t@f@f_pre/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/TFF_pre/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_ActelUserPath" +value "$HDS_PROJECT_DIR\\..\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:18" +) +(vvPair +variable "unit" +value "TFF_pre" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*61 (SymbolBody +uid 11,0 +optionalChildren [ +*62 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,16625,33000,17375" +) +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "34000,16500,34900,17500" +st "T" +blo "34000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12100,15500,13000" +st "T : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "T" +t "std_uLogic" +o 3 +suid 1,0 +) +) +) +*63 (CptPort +uid 57,0 +optionalChildren [ +*64 (FFT +pts [ +"33750,21000" +"33000,21375" +"33000,20625" +] +uid 99,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33000,20625,33750,21375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,20625,33000,21375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +) +xt "34000,20600,35900,21600" +st "CLK" +blo "34000,21400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 88,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10300,15500,11200" +st "CLK : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +) +*65 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35625,14250,36375,15000" +) +tg (CPTG +uid 95,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +) +xt "35000,15000,37000,16000" +st "PRE" +ju 2 +blo "37000,15800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 89,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11200,15500,12100" +st "PRE : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "PRE" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*66 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39000,16625,39750,17375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +) +xt "37000,16500,38000,17500" +st "Q" +ju 2 +blo "38000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,14500,13900" +st "Q : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 12,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,15000,39000,23000" +) +showPorts 0 +oxt "15000,10000,21000,19000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "courier,8,1" +) +xt "39600,18700,45100,19600" +st "sequential" +blo "39600,19400" +) +second (Text +uid 15,0 +va (VaSet +font "courier,8,1" +) +xt "39600,19600,43100,20500" +st "TFF_pre" +blo "39600,20300" +) +) +gi *67 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "courier,8,0" +) +xt "40000,23600,51500,26300" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*68 (Grouping +uid 191,0 +optionalChildren [ +*69 (CommentText +uid 193,0 +shape (Rectangle +uid 194,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 195,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,51200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 196,0 +shape (Rectangle +uid 197,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 198,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 199,0 +shape (Rectangle +uid 200,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 201,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 202,0 +shape (Rectangle +uid 203,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 204,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 205,0 +shape (Rectangle +uid 206,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 207,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 208,0 +shape (Rectangle +uid 209,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 210,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 211,0 +shape (Rectangle +uid 212,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 213,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 214,0 +shape (Rectangle +uid 215,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 216,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 217,0 +shape (Rectangle +uid 218,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 219,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 220,0 +shape (Rectangle +uid 221,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 222,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 192,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *79 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +uid 97,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*81 (MLText +uid 98,0 +va (VaSet +) +xt "0,1000,18600,5000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "165,40,1427,896" +viewArea "-1056,-1056,74613,50745" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *82 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *83 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,8300,5400,9300" +st "Declarations" +blo "0,9100" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,9300,2700,10300" +st "Ports:" +blo "0,10100" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,13900,2500,14800" +st "User:" +blo "0,14600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,8300,5800,9300" +st "Internal User:" +blo "0,9100" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14800,2000,14800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,8300,32000,8300" +tm "SyDeclarativeTextMgr" +) +) +lastUid 337,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/_accumulator._epf b/Libs/Sequential/hds/_accumulator._epf new file mode 100644 index 0000000..e53783e --- /dev/null +++ b/Libs/Sequential/hds/_accumulator._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom accumulator_RTL.vhd diff --git a/Libs/Sequential/hds/_counter._epf b/Libs/Sequential/hds/_counter._epf new file mode 100644 index 0000000..2a2a023 --- /dev/null +++ b/Libs/Sequential/hds/_counter._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom counter_RTL.vhd diff --git a/Libs/Sequential/hds/_counterenable._epf b/Libs/Sequential/hds/_counterenable._epf new file mode 100644 index 0000000..46a503a --- /dev/null +++ b/Libs/Sequential/hds/_counterenable._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom counterEnable_RTL.vhd diff --git a/Libs/Sequential/hds/_counterenableresetsync._epf b/Libs/Sequential/hds/_counterenableresetsync._epf new file mode 100644 index 0000000..4ba5845 --- /dev/null +++ b/Libs/Sequential/hds/_counterenableresetsync._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom counterEnableResetSync_RTL.vhd +DEFAULT_ARCHITECTURE atom RTL diff --git a/Libs/Sequential/hds/_counterrestart._epf b/Libs/Sequential/hds/_counterrestart._epf new file mode 100644 index 0000000..3a8d680 --- /dev/null +++ b/Libs/Sequential/hds/_counterrestart._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom counterRestart_RTL.vhd diff --git a/Libs/Sequential/hds/_counterupdown._epf b/Libs/Sequential/hds/_counterupdown._epf new file mode 100644 index 0000000..6b6675d --- /dev/null +++ b/Libs/Sequential/hds/_counterupdown._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom counterUpDown_arch.vhd diff --git a/Libs/Sequential/hds/_counterupdownenable._epf b/Libs/Sequential/hds/_counterupdownenable._epf new file mode 100644 index 0000000..c3e3bc3 --- /dev/null +++ b/Libs/Sequential/hds/_counterupdownenable._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom counterUpDownEnable_RTL.vhd diff --git a/Libs/Sequential/hds/_dff._epf b/Libs/Sequential/hds/_dff._epf new file mode 100644 index 0000000..cab7c98 --- /dev/null +++ b/Libs/Sequential/hds/_dff._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom DFF_sim.vhd diff --git a/Libs/Sequential/hds/_dff_pre._epf b/Libs/Sequential/hds/_dff_pre._epf new file mode 100644 index 0000000..8db4f3e --- /dev/null +++ b/Libs/Sequential/hds/_dff_pre._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom DFF_pre_sim.vhd diff --git a/Libs/Sequential/hds/_dffe._epf b/Libs/Sequential/hds/_dffe._epf new file mode 100644 index 0000000..bbc65d2 --- /dev/null +++ b/Libs/Sequential/hds/_dffe._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom DFFE_sim.vhd diff --git a/Libs/Sequential/hds/_dffe_pre._epf b/Libs/Sequential/hds/_dffe_pre._epf new file mode 100644 index 0000000..6263946 --- /dev/null +++ b/Libs/Sequential/hds/_dffe_pre._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom DFFE_pre_sim.vhd diff --git a/Libs/Sequential/hds/_edgedetector._epf b/Libs/Sequential/hds/_edgedetector._epf new file mode 100644 index 0000000..682c1de --- /dev/null +++ b/Libs/Sequential/hds/_edgedetector._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom edgeDetector_RTL.vhd diff --git a/Libs/Sequential/hds/_freqdivider._epf b/Libs/Sequential/hds/_freqdivider._epf new file mode 100644 index 0000000..2f2bbfc --- /dev/null +++ b/Libs/Sequential/hds/_freqdivider._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom freqDivider_RTL.vhd +DEFAULT_ARCHITECTURE atom RTL diff --git a/Libs/Sequential/hds/_freqdividerenable._epf b/Libs/Sequential/hds/_freqdividerenable._epf new file mode 100644 index 0000000..e470cb5 --- /dev/null +++ b/Libs/Sequential/hds/_freqdividerenable._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom freqDividerEnable_RTL.vhd +DEFAULT_ARCHITECTURE atom RTL diff --git a/Libs/Sequential/hds/_registerfile._epf b/Libs/Sequential/hds/_registerfile._epf new file mode 100644 index 0000000..9b6a10a --- /dev/null +++ b/Libs/Sequential/hds/_registerfile._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom register@file/struct.bd diff --git a/Libs/Sequential/hds/_registerlogicvector._epf b/Libs/Sequential/hds/_registerlogicvector._epf new file mode 100644 index 0000000..421f9ed --- /dev/null +++ b/Libs/Sequential/hds/_registerlogicvector._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom registerLogicVector_sim.vhd diff --git a/Libs/Sequential/hds/_registersigned._epf b/Libs/Sequential/hds/_registersigned._epf new file mode 100644 index 0000000..f3b5d75 --- /dev/null +++ b/Libs/Sequential/hds/_registersigned._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom registerSigned_sim.vhd diff --git a/Libs/Sequential/hds/_registerulogicvector._epf b/Libs/Sequential/hds/_registerulogicvector._epf new file mode 100644 index 0000000..82eb6a9 --- /dev/null +++ b/Libs/Sequential/hds/_registerulogicvector._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom registerULogicVector_sim.vhd diff --git a/Libs/Sequential/hds/_registerulogicvectorto._epf b/Libs/Sequential/hds/_registerulogicvectorto._epf new file mode 100755 index 0000000..afc6121 --- /dev/null +++ b/Libs/Sequential/hds/_registerulogicvectorto._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom registerULogicVectorTo_RTL.vhd +DEFAULT_ARCHITECTURE atom sim diff --git a/Libs/Sequential/hds/_registerunsigned._epf b/Libs/Sequential/hds/_registerunsigned._epf new file mode 100644 index 0000000..40d7571 --- /dev/null +++ b/Libs/Sequential/hds/_registerunsigned._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom registerUnsigned_sim.vhd diff --git a/Libs/Sequential/hds/_reglatch._epf b/Libs/Sequential/hds/_reglatch._epf new file mode 100644 index 0000000..b17f94f --- /dev/null +++ b/Libs/Sequential/hds/_reglatch._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom reglatch_behav.vhd +DEFAULT_ARCHITECTURE atom behav diff --git a/Libs/Sequential/hds/_sram._epf b/Libs/Sequential/hds/_sram._epf new file mode 100644 index 0000000..6a1d3bb --- /dev/null +++ b/Libs/Sequential/hds/_sram._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom @s@r@a@m/struct.bd diff --git a/Libs/Sequential/hds/_tff._epf b/Libs/Sequential/hds/_tff._epf new file mode 100644 index 0000000..ecfbd5d --- /dev/null +++ b/Libs/Sequential/hds/_tff._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom TFF_sim.vhd diff --git a/Libs/Sequential/hds/_tff_pre._epf b/Libs/Sequential/hds/_tff_pre._epf new file mode 100644 index 0000000..cf9ae8c --- /dev/null +++ b/Libs/Sequential/hds/_tff_pre._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom TFF_pre_sim.vhd diff --git a/Libs/Sequential/hds/accumulator/symbol.sb b/Libs/Sequential/hds/accumulator/symbol.sb new file mode 100644 index 0000000..a95b297 --- /dev/null +++ b/Libs/Sequential/hds/accumulator/symbol.sb @@ -0,0 +1,1665 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_STD" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 6,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 95,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "acc" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 7 +suid 1,0 +) +) +uid 81,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "clear" +t "std_ulogic" +o 8 +suid 2,0 +) +) +uid 83,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 3,0 +) +) +uid 85,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 5 +suid 4,0 +) +) +uid 87,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 5,0 +) +) +uid 89,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +uid 91,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 110,0 +optionalChildren [ +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 111,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 112,0 +) +*24 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 113,0 +) +*25 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 82,0 +) +*26 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 84,0 +) +*27 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 86,0 +) +*28 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 88,0 +) +*29 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 90,0 +) +*30 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 92,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 114,0 +optionalChildren [ +*31 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 115,0 +) +*32 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 116,0 +) +*33 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 117,0 +) +*34 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 118,0 +) +*35 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 119,0 +) +*36 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 120,0 +) +*37 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 121,0 +) +*38 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 122,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 109,0 +vaOverrides [ +] +) +] +) +uid 94,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 124,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "8" +) +uid 220,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 136,0 +optionalChildren [ +*52 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *53 (MRCItem +litem &39 +pos 1 +dimension 20 +) +uid 138,0 +optionalChildren [ +*54 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 139,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 140,0 +) +*56 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 141,0 +) +*57 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 221,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 142,0 +optionalChildren [ +*58 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 143,0 +) +*59 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 144,0 +) +*60 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 145,0 +) +*61 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 146,0 +) +*62 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 147,0 +) +*63 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 148,0 +) +*64 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 149,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 137,0 +vaOverrides [ +] +) +] +) +uid 123,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/accumulator/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/accumulator/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/accumulator" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/accumulator" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "accumulator" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:19" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_LIBS_DIR/Sequential/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "accumulator" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/accumulator/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/accumulator/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$DESIGN_SCRATCH_DIR\\$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win64" +) +(vvPair +variable "task_SimulationPath" +value "$SIMULATION_DIR" +) +(vvPair +variable "task_SynplifyPath" +value "$SYNPLIFY_HOME" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:19" +) +(vvPair +variable "unit" +value "accumulator" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 93,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,6625,23750,7375" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "20400,6500,22000,7500" +st "acc" +ju 2 +blo "22000,7300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,66000,7400" +st "acc : OUT unsigned (bitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "acc" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 7 +suid 1,0 +) +) +) +*67 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,10625,15000,11375" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 59,0 +va (VaSet +) +xt "16000,10500,18100,11500" +st "clear" +blo "16000,11300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,59000,2900" +st "clear : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clear" +t "std_ulogic" +o 8 +suid 2,0 +) +) +) +*68 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,12625,15000,13375" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 64,0 +va (VaSet +) +xt "16000,12500,18100,13500" +st "clock" +blo "16000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,59000,3800" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*69 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,8625,15000,9375" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 69,0 +va (VaSet +) +xt "16000,8500,18600,9500" +st "enable" +blo "16000,9300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,59000,4700" +st "enable : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 5 +suid 4,0 +) +) +) +*70 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,13625,15000,14375" +) +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 74,0 +va (VaSet +) +xt "16000,13500,18100,14500" +st "reset" +blo "16000,14300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,59000,5600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 5,0 +) +) +) +*71 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,6625,15000,7375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +) +xt "16000,6500,17800,7500" +st "step" +blo "16000,7300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5600,67000,6500" +st "step : IN unsigned (bitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +] +shape (Rectangle +uid 196,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,23000,16000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "15300,16000,20800,16900" +st "sequential" +blo "15300,16700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "15300,16900,21300,17800" +st "accumulator" +blo "15300,17600" +) +) +gi *72 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "15000,3600,25500,6300" +st "Generic Declarations + +bitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*73 (Grouping +uid 16,0 +optionalChildren [ +*74 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-2000,-1000,15000,0" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-1800,-1000,13200,0" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "15000,-5000,19000,-4000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "15200,-5000,18800,-4000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-2000,-3000,15000,-2000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-1800,-3000,14400,-2000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-6000,-3000,-2000,-2000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-5800,-3000,-2200,-2000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "15000,-4000,35000,0" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "15200,-3800,28400,-2800" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "19000,-5000,35000,-4000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "19200,-5000,21000,-4000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-6000,-5000,15000,-3000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "0,-4500,9000,-3500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-6000,-2000,-2000,-1000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-5800,-2000,-2800,-1000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-6000,-1000,-2000,0" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-5800,-1000,-2200,0" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-2000,-2000,15000,-1000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-1800,-2000,12600,-1000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "-6000,-5000,35000,0" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *84 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*86 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.NUMERIC_STD.all;" +tm "PackageList" +) +] +) +windowSize "54,0,1681,1050" +viewArea "-38100,-27900,67425,41925" +cachedDiagramExtent "-6000,-5000,68500,18000" +hasePageBreakOrigin 1 +pageBreakOrigin "-6000,-49000" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "USLO" +entityName "mirror_driver" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *87 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *88 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,7400,44500,8300" +st "User:" +blo "42000,8100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8300,44000,8300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 267,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/counter/symbol.sb b/Libs/Sequential/hds/counter/symbol.sb new file mode 100644 index 0000000..063a6d7 --- /dev/null +++ b/Libs/Sequential/hds/counter/symbol.sb @@ -0,0 +1,1527 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 187,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 188,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 189,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 190,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 191,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 128,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 131,0 +) +*20 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 133,0 +) +*21 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 135,0 +) +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 154,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 155,0 +) +*24 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 156,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 129,0 +optionalChildren [ +*25 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 137,0 +) +*26 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 141,0 +) +*27 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 143,0 +) +*28 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 145,0 +) +*29 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 147,0 +) +*30 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 149,0 +) +*31 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 151,0 +) +*32 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 153,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 127,0 +vaOverrides [ +] +) +] +) +uid 186,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 193,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "8" +) +uid 182,0 +) +*46 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 273,0 +) +] +) +pdm (PhysicalDM +uid 194,0 +optionalChildren [ +*47 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *48 (MRCItem +litem &33 +pos 2 +dimension 20 +) +uid 158,0 +optionalChildren [ +*49 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 161,0 +) +*50 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 163,0 +) +*51 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 165,0 +) +*52 (MRCItem +litem &45 +pos 1 +dimension 20 +uid 183,0 +) +*53 (MRCItem +litem &46 +pos 0 +dimension 20 +uid 274,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 159,0 +optionalChildren [ +*54 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 167,0 +) +*55 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 171,0 +) +*56 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 173,0 +) +*57 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 175,0 +) +*58 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 177,0 +) +*59 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 179,0 +) +*60 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 181,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 157,0 +vaOverrides [ +] +) +] +) +uid 192,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "counter" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:19" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "counter" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:19" +) +(vvPair +variable "unit" +value "counter" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 66,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 112,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 113,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,17625,24000,18375" +) +tg (CPTG +uid 114,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 115,0 +va (VaSet +font "courier,12,0" +) +xt "25000,17300,28800,18700" +st "clock" +blo "25000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 116,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,15400,7000,16300" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*63 (CptPort +uid 117,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 118,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,17625,40750,18375" +) +tg (CPTG +uid 119,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 120,0 +va (VaSet +font "courier,12,0" +) +xt "32400,17300,39000,18700" +st "countOut" +ju 2 +blo "39000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 121,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,17200,14000,18100" +st "countOut : OUT unsigned (bitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*64 (CptPort +uid 122,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 123,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,19625,24000,20375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 125,0 +va (VaSet +font "courier,12,0" +) +xt "25000,19300,29100,20700" +st "reset" +blo "25000,20500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 126,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,16300,7000,17200" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "24000,14000,40000,22000" +) +oxt "15000,6000,23000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "24300,22400,30900,23400" +st "sequential" +blo "24300,23200" +) +second (Text +uid 12,0 +va (VaSet +) +xt "24300,23400,28500,24400" +st "counter" +blo "24300,24200" +) +) +gi *65 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +) +xt "24000,26400,40200,30400" +st "Generic Declarations + +delay time gateDelay +bitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "bitNb" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*66 (Grouping +uid 218,0 +optionalChildren [ +*67 (CommentText +uid 220,0 +shape (Rectangle +uid 221,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,48000,42000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 222,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,48000,40200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 223,0 +shape (Rectangle +uid 224,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,44000,46000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 225,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,44000,45800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 226,0 +shape (Rectangle +uid 227,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,46000,42000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 228,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,46000,41400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 229,0 +shape (Rectangle +uid 230,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,46000,25000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 231,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,46000,24800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 232,0 +shape (Rectangle +uid 233,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,45000,62000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 234,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,45200,55400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 235,0 +shape (Rectangle +uid 236,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,44000,62000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 237,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,44000,48000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 238,0 +shape (Rectangle +uid 239,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,44000,42000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 240,0 +va (VaSet +fg "32768,0,0" +) +xt "27000,44500,36000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 241,0 +shape (Rectangle +uid 242,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,47000,25000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 243,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,47000,24200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 244,0 +shape (Rectangle +uid 245,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,48000,25000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 246,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,48000,24800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 247,0 +shape (Rectangle +uid 248,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,47000,42000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 249,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,47000,40800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 219,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "21000,44000,62000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *77 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +uid 184,0 +va (VaSet +font "courier,12,1" +) +xt "-11000,0,-2200,1500" +st "Package List" +blo "-11000,1200" +) +*79 (MLText +uid 185,0 +va (VaSet +font "courier,12,0" +) +xt "-11000,1500,10700,8000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "165,37,1432,889" +viewArea "-12100,-1100,63356,50020" +cachedDiagramExtent "-11000,0,62000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-11000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +bg "65280,65280,65280" +lineColor "0,16384,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,16384,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "NUM" +entityName "topLevel" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "15000,6000,51000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,12,1" +) +xt "30500,14400,36200,15900" +st "" +blo "30500,15600" +) +second (Text +va (VaSet +font "courier,12,1" +) +xt "30500,15900,34600,17400" +st "" +blo "30500,17100" +) +) +gi *80 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *81 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,13000,-3600,14200" +st "Declarations" +blo "-11000,14000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,14200,-7500,15400" +st "Ports:" +blo "-11000,15200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,18100,-8000,19100" +st "User:" +blo "-11000,18900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-11000,13000,-3200,14200" +st "Internal User:" +blo "-11000,14000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,19100,-9000,19100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-11000,13000,-11000,13000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 320,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/counter@enable/symbol.sb b/Libs/Sequential/hds/counter@enable/symbol.sb new file mode 100644 index 0000000..618a9b1 --- /dev/null +++ b/Libs/Sequential/hds/counter@enable/symbol.sb @@ -0,0 +1,1588 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 193,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 194,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 195,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 196,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 197,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 198,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 135,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 138,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 140,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 142,0 +) +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 161,0 +) +*24 (MRCItem +litem &3 +pos 3 +dimension 20 +uid 162,0 +) +*25 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 163,0 +) +*26 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 164,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 136,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 144,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 148,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 150,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 152,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 154,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 156,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 158,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 160,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 134,0 +vaOverrides [ +] +) +] +) +uid 192,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 200,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "8" +) +uid 190,0 +) +*48 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 271,0 +) +] +) +pdm (PhysicalDM +uid 201,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *50 (MRCItem +litem &35 +pos 2 +dimension 20 +) +uid 166,0 +optionalChildren [ +*51 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 169,0 +) +*52 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 171,0 +) +*53 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 173,0 +) +*54 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 191,0 +) +*55 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 272,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 167,0 +optionalChildren [ +*56 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 175,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 179,0 +) +*58 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 181,0 +) +*59 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 183,0 +) +*60 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 185,0 +) +*61 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 187,0 +) +*62 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 189,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 165,0 +vaOverrides [ +] +) +] +) +uid 199,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@enable/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@enable/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@enable" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counterEnable" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "counterEnable" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:19" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "counterEnable" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@enable/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counterEnable/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_ActelUserPath" +value "$HDS_PROJECT_DIR\\..\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:19" +) +(vvPair +variable "unit" +value "counterEnable" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 66,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 112,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 113,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,14625,25000,15375" +) +tg (CPTG +uid 114,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 115,0 +va (VaSet +font "courier,12,0" +) +xt "26000,14300,29800,15700" +st "clock" +blo "26000,15500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 116,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,7600,7000,8500" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 117,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 118,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,10625,41750,11375" +) +tg (CPTG +uid 119,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 120,0 +va (VaSet +font "courier,12,0" +) +xt "33400,10300,40000,11700" +st "countOut" +ju 2 +blo "40000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 121,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,10300,14000,11200" +st "countOut : OUT unsigned (bitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*66 (CptPort +uid 122,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 123,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,16625,25000,17375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 125,0 +va (VaSet +font "courier,12,0" +) +xt "26000,16300,30100,17700" +st "reset" +blo "26000,17500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 126,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,9400,7000,10300" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*67 (CptPort +uid 127,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 128,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,10625,25000,11375" +) +tg (CPTG +uid 129,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 130,0 +va (VaSet +font "courier,12,0" +) +xt "26000,10300,31100,11700" +st "enable" +blo "26000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 131,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,8500,7000,9400" +st "enable : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "25000,7000,41000,19000" +) +oxt "15000,6000,23000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "25300,19400,31900,20400" +st "sequential" +blo "25300,20200" +) +second (Text +uid 12,0 +va (VaSet +) +xt "25300,20400,33700,21400" +st "counterEnable" +blo "25300,21200" +) +) +gi *68 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +) +xt "25000,23400,41200,27400" +st "Generic Declarations + +bitNb positive 8 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*69 (Grouping +uid 296,0 +optionalChildren [ +*70 (CommentText +uid 298,0 +shape (Rectangle +uid 299,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,43000,42000,44000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 300,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,43000,42000,44000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 301,0 +shape (Rectangle +uid 302,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,39000,46000,40000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 303,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,39000,45800,40000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 304,0 +shape (Rectangle +uid 305,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,41000,42000,42000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 306,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,41000,41400,42000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 307,0 +shape (Rectangle +uid 308,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,41000,25000,42000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 309,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,41000,24800,42000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 310,0 +shape (Rectangle +uid 311,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,40000,62000,44000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 312,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,40200,55400,41200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 313,0 +shape (Rectangle +uid 314,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,39000,62000,40000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 315,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,39000,48000,40000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 316,0 +shape (Rectangle +uid 317,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,39000,42000,41000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 318,0 +va (VaSet +fg "32768,0,0" +) +xt "27000,39500,36000,40500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 319,0 +shape (Rectangle +uid 320,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,42000,25000,43000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 321,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,42000,24200,43000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 322,0 +shape (Rectangle +uid 323,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,43000,25000,44000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 324,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,43000,24800,44000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 325,0 +shape (Rectangle +uid 326,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,42000,42000,43000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 327,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,42000,40800,43000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 297,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "21000,39000,62000,44000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *80 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 132,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,-5200,-3400,-4000" +st "Package List" +blo "-11000,-4200" +) +*82 (MLText +uid 133,0 +va (VaSet +) +xt "-11000,-4000,6400,1000" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "155,34,1433,899" +viewArea "-12000,-6300,64248,45756" +cachedDiagramExtent "-11000,-5200,62000,44000" +hasePageBreakOrigin 1 +pageBreakOrigin "-11000,-54000" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "1000,1000,4500,2200" +st "Panel0" +blo "1000,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "NUM" +entityName "topLevel" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,51000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "30500,14400,34000,15400" +st "" +blo "30500,15200" +) +second (Text +va (VaSet +) +xt "30500,15400,33100,16400" +st "" +blo "30500,16200" +) +) +gi *83 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *84 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,5200,-2400,6400" +st "Declarations" +blo "-11000,6200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,6400,-6800,7600" +st "Ports:" +blo "-11000,7400" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,11200,-8000,12200" +st "User:" +blo "-11000,12000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-11000,5200,-1600,6400" +st "Internal User:" +blo "-11000,6200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,12200,-9000,12200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-11000,5200,-11000,5200" +tm "SyDeclarativeTextMgr" +) +) +lastUid 419,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/counter@enable@reset@sync/symbol.sb b/Libs/Sequential/hds/counter@enable@reset@sync/symbol.sb new file mode 100644 index 0000000..de7e1c4 --- /dev/null +++ b/Libs/Sequential/hds/counter@enable@reset@sync/symbol.sb @@ -0,0 +1,1649 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 193,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 194,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 195,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 196,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 197,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "resetSync" +t "std_ulogic" +o 5 +suid 2005,0 +) +) +uid 324,0 +) +] +) +pdm (PhysicalDM +uid 198,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 135,0 +optionalChildren [ +*21 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 138,0 +) +*22 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 140,0 +) +*23 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 142,0 +) +*24 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 161,0 +) +*25 (MRCItem +litem &3 +pos 3 +dimension 20 +uid 162,0 +) +*26 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 163,0 +) +*27 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 164,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 325,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 136,0 +optionalChildren [ +*29 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 144,0 +) +*30 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 148,0 +) +*31 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 150,0 +) +*32 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 152,0 +) +*33 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 154,0 +) +*34 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 156,0 +) +*35 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 158,0 +) +*36 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 160,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 134,0 +vaOverrides [ +] +) +] +) +uid 192,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 200,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "8" +) +uid 190,0 +) +*50 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 271,0 +) +] +) +pdm (PhysicalDM +uid 201,0 +optionalChildren [ +*51 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *52 (MRCItem +litem &37 +pos 2 +dimension 20 +) +uid 166,0 +optionalChildren [ +*53 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 169,0 +) +*54 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 171,0 +) +*55 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 173,0 +) +*56 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 191,0 +) +*57 (MRCItem +litem &50 +pos 1 +dimension 20 +uid 272,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 167,0 +optionalChildren [ +*58 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 175,0 +) +*59 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 179,0 +) +*60 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 181,0 +) +*61 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 183,0 +) +*62 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 185,0 +) +*63 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 187,0 +) +*64 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 189,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 165,0 +vaOverrides [ +] +) +] +) +uid 199,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@enable@reset@sync/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@enable@reset@sync/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@enable@reset@sync" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counterEnableResetSync" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "counterEnableResetSync" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:19" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "counterEnableResetSync" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@enable@reset@sync/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counterEnableResetSync/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_ActelUserPath" +value "$HDS_PROJECT_DIR\\..\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:19" +) +(vvPair +variable "unit" +value "counterEnableResetSync" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 66,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 112,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 113,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29250,18625,30000,19375" +) +tg (CPTG +uid 114,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 115,0 +va (VaSet +font "courier,12,0" +) +xt "31000,18300,34800,19700" +st "clock" +blo "31000,19500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 116,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,7800,7500,8700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*67 (CptPort +uid 117,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 118,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46000,14625,46750,15375" +) +tg (CPTG +uid 119,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 120,0 +va (VaSet +font "courier,12,0" +) +xt "38400,14300,45000,15700" +st "countOut" +ju 2 +blo "45000,15500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 121,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,11400,14500,12300" +st "countOut : OUT unsigned (bitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*68 (CptPort +uid 122,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 123,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29250,20625,30000,21375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 125,0 +va (VaSet +font "courier,12,0" +) +xt "31000,20300,35100,21700" +st "reset" +blo "31000,21500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 126,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,9600,7500,10500" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*69 (CptPort +uid 127,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 128,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29250,14625,30000,15375" +) +tg (CPTG +uid 129,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 130,0 +va (VaSet +font "courier,12,0" +) +xt "31000,14300,36100,15700" +st "enable" +blo "31000,15500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 131,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,8700,7500,9600" +st "enable : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*70 (CptPort +uid 319,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 320,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29250,12625,30000,13375" +) +tg (CPTG +uid 321,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 322,0 +va (VaSet +font "courier,12,0" +) +xt "31000,12300,38000,13700" +st "resetSync" +blo "31000,13500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 323,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,10500,7500,11400" +st "resetSync : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "resetSync" +t "std_ulogic" +o 5 +suid 2005,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "30000,9000,46000,23000" +) +oxt "15000,6000,23000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "30300,23400,36900,24400" +st "sequential" +blo "30300,24200" +) +second (Text +uid 12,0 +va (VaSet +) +xt "30300,24400,44100,25400" +st "counterEnableResetSync" +blo "30300,25200" +) +) +gi *71 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +) +xt "30000,27400,46200,31400" +st "Generic Declarations + +bitNb positive 8 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*72 (Grouping +uid 349,0 +optionalChildren [ +*73 (CommentText +uid 351,0 +shape (Rectangle +uid 352,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,43000,42000,44000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 353,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,43000,41400,44000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 354,0 +shape (Rectangle +uid 355,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,39000,46000,40000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 356,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,39000,45800,40000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 357,0 +shape (Rectangle +uid 358,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,41000,42000,42000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 359,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,41000,41400,42000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 360,0 +shape (Rectangle +uid 361,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,41000,25000,42000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 362,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,41000,24800,42000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 363,0 +shape (Rectangle +uid 364,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,40000,62000,44000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 365,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,40200,55400,41200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 366,0 +shape (Rectangle +uid 367,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,39000,62000,40000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 368,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,39000,48000,40000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 369,0 +shape (Rectangle +uid 370,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,39000,42000,41000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 371,0 +va (VaSet +fg "32768,0,0" +) +xt "27000,39500,36000,40500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 372,0 +shape (Rectangle +uid 373,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,42000,25000,43000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 374,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,42000,24200,43000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 375,0 +shape (Rectangle +uid 376,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,43000,25000,44000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 377,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,43000,24800,44000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 378,0 +shape (Rectangle +uid 379,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,42000,42000,43000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 380,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,42000,35400,43000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 350,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "21000,39000,62000,44000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *83 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 132,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,-5200,-3400,-4000" +st "Package List" +blo "-11000,-4200" +) +*85 (MLText +uid 133,0 +va (VaSet +) +xt "-11000,-4000,6400,1000" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "116,45,1350,875" +viewArea "-12100,-6300,64356,45000" +cachedDiagramExtent "-11000,-5200,62000,44000" +hasePageBreakOrigin 1 +pageBreakOrigin "-11000,-54000" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "1000,1000,4500,2200" +st "Panel0" +blo "1000,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,51000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "30500,14400,34000,15400" +st "" +blo "30500,15200" +) +second (Text +va (VaSet +) +xt "30500,15400,33100,16400" +st "" +blo "30500,16200" +) +) +gi *86 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *87 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,5400,-2400,6600" +st "Declarations" +blo "-11000,6400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,6600,-6800,7800" +st "Ports:" +blo "-11000,7600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,12300,-8000,13300" +st "User:" +blo "-11000,13100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-11000,5400,-1600,6600" +st "Internal User:" +blo "-11000,6400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,13300,-9000,13300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-11000,5400,-11000,5400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 449,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/counter@restart/symbol.sb b/Libs/Sequential/hds/counter@restart/symbol.sb new file mode 100644 index 0000000..e8febf0 --- /dev/null +++ b/Libs/Sequential/hds/counter@restart/symbol.sb @@ -0,0 +1,1580 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 187,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 188,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 189,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 190,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "restart" +t "std_ulogic" +o 4 +suid 2004,0 +) +) +uid 278,0 +) +] +) +pdm (PhysicalDM +uid 191,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 128,0 +optionalChildren [ +*20 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 131,0 +) +*21 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 133,0 +) +*22 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 135,0 +) +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 154,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 155,0 +) +*25 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 156,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 279,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 129,0 +optionalChildren [ +*27 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 137,0 +) +*28 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 141,0 +) +*29 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 143,0 +) +*30 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 145,0 +) +*31 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 147,0 +) +*32 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 149,0 +) +*33 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 151,0 +) +*34 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 153,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 127,0 +vaOverrides [ +] +) +] +) +uid 186,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 193,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "8" +) +uid 182,0 +) +*48 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 326,0 +) +] +) +pdm (PhysicalDM +uid 194,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *50 (MRCItem +litem &35 +pos 2 +dimension 20 +) +uid 158,0 +optionalChildren [ +*51 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 161,0 +) +*52 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 163,0 +) +*53 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 165,0 +) +*54 (MRCItem +litem &47 +pos 1 +dimension 20 +uid 183,0 +) +*55 (MRCItem +litem &48 +pos 0 +dimension 20 +uid 327,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 159,0 +optionalChildren [ +*56 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 167,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 171,0 +) +*58 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 173,0 +) +*59 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 175,0 +) +*60 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 177,0 +) +*61 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 179,0 +) +*62 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 181,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 157,0 +vaOverrides [ +] +) +] +) +uid 192,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@restart/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@restart/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@restart" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counterRestart" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "counterRestart" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:19" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "counterRestart" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@restart/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counterRestart/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:19" +) +(vvPair +variable "unit" +value "counterRestart" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 66,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 112,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 113,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,19625,24000,20375" +) +tg (CPTG +uid 114,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 115,0 +va (VaSet +font "courier,12,0" +) +xt "25000,19300,28800,20700" +st "clock" +blo "25000,20500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 116,0 +va (VaSet +font "courier,8,0" +) +xt "-8000,11200,8000,12100" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 117,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 118,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,15625,40750,16375" +) +tg (CPTG +uid 119,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 120,0 +va (VaSet +font "courier,12,0" +) +xt "32400,15300,39000,16700" +st "countOut" +ju 2 +blo "39000,16500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 121,0 +va (VaSet +font "courier,8,0" +) +xt "-8000,13900,15000,14800" +st "countOut : OUT unsigned (bitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*66 (CptPort +uid 122,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 123,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,21625,24000,22375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 125,0 +va (VaSet +font "courier,12,0" +) +xt "25000,21300,29100,22700" +st "reset" +blo "25000,22500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 126,0 +va (VaSet +font "courier,8,0" +) +xt "-8000,12100,8000,13000" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*67 (CptPort +uid 273,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 274,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,15625,24000,16375" +) +tg (CPTG +uid 275,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 276,0 +va (VaSet +font "courier,12,0" +) +xt "25000,15300,30100,16700" +st "restart" +blo "25000,16500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 277,0 +va (VaSet +font "courier,8,0" +) +xt "-8000,13000,8000,13900" +st "restart : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "restart" +t "std_ulogic" +o 4 +suid 2004,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "24000,12000,40000,24000" +) +oxt "15000,6000,23000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "24300,24400,30900,25400" +st "sequential" +blo "24300,25200" +) +second (Text +uid 12,0 +va (VaSet +) +xt "24300,25400,33300,26400" +st "counterRestart" +blo "24300,26200" +) +) +gi *68 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +) +xt "24000,28400,40200,32400" +st "Generic Declarations + +delay time gateDelay +bitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "bitNb" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*69 (Grouping +uid 241,0 +optionalChildren [ +*70 (CommentText +uid 243,0 +shape (Rectangle +uid 244,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,48000,42000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 245,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,48000,40200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 246,0 +shape (Rectangle +uid 247,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,44000,46000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 248,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,44000,45800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 249,0 +shape (Rectangle +uid 250,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,46000,42000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 251,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,46000,41400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 252,0 +shape (Rectangle +uid 253,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,46000,25000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 254,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,46000,24800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 255,0 +shape (Rectangle +uid 256,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,45000,62000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 257,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,45200,55400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 258,0 +shape (Rectangle +uid 259,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,44000,62000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 260,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,44000,48000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 261,0 +shape (Rectangle +uid 262,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,44000,42000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 263,0 +va (VaSet +fg "32768,0,0" +) +xt "27000,44500,36000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 264,0 +shape (Rectangle +uid 265,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,47000,25000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 266,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,47000,24200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 267,0 +shape (Rectangle +uid 268,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,48000,25000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 269,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,48000,24800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 270,0 +shape (Rectangle +uid 271,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,47000,42000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 272,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,47000,41400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 242,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "21000,44000,62000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *80 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 184,0 +va (VaSet +font "courier,12,1" +) +xt "-10000,0,-1200,1500" +st "Package List" +blo "-10000,1200" +) +*82 (MLText +uid 185,0 +va (VaSet +font "courier,12,0" +) +xt "-10000,1500,11700,8000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "182,36,1425,887" +viewArea "-11000,-1100,63752,50657" +cachedDiagramExtent "-10000,0,62000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-11000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +bg "65280,65280,65280" +lineColor "0,16384,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,16384,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "15000,6000,51000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,12,1" +) +xt "30500,14400,36200,15900" +st "" +blo "30500,15600" +) +second (Text +va (VaSet +font "courier,12,1" +) +xt "30500,15900,34600,17400" +st "" +blo "30500,17100" +) +) +gi *83 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *84 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-10000,8800,-2600,10000" +st "Declarations" +blo "-10000,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "-10000,10000,-6500,11200" +st "Ports:" +blo "-10000,11000" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "-10000,14800,-7000,15800" +st "User:" +blo "-10000,15600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-10000,8800,-2200,10000" +st "Internal User:" +blo "-10000,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-8000,15800,-8000,15800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-10000,8800,-10000,8800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 350,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/counter@up@down/symbol.sb b/Libs/Sequential/hds/counter@up@down/symbol.sb new file mode 100644 index 0000000..caee7fe --- /dev/null +++ b/Libs/Sequential/hds/counter@up@down/symbol.sb @@ -0,0 +1,1649 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 199,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 200,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "up" +t "std_uLogic" +o 5 +suid 5,0 +) +) +uid 201,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "down" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 202,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_uLogic" +o 3 +suid 3,0 +) +) +uid 203,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 204,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 205,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 140,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 143,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 145,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 147,0 +) +*24 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 166,0 +) +*25 (MRCItem +litem &3 +pos 3 +dimension 20 +uid 167,0 +) +*26 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 168,0 +) +*27 (MRCItem +litem &5 +pos 2 +dimension 20 +uid 169,0 +) +*28 (MRCItem +litem &6 +pos 4 +dimension 20 +uid 170,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 141,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 149,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 153,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 155,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 157,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 159,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 161,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 163,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 165,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 139,0 +vaOverrides [ +] +) +] +) +uid 198,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 207,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "8" +) +uid 196,0 +) +*50 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 310,0 +) +] +) +pdm (PhysicalDM +uid 208,0 +optionalChildren [ +*51 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *52 (MRCItem +litem &37 +pos 2 +dimension 20 +) +uid 172,0 +optionalChildren [ +*53 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 175,0 +) +*54 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 177,0 +) +*55 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 179,0 +) +*56 (MRCItem +litem &49 +pos 1 +dimension 20 +uid 197,0 +) +*57 (MRCItem +litem &50 +pos 0 +dimension 20 +uid 311,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 173,0 +optionalChildren [ +*58 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 181,0 +) +*59 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 185,0 +) +*60 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 187,0 +) +*61 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 189,0 +) +*62 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 191,0 +) +*63 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 193,0 +) +*64 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 195,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 171,0 +vaOverrides [ +] +) +] +) +uid 206,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@up@down/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@up@down/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@up@down" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counterUpDown" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "counterUpDown" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:19" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "counterUpDown" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@up@down/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counterUpDown/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_ActelUserPath" +value "$HDS_PROJECT_DIR\\..\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:19" +) +(vvPair +variable "unit" +value "counterUpDown" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 66,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 112,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 113,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "27250,14625,28000,15375" +) +tg (CPTG +uid 114,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 115,0 +va (VaSet +font "courier,12,0" +) +xt "29000,14300,32800,15700" +st "clock" +blo "29000,15500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 116,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,4800,7000,5700" +st "clock : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*67 (CptPort +uid 117,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 118,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44000,8625,44750,9375" +) +tg (CPTG +uid 119,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 120,0 +va (VaSet +font "courier,12,0" +) +xt "36400,8300,43000,9700" +st "countOut" +ju 2 +blo "43000,9500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 121,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,8400,14000,9300" +st "countOut : OUT unsigned (bitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*68 (CptPort +uid 122,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 123,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "27250,16625,28000,17375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 125,0 +va (VaSet +font "courier,12,0" +) +xt "29000,16300,33100,17700" +st "reset" +blo "29000,17500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 126,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,6600,7000,7500" +st "reset : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_uLogic" +o 3 +suid 3,0 +) +) +) +*69 (CptPort +uid 127,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 128,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "27250,10625,28000,11375" +) +tg (CPTG +uid 129,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 130,0 +va (VaSet +font "courier,12,0" +) +xt "29000,10300,33300,11700" +st "down" +blo "29000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 131,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,5700,7000,6600" +st "down : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "down" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +*70 (CptPort +uid 132,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 133,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "27250,8625,28000,9375" +) +tg (CPTG +uid 134,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 135,0 +va (VaSet +font "courier,12,0" +) +xt "29000,8300,31400,9700" +st "up" +blo "29000,9500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 136,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,7500,7000,8400" +st "up : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "up" +t "std_uLogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "28000,5000,44000,19000" +) +oxt "15000,6000,23000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "28300,19400,34900,20400" +st "sequential" +blo "28300,20200" +) +second (Text +uid 12,0 +va (VaSet +) +xt "28300,20400,36700,21400" +st "counterUpDown" +blo "28300,21200" +) +) +gi *71 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +) +xt "28000,23400,44200,27400" +st "Generic Declarations + +delay time gateDelay +bitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "bitNb" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*72 (Grouping +uid 232,0 +optionalChildren [ +*73 (CommentText +uid 234,0 +shape (Rectangle +uid 235,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,41000,42000,42000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 236,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,41000,40200,42000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 237,0 +shape (Rectangle +uid 238,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,37000,46000,38000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 239,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,37000,45800,38000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 240,0 +shape (Rectangle +uid 241,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,39000,42000,40000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 242,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,39000,41400,40000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 243,0 +shape (Rectangle +uid 244,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,39000,25000,40000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 245,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,39000,24800,40000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 246,0 +shape (Rectangle +uid 247,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,38000,62000,42000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 248,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,38200,55400,39200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 249,0 +shape (Rectangle +uid 250,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,37000,62000,38000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 251,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,37000,48000,38000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 252,0 +shape (Rectangle +uid 253,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,37000,42000,39000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 254,0 +va (VaSet +fg "32768,0,0" +) +xt "27000,37500,36000,38500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 255,0 +shape (Rectangle +uid 256,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,40000,25000,41000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 257,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,40000,24200,41000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 258,0 +shape (Rectangle +uid 259,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,41000,25000,42000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 260,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,41000,24800,42000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 261,0 +shape (Rectangle +uid 262,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,40000,42000,41000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 263,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,40000,40800,41000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 233,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "21000,37000,62000,42000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *83 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 137,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,-6800,-3400,-5600" +st "Package List" +blo "-11000,-5800" +) +*85 (MLText +uid 138,0 +va (VaSet +) +xt "-11000,-5600,7600,-600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "134,32,1422,900" +viewArea "-12000,-7800,63899,43746" +cachedDiagramExtent "-11000,-6800,62000,42000" +hasePageBreakOrigin 1 +pageBreakOrigin "-11000,-7000" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "1000,1000,4500,2200" +st "Panel0" +blo "1000,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "NUM" +entityName "topLevel" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,51000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "30500,14400,34000,15400" +st "" +blo "30500,15200" +) +second (Text +va (VaSet +) +xt "30500,15400,33100,16400" +st "" +blo "30500,16200" +) +) +gi *86 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *87 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,2400,-2400,3600" +st "Declarations" +blo "-11000,3400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,3600,-6800,4800" +st "Ports:" +blo "-11000,4600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,9300,-8000,10300" +st "User:" +blo "-11000,10100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-11000,2400,-1600,3600" +st "Internal User:" +blo "-11000,3400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,10300,-9000,10300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-11000,2400,-11000,2400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 357,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/counter@up@down@enable/struct.bd b/Libs/Sequential/hds/counter@up@down@enable/struct.bd new file mode 100644 index 0000000..f053c19 --- /dev/null +++ b/Libs/Sequential/hds/counter@up@down@enable/struct.bd @@ -0,0 +1,4591 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I2" +duLibraryName "sequential" +duName "registerUnsigned" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "registerBitNb" +type "positive" +value "nbBits" +) +] +mwi 0 +uid 1192,0 +) +(Instance +name "I0" +duLibraryName "gates" +duName "bufferUnsigned" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "nbBits" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 1302,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb3" +number "3" +) +] +frameInstances [ +(FrameInstance +name "g1" +lb "0" +rb "countInt'high" +insts [ +(Instance +name "I4" +duLibraryName "virtexPrimitives" +duName "MUXCY" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 205,0 +) +(Instance +name "I3" +duLibraryName "virtexPrimitives" +duName "XORCY" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 247,0 +) +] +emInsts [ +(EmbeddedInstance +name "eb2" +number "2" +) +] +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@up@down@enable/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@up@down@enable/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@up@down@enable" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counterUpDownEnable" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "counterUpDownEnable" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:19" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "counterUpDownEnable" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@up@down@enable/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counterUpDownEnable/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:46:19" +) +(vvPair +variable "unit" +value "counterUpDownEnable" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 41,0 +optionalChildren [ +*1 (Net +uid 65,0 +decl (Decl +n "clock" +t "std_uLogic" +o 1 +suid 1,0 +) +declText (MLText +uid 66,0 +va (VaSet +font "courier,9,0" +) +xt "-11000,68800,1000,69700" +st "clock : std_uLogic +" +) +) +*2 (Net +uid 79,0 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 6 +suid 2,0 +) +declText (MLText +uid 80,0 +va (VaSet +font "courier,9,0" +) +xt "-11000,73300,9000,74200" +st "countOut : unsigned(bitNb-1 DOWNTO 0) +" +) +) +*3 (PortIoIn +uid 81,0 +shape (CompositeShape +uid 919,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 920,0 +sl 0 +xt "38625,21000,39375,22500" +) +(Line +uid 921,0 +sl 0 +xt "39000,22500,39000,23000" +pts [ +"39000,22500" +"39000,23000" +] +) +] +) +tg (WTG +uid 922,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 923,0 +ro 90 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "38300,15700,39700,20000" +st "down" +ju 2 +blo "38500,20000" +tm "WireNameMgr" +) +s (Text +uid 924,0 +ro 90 +va (VaSet +font "courier,12,0" +) +xt "38300,15700,38300,15700" +ju 2 +blo "38300,15700" +tm "SignalTypeMgr" +) +) +) +*4 (Net +uid 93,0 +decl (Decl +n "down" +t "std_uLogic" +o 2 +suid 3,0 +) +declText (MLText +uid 94,0 +va (VaSet +font "courier,9,0" +) +xt "-11000,69700,1000,70600" +st "down : std_uLogic +" +) +) +*5 (Net +uid 107,0 +decl (Decl +n "reset" +t "std_uLogic" +o 4 +suid 4,0 +) +declText (MLText +uid 108,0 +va (VaSet +font "courier,9,0" +) +xt "-11000,71500,1000,72400" +st "reset : std_uLogic +" +) +) +*6 (PortIoIn +uid 109,0 +shape (CompositeShape +uid 925,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 926,0 +sl 0 +ro 270 +xt "-7000,40625,-5500,41375" +) +(Line +uid 927,0 +sl 0 +ro 270 +xt "-5500,41000,-5000,41000" +pts [ +"-5500,41000" +"-5000,41000" +] +) +] +) +tg (WTG +uid 928,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 929,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "-10400,40300,-8000,41700" +st "up" +ju 2 +blo "-8000,41500" +tm "WireNameMgr" +) +s (Text +uid 930,0 +va (VaSet +font "courier,12,0" +) +xt "-10400,41700,-10400,41700" +ju 2 +blo "-10400,41700" +tm "SignalTypeMgr" +) +) +) +*7 (Net +uid 121,0 +decl (Decl +n "up" +t "std_uLogic" +o 5 +suid 5,0 +) +declText (MLText +uid 122,0 +va (VaSet +font "courier,9,0" +) +xt "-11000,72400,1000,73300" +st "up : std_uLogic +" +) +) +*8 (HdlText +uid 196,0 +optionalChildren [ +*9 (EmbeddedText +uid 201,0 +commentText (CommentText +uid 202,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 203,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "16000,38000,30400,48000" +) +oxt "38000,-4000,48000,3000" +text (MLText +uid 204,0 +va (VaSet +font "courier,9,0" +) +xt "16200,38200,28700,40000" +st " +toInc(i) <= countInt(i) xor up; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 10000 +visibleWidth 14400 +) +) +) +] +shape (Rectangle +uid 197,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "15000,37000,31000,49000" +) +oxt "37000,-5000,50000,4000" +ttg (MlTextGroup +uid 198,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*10 (Text +uid 199,0 +va (VaSet +) +xt "15050,48700,16650,49700" +st "eb2" +blo "15050,49500" +tm "HdlTextNameMgr" +) +*11 (Text +uid 200,0 +va (VaSet +) +xt "15050,49700,15850,50700" +st "2" +blo "15050,50500" +tm "HdlTextNumberMgr" +) +] +) +) +*12 (SaComponent +uid 205,0 +optionalChildren [ +*13 (CptPort +uid 214,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 215,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "34625,56250,35375,57000" +) +tg (CPTG +uid 216,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 217,0 +sl 0 +ro 270 +va (VaSet +font "courier,12,0" +) +xt "34300,58000,35700,60200" +st "DI" +ju 2 +blo "35500,58000" +) +s (Text +uid 218,0 +sl 0 +ro 270 +va (VaSet +font "courier,12,0" +) +xt "35700,58000,35700,58000" +ju 2 +blo "-200,0" +) +) +thePort (LogicalPort +decl (Decl +n "DI" +t "std_uLogic" +o 3 +) +) +) +*14 (CptPort +uid 219,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 220,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "38625,56250,39375,57000" +) +tg (CPTG +uid 221,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 222,0 +sl 0 +ro 270 +va (VaSet +font "courier,12,0" +) +xt "38300,58000,39700,60200" +st "CI" +ju 2 +blo "39500,58000" +) +s (Text +uid 223,0 +sl 0 +ro 270 +va (VaSet +font "courier,12,0" +) +xt "39700,58000,39700,58000" +ju 2 +blo "-200,0" +) +) +thePort (LogicalPort +decl (Decl +n "CI" +t "std_uLogic" +o 4 +) +) +) +*15 (CptPort +uid 224,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 225,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "36625,63000,37375,63750" +) +tg (CPTG +uid 226,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 227,0 +sl 0 +ro 270 +va (VaSet +font "courier,12,0" +) +xt "36400,61200,37800,63000" +st "O" +blo "37600,63000" +) +s (Text +uid 228,0 +sl 0 +ro 270 +va (VaSet +font "courier,12,0" +) +xt "37800,63000,37800,63000" +blo "-200,0" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "O" +t "std_uLogic" +o 7 +) +) +) +*16 (CptPort +uid 229,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 230,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "41000,59625,41750,60375" +) +tg (CPTG +uid 231,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 232,0 +sl 0 +ro 270 +va (VaSet +font "courier,12,0" +) +xt "39833,58200,41233,59800" +st "S" +blo "41033,59800" +) +s (Text +uid 233,0 +sl 0 +ro 270 +va (VaSet +font "courier,12,0" +) +xt "41233,59800,41233,59800" +blo "-200,0" +) +) +thePort (LogicalPort +decl (Decl +n "S" +t "std_uLogic" +o 1 +) +) +) +] +shape (Mux +uid 206,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "31000,57000,43000,63000" +) +showPorts 0 +oxt "46000,7000,58000,13000" +ttg (MlTextGroup +uid 207,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*17 (Text +uid 208,0 +va (VaSet +) +xt "40600,61700,46400,62700" +st "virtexPrimitives" +blo "40600,62500" +tm "BdLibraryNameMgr" +) +*18 (Text +uid 209,0 +va (VaSet +) +xt "40600,62700,43900,63700" +st "MUXCY" +blo "40600,63500" +tm "CptNameMgr" +) +*19 (Text +uid 210,0 +va (VaSet +) +xt "40600,63700,41600,64700" +st "I4" +blo "40600,64500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 211,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 212,0 +text (MLText +uid 213,0 +va (VaSet +isHidden 1 +) +xt "38000,67400,53600,68400" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +sIVOD 1 +selT 0 +) +archFileType "UNKNOWN" +) +*20 (HdlText +uid 238,0 +optionalChildren [ +*21 (EmbeddedText +uid 243,0 +commentText (CommentText +uid 244,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 245,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "32000,28000,46000,30000" +) +oxt "42000,23000,52400,30000" +text (MLText +uid 246,0 +va (VaSet +font "courier,9,0" +) +xt "32200,28200,41200,29100" +st " +carry(0) <= down; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 14000 +) +) +) +] +shape (Rectangle +uid 239,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "31000,27000,47000,31000" +) +oxt "41000,22000,54000,31000" +ttg (MlTextGroup +uid 240,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*22 (Text +uid 241,0 +va (VaSet +) +xt "31050,30700,32650,31700" +st "eb3" +blo "31050,31500" +tm "HdlTextNameMgr" +) +*23 (Text +uid 242,0 +va (VaSet +) +xt "31050,31700,31850,32700" +st "3" +blo "31050,32500" +tm "HdlTextNumberMgr" +) +] +) +) +*24 (SaComponent +uid 247,0 +optionalChildren [ +*25 (CptPort +uid 256,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 257,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "55000,44625,55750,45375" +) +tg (CPTG +uid 258,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 259,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "52200,44400,54000,45800" +st "O" +ju 2 +blo "54000,45600" +) +s (Text +uid 260,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "54000,45800,54000,45800" +ju 2 +blo "29000,7800" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "O" +t "std_uLogic" +o 3 +) +) +) +*26 (CptPort +uid 261,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 262,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "46631,46625,47381,47375" +) +tg (CPTG +uid 263,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 264,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "48533,46250,50733,47650" +st "CI" +blo "48533,47450" +) +s (Text +uid 265,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "48533,47650,48533,47650" +blo "23533,9650" +) +) +thePort (LogicalPort +decl (Decl +n "CI" +t "std_uLogic" +o 2 +) +) +) +*27 (CptPort +uid 266,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 267,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "46632,42625,47382,43375" +) +tg (CPTG +uid 268,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 269,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "48533,42250,50533,43650" +st "LI" +blo "48533,43450" +) +s (Text +uid 270,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "48533,43650,48533,43650" +blo "23533,5650" +) +) +thePort (LogicalPort +decl (Decl +n "LI" +t "std_uLogic" +o 1 +) +) +) +] +shape (XOr +uid 248,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "47000,42000,55000,48000" +) +showPorts 0 +oxt "61000,-3000,69000,3000" +ttg (MlTextGroup +uid 249,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*28 (Text +uid 250,0 +va (VaSet +isHidden 1 +) +xt "50600,42300,56400,43300" +st "virtexPrimitives" +blo "50600,43100" +tm "BdLibraryNameMgr" +) +*29 (Text +uid 251,0 +va (VaSet +isHidden 1 +) +xt "50600,43700,53800,44700" +st "XORCY" +blo "50600,44500" +tm "CptNameMgr" +) +*30 (Text +uid 252,0 +va (VaSet +) +xt "50600,43300,51600,44300" +st "I3" +blo "50600,44100" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 253,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 254,0 +text (MLText +uid 255,0 +va (VaSet +isHidden 1 +) +xt "47000,48400,62600,49400" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +selT 0 +) +archFileType "UNKNOWN" +) +*31 (PortIoIn +uid 271,0 +shape (CompositeShape +uid 931,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 932,0 +sl 0 +ro 270 +xt "67000,48625,68500,49375" +) +(Line +uid 933,0 +sl 0 +ro 270 +xt "68500,49000,69000,49000" +pts [ +"68500,49000" +"69000,49000" +] +) +] +) +tg (WTG +uid 934,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 935,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "60900,48300,66000,49700" +st "enable" +ju 2 +blo "66000,49500" +tm "WireNameMgr" +) +s (Text +uid 936,0 +va (VaSet +font "courier,12,0" +) +xt "60900,49700,60900,49700" +ju 2 +blo "60900,49700" +tm "SignalTypeMgr" +) +) +) +*32 (PortIoIn +uid 275,0 +shape (CompositeShape +uid 937,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 938,0 +sl 0 +ro 270 +xt "67000,50625,68500,51375" +) +(Line +uid 939,0 +sl 0 +ro 270 +xt "68500,51000,69000,51000" +pts [ +"68500,51000" +"69000,51000" +] +) +] +) +tg (WTG +uid 940,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 941,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "62200,50300,66000,51700" +st "clock" +ju 2 +blo "66000,51500" +tm "WireNameMgr" +) +s (Text +uid 942,0 +va (VaSet +font "courier,12,0" +) +xt "62200,51700,62200,51700" +ju 2 +blo "62200,51700" +tm "SignalTypeMgr" +) +) +) +*33 (PortIoIn +uid 313,0 +shape (CompositeShape +uid 943,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 944,0 +sl 0 +ro 270 +xt "67000,52625,68500,53375" +) +(Line +uid 945,0 +sl 0 +ro 270 +xt "68500,53000,69000,53000" +pts [ +"68500,53000" +"69000,53000" +] +) +] +) +tg (WTG +uid 946,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 947,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "61900,52300,66000,53700" +st "reset" +ju 2 +blo "66000,53500" +tm "WireNameMgr" +) +s (Text +uid 948,0 +va (VaSet +font "courier,12,0" +) +xt "61900,53700,61900,53700" +ju 2 +blo "61900,53700" +tm "SignalTypeMgr" +) +) +) +*34 (Frame +uid 321,0 +shape (RectFrame +uid 322,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 2 +) +xt "3000,33000,63000,67000" +) +title (TextAssociate +uid 323,0 +ps "TopLeftStrategy" +text (MLText +uid 324,0 +va (VaSet +) +xt "2800,31400,27400,32400" +st "g1: FOR i IN 0 TO countInt'high GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +uid 325,0 +ps "TopLeftStrategy" +shape (Rectangle +uid 326,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "3450,33200,4550,34800" +) +num (Text +uid 327,0 +va (VaSet +) +xt "3650,33400,4450,34400" +st "2" +blo "3650,34200" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +uid 328,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 329,0 +va (VaSet +font "courier,9,1" +) +xt "55000,67000,65800,68200" +st "Frame Declarations" +blo "55000,68000" +) +*36 (MLText +uid 330,0 +va (VaSet +) +xt "55000,68200,55000,68200" +tm "BdFrameDeclTextMgr" +) +] +) +lb "0" +rb "countInt'high" +) +*37 (Net +uid 563,0 +decl (Decl +n "carry" +t "std_ulogic_vector" +b "(nbBits DOWNTO 0)" +o 7 +suid 6,0 +) +declText (MLText +uid 564,0 +va (VaSet +font "courier,9,0" +) +xt "-11000,75400,16500,76300" +st "SIGNAL carry : std_ulogic_vector(nbBits DOWNTO 0) +" +) +) +*38 (Net +uid 565,0 +decl (Decl +n "toInc" +t "std_ulogic_vector" +b "(nbBits-1 DOWNTO 0)" +o 10 +suid 7,0 +) +declText (MLText +uid 566,0 +va (VaSet +font "courier,9,0" +) +xt "-11000,78100,17500,79000" +st "SIGNAL toInc : std_ulogic_vector(nbBits-1 DOWNTO 0) +" +) +) +*39 (Net +uid 569,0 +decl (Decl +n "newCounter" +t "unsigned" +b "(nbBits-1 DOWNTO 0)" +o 9 +suid 8,0 +) +declText (MLText +uid 570,0 +va (VaSet +font "courier,9,0" +) +xt "-11000,77200,13000,78100" +st "SIGNAL newCounter : unsigned(nbBits-1 DOWNTO 0) +" +) +) +*40 (PortIoOut +uid 581,0 +shape (CompositeShape +uid 949,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 950,0 +sl 0 +ro 270 +xt "106500,44625,108000,45375" +) +(Line +uid 951,0 +sl 0 +ro 270 +xt "106000,45000,106500,45000" +pts [ +"106000,45000" +"106500,45000" +] +) +] +) +tg (WTG +uid 952,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 953,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "109000,44300,130000,45600" +st "countOut : (bitNb-1 DOWNTO 0)" +blo "109000,45300" +tm "WireNameMgr" +) +s (Text +uid 954,0 +va (VaSet +font "courier,12,0" +) +xt "109000,45600,109000,45600" +blo "109000,45600" +tm "SignalTypeMgr" +) +) +) +*41 (Net +uid 585,0 +decl (Decl +n "countInt" +t "unsigned" +b "(nbBits-1 DOWNTO 0)" +o 8 +suid 9,0 +) +declText (MLText +uid 586,0 +va (VaSet +font "courier,9,0" +) +xt "-11000,76300,13000,77200" +st "SIGNAL countInt : unsigned(nbBits-1 DOWNTO 0) +" +) +) +*42 (Net +uid 670,0 +decl (Decl +n "enable" +t "std_uLogic" +o 3 +suid 10,0 +) +declText (MLText +uid 671,0 +va (VaSet +font "courier,9,0" +) +xt "-11000,70600,1000,71500" +st "enable : std_uLogic +" +) +) +*43 (SaComponent +uid 1192,0 +optionalChildren [ +*44 (CptPort +uid 1172,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1173,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70250,50625,71000,51375" +) +tg (CPTG +uid 1174,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1175,0 +va (VaSet +) +xt "72000,50500,75400,51700" +st "clock" +blo "72000,51500" +) +s (Text +uid 1201,0 +va (VaSet +) +xt "72000,51700,72000,51700" +blo "72000,51700" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*45 (CptPort +uid 1176,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1177,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70250,44625,71000,45375" +) +tg (CPTG +uid 1178,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1179,0 +va (VaSet +) +xt "72000,44500,76000,45700" +st "dataIn" +blo "72000,45500" +) +s (Text +uid 1202,0 +va (VaSet +) +xt "72000,45700,72000,45700" +blo "72000,45700" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "unsigned" +b "(registerBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +*46 (CptPort +uid 1180,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1181,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "87000,44625,87750,45375" +) +tg (CPTG +uid 1182,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1183,0 +va (VaSet +) +xt "81200,44400,86000,45600" +st "dataOut" +ju 2 +blo "86000,45400" +) +s (Text +uid 1203,0 +va (VaSet +) +xt "86000,45600,86000,45600" +ju 2 +blo "86000,45600" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "unsigned" +b "(registerBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*47 (CptPort +uid 1184,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1185,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70250,48625,71000,49375" +) +tg (CPTG +uid 1186,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1187,0 +va (VaSet +) +xt "72000,48500,76000,49700" +st "enable" +blo "72000,49500" +) +s (Text +uid 1204,0 +va (VaSet +) +xt "72000,49700,72000,49700" +blo "72000,49700" +) +) +thePort (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*48 (CptPort +uid 1188,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1189,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70250,52625,71000,53375" +) +tg (CPTG +uid 1190,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1191,0 +va (VaSet +) +xt "72000,52500,75300,53700" +st "reset" +blo "72000,53500" +) +s (Text +uid 1205,0 +va (VaSet +) +xt "72000,53700,72000,53700" +blo "72000,53700" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 1193,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "71000,41000,87000,55000" +) +oxt "38000,10000,54000,24000" +ttg (MlTextGroup +uid 1194,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*49 (Text +uid 1195,0 +va (VaSet +font "courier,8,1" +) +xt "70910,54700,75510,55700" +st "sequential" +blo "70910,55500" +tm "BdLibraryNameMgr" +) +*50 (Text +uid 1196,0 +va (VaSet +font "courier,8,1" +) +xt "70910,55700,78110,56700" +st "registerUnsigned" +blo "70910,56500" +tm "CptNameMgr" +) +*51 (Text +uid 1197,0 +va (VaSet +font "courier,8,1" +) +xt "70910,56700,71910,57700" +st "I2" +blo "70910,57500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1198,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1199,0 +text (MLText +uid 1200,0 +va (VaSet +font "courier,8,0" +) +xt "71000,58800,93000,60600" +st "delay = gateDelay ( time ) +registerBitNb = nbBits ( positive ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "registerBitNb" +type "positive" +value "nbBits" +) +] +) +portVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +sIVOD 1 +) +archFileType "UNKNOWN" +) +*52 (SaComponent +uid 1302,0 +optionalChildren [ +*53 (CptPort +uid 1294,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1295,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "92250,44625,93000,45375" +) +tg (CPTG +uid 1296,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1297,0 +va (VaSet +isHidden 1 +) +xt "93000,44700,95300,45900" +st "in1" +blo "93000,45700" +) +s (Text +uid 1311,0 +va (VaSet +isHidden 1 +) +xt "93000,45900,93000,45900" +blo "93000,45900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*54 (CptPort +uid 1298,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1299,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "98000,44625,98750,45375" +) +tg (CPTG +uid 1300,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1301,0 +va (VaSet +isHidden 1 +) +xt "95000,44700,98000,45900" +st "out1" +ju 2 +blo "98000,45700" +) +s (Text +uid 1312,0 +va (VaSet +isHidden 1 +) +xt "98000,45900,98000,45900" +ju 2 +blo "98000,45900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 1303,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "93000,42000,98000,48000" +) +showPorts 0 +oxt "36000,16000,41000,22000" +ttg (MlTextGroup +uid 1304,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*55 (Text +uid 1305,0 +va (VaSet +font "courier,8,1" +) +xt "93910,47700,96310,48700" +st "gates" +blo "93910,48500" +tm "BdLibraryNameMgr" +) +*56 (Text +uid 1306,0 +va (VaSet +font "courier,8,1" +) +xt "93910,48700,100610,49700" +st "bufferUnsigned" +blo "93910,49500" +tm "CptNameMgr" +) +*57 (Text +uid 1307,0 +va (VaSet +font "courier,8,1" +) +xt "93910,49700,94910,50700" +st "I0" +blo "93910,50500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1308,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1309,0 +text (MLText +uid 1310,0 +va (VaSet +font "courier,8,0" +) +xt "93000,50800,113000,52600" +st "dataBitNb = nbBits ( positive ) +delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "nbBits" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*58 (Wire +uid 71,0 +optionalChildren [ +*59 (BdJunction +uid 835,0 +ps "OnConnectorStrategy" +shape (Circle +uid 836,0 +va (VaSet +vasetType 1 +) +xt "89350,44600,90150,45400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "87750,45000,93000,45000" +pts [ +"87750,45000" +"93000,45000" +] +) +start &46 +end &53 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +font "courier,12,0" +) +xt "84000,39600,90100,41000" +st "countInt" +blo "84000,40800" +tm "WireNameMgr" +) +) +on &41 +) +*60 (Wire +uid 85,0 +shape (OrthoPolyLine +uid 86,0 +va (VaSet +vasetType 3 +) +xt "39000,23000,39000,27000" +pts [ +"39000,23000" +"39000,27000" +] +) +start &3 +end &20 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 89,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 90,0 +va (VaSet +font "courier,12,0" +) +xt "40000,21600,44300,23000" +st "down" +blo "40000,22800" +tm "WireNameMgr" +) +) +on &4 +) +*61 (Wire +uid 113,0 +shape (OrthoPolyLine +uid 114,0 +va (VaSet +vasetType 3 +) +xt "-5000,41000,15000,41000" +pts [ +"-5000,41000" +"15000,41000" +] +) +start &6 +end &8 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 117,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 118,0 +va (VaSet +font "courier,12,0" +) +xt "-3000,39600,-600,41000" +st "up" +blo "-3000,40800" +tm "WireNameMgr" +) +) +on &7 +) +*62 (Wire +uid 361,0 +optionalChildren [ +*63 (BdJunction +uid 837,0 +ps "OnConnectorStrategy" +shape (Circle +uid 838,0 +va (VaSet +vasetType 1 +) +xt "38600,42600,39400,43400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 362,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "39000,33000,39000,57000" +pts [ +"39000,33000" +"39000,57000" +] +) +end &14 +es 0 +sat 16 +eat 32 +sty 1 +sl "(i)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 365,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 366,0 +va (VaSet +font "courier,12,0" +) +xt "40000,33600,45200,35000" +st "carry(i)" +blo "40000,34800" +tm "WireNameMgr" +) +) +on &37 +) +*64 (Wire +uid 371,0 +shape (OrthoPolyLine +uid 372,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "39000,43000,47382,43000" +pts [ +"39000,43000" +"47382,43000" +] +) +start &63 +end &27 +sat 32 +eat 32 +sty 1 +sl "(i)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 373,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 374,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "41000,41600,46200,43000" +st "carry(i)" +blo "41000,42800" +tm "WireNameMgr" +) +) +on &37 +) +*65 (Wire +uid 377,0 +optionalChildren [ +*66 (BdJunction +uid 839,0 +ps "OnConnectorStrategy" +shape (Circle +uid 840,0 +va (VaSet +vasetType 1 +) +xt "44600,46600,45400,47400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 378,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "31000,47000,47381,47000" +pts [ +"31000,47000" +"47381,47000" +] +) +start &8 +end &26 +sat 2 +eat 32 +sty 1 +sl "(i)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 381,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 382,0 +va (VaSet +font "courier,12,0" +) +xt "33000,45600,38300,47000" +st "toInc(i)" +blo "33000,46800" +tm "WireNameMgr" +) +) +on &38 +) +*67 (Wire +uid 387,0 +shape (OrthoPolyLine +uid 388,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "41000,47000,45000,60000" +pts [ +"41000,60000" +"45000,60000" +"45000,47000" +] +) +start &16 +end &66 +sat 32 +eat 32 +sty 1 +sl "(i)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 389,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 390,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "43000,58600,48300,60000" +st "toInc(i)" +blo "43000,59800" +tm "WireNameMgr" +) +) +on &38 +) +*68 (Wire +uid 393,0 +optionalChildren [ +*69 (BdJunction +uid 841,0 +ps "OnConnectorStrategy" +shape (Circle +uid 842,0 +va (VaSet +vasetType 1 +) +xt "10600,44600,11400,45400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 394,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "3000,45000,15000,45000" +pts [ +"3000,45000" +"15000,45000" +] +) +end &8 +sat 16 +eat 1 +sty 1 +sl "(i)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 399,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 400,0 +va (VaSet +font "courier,12,0" +) +xt "5000,43600,13200,45000" +st "countInt(i)" +blo "5000,44800" +tm "WireNameMgr" +) +) +on &41 +) +*70 (Wire +uid 419,0 +shape (OrthoPolyLine +uid 420,0 +va (VaSet +vasetType 3 +) +xt "69000,51000,70250,51000" +pts [ +"69000,51000" +"70250,51000" +] +) +start &32 +end &44 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 421,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 422,0 +va (VaSet +font "courier,12,0" +) +xt "67000,47600,70800,49000" +st "clock" +blo "67000,48800" +tm "WireNameMgr" +) +) +on &1 +) +*71 (Wire +uid 447,0 +shape (OrthoPolyLine +uid 448,0 +va (VaSet +vasetType 3 +) +xt "69000,53000,70250,53000" +pts [ +"69000,53000" +"70250,53000" +] +) +start &33 +end &48 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 449,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 450,0 +va (VaSet +font "courier,12,0" +) +xt "67000,51600,71100,53000" +st "reset" +blo "67000,52800" +tm "WireNameMgr" +) +) +on &5 +) +*72 (Wire +uid 459,0 +shape (OrthoPolyLine +uid 460,0 +va (VaSet +vasetType 3 +) +xt "69000,49000,70250,49000" +pts [ +"69000,49000" +"70250,49000" +] +) +start &31 +end &47 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 461,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 462,0 +va (VaSet +font "courier,12,0" +) +xt "67000,49600,72100,51000" +st "enable" +blo "67000,50800" +tm "WireNameMgr" +) +) +on &42 +) +*73 (Wire +uid 465,0 +shape (OrthoPolyLine +uid 466,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "63000,45000,70250,45000" +pts [ +"63000,45000" +"70250,45000" +] +) +end &45 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 469,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 470,0 +va (VaSet +font "courier,12,0" +) +xt "63000,43600,72400,45000" +st "newCounter" +blo "63000,44800" +tm "WireNameMgr" +) +) +on &39 +) +*74 (Wire +uid 481,0 +shape (OrthoPolyLine +uid 482,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "55000,45000,63000,45000" +pts [ +"55000,45000" +"63000,45000" +] +) +start &25 +sat 32 +eat 16 +sty 1 +sl "(i)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 485,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 486,0 +va (VaSet +font "courier,12,0" +) +xt "54000,43600,64700,45000" +st "newCounter(i)" +blo "54000,44800" +tm "WireNameMgr" +) +) +on &39 +) +*75 (Wire +uid 489,0 +shape (OrthoPolyLine +uid 490,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "37000,67000,37000,71000" +pts [ +"37000,67000" +"37000,71000" +] +) +sat 16 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 495,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 496,0 +va (VaSet +font "courier,12,0" +) +xt "37000,68600,40900,70000" +st "carry" +blo "37000,69800" +tm "WireNameMgr" +) +) +on &37 +) +*76 (Wire +uid 499,0 +shape (OrthoPolyLine +uid 500,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "45000,71000,53000,71000" +pts [ +"45000,71000" +"53000,71000" +] +) +sat 16 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 505,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 506,0 +va (VaSet +font "courier,12,0" +) +xt "47000,69600,51000,71000" +st "toInc" +blo "47000,70800" +tm "WireNameMgr" +) +) +on &38 +) +*77 (Wire +uid 509,0 +shape (OrthoPolyLine +uid 510,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "37000,63000,37000,67000" +pts [ +"37000,63000" +"37000,67000" +] +) +start &15 +sat 32 +eat 16 +sty 1 +sl "(i+1)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 513,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 514,0 +va (VaSet +font "courier,12,0" +) +xt "30000,64600,37700,66000" +st "carry(i+1)" +blo "30000,65800" +tm "WireNameMgr" +) +) +on &37 +) +*78 (Wire +uid 571,0 +shape (OrthoPolyLine +uid 572,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "98000,45000,106000,45000" +pts [ +"98000,45000" +"106000,45000" +] +) +start &54 +end &40 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 577,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 578,0 +va (VaSet +font "courier,12,0" +) +xt "100000,43600,105600,44900" +st "countOut" +blo "100000,44600" +tm "WireNameMgr" +) +) +on &2 +) +*79 (Wire +uid 587,0 +shape (OrthoPolyLine +uid 588,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-13000,22000,89750,49000" +pts [ +"89750,45000" +"89750,22000" +"-13000,22000" +"-13000,49000" +"3000,49000" +] +) +start &59 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 591,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 592,0 +va (VaSet +font "courier,12,0" +) +xt "-4000,47600,2100,49000" +st "countInt" +blo "-4000,48800" +tm "WireNameMgr" +) +) +on &41 +) +*80 (Wire +uid 672,0 +shape (OrthoPolyLine +uid 673,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "11000,45000,35000,57000" +pts [ +"11000,45000" +"11000,53000" +"35000,53000" +"35000,57000" +] +) +start &69 +end &13 +sat 32 +eat 32 +sty 1 +sl "(i)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 674,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 675,0 +ro 270 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "33600,48100,35000,56300" +st "countInt(i)" +blo "34800,56300" +tm "WireNameMgr" +) +) +on &41 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *81 (PackageList +uid 42,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 43,0 +va (VaSet +font "courier,12,0" +) +xt "-13000,58800,-3500,60200" +st "Package List" +blo "-13000,60000" +) +*83 (MLText +uid 44,0 +va (VaSet +) +xt "-13000,60200,5600,63200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 45,0 +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "courier,12,1" +) +xt "20000,0,34800,1400" +st "Compiler Directives" +blo "20000,1200" +) +*85 (Text +uid 47,0 +va (VaSet +isHidden 1 +font "courier,12,1" +) +xt "20000,1600,37800,3000" +st "Pre-module directives:" +blo "20000,2800" +) +*86 (MLText +uid 48,0 +va (VaSet +isHidden 1 +) +xt "20000,3200,32000,5200" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*87 (Text +uid 49,0 +va (VaSet +isHidden 1 +font "courier,12,1" +) +xt "20000,5800,38400,7200" +st "Post-module directives:" +blo "20000,7000" +) +*88 (MLText +uid 50,0 +va (VaSet +isHidden 1 +) +xt "20000,7400,20000,7400" +tm "BdCompilerDirectivesTextMgr" +) +*89 (Text +uid 51,0 +va (VaSet +isHidden 1 +font "courier,12,1" +) +xt "20000,7600,37900,9000" +st "End-module directives:" +blo "20000,8800" +) +*90 (MLText +uid 52,0 +va (VaSet +isHidden 1 +) +xt "20000,1400,20000,1400" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "58,0,1921,1080" +viewArea "44480,15502,165018,83696" +cachedDiagramExtent "-13000,0,132100,82000" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\EIV\\a203 hp laserjet 4m plus,winspool," +fileName "\\\\EIV\\a203 hp laserjet 4m plus" +toPrinter 1 +colour 1 +xMargin 49 +yMargin 49 +paperWidth 1084 +paperHeight 762 +paperType "A4" +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +) +hasePageBreakOrigin 1 +pageBreakOrigin "-14000,18000" +lastUid 1367,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "1000,1000,3700,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*91 (Text +va (VaSet +font "courier,12,1" +) +xt "800,2700,8100,4100" +st "" +blo "800,3900" +tm "BdLibraryNameMgr" +) +*92 (Text +va (VaSet +font "courier,12,1" +) +xt "800,4100,7200,5500" +st "" +blo "800,5300" +tm "BlkNameMgr" +) +*93 (Text +va (VaSet +font "courier,12,1" +) +xt "800,5500,3200,6900" +st "I0" +blo "800,6700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "800,12700,800,12700" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*94 (Text +va (VaSet +) +xt "-100,3000,2600,4000" +st "Library" +blo "-100,3800" +) +*95 (Text +va (VaSet +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*96 (Text +va (VaSet +) +xt "-100,5000,900,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-350,0,8350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*97 (Text +va (VaSet +) +xt "150,3000,2850,4000" +st "Library" +blo "150,3800" +tm "BdLibraryNameMgr" +) +*98 (Text +va (VaSet +) +xt "150,4000,5650,5000" +st "SaComponent" +blo "150,4800" +tm "CptNameMgr" +) +*99 (Text +va (VaSet +) +xt "150,5000,1150,6000" +st "I0" +blo "150,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-6850,1000,-6850,1000" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*100 (Text +va (VaSet +) +xt "-350,3000,2350,4000" +st "Library" +blo "-350,3800" +) +*101 (Text +va (VaSet +) +xt "-350,4000,5750,5000" +st "VhdlComponent" +blo "-350,4800" +) +*102 (Text +va (VaSet +) +xt "-350,5000,650,6000" +st "I0" +blo "-350,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7350,1000,-7350,1000" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1500,0,9500,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*103 (Text +va (VaSet +) +xt "-1000,3000,1700,4000" +st "Library" +blo "-1000,3800" +) +*104 (Text +va (VaSet +) +xt "-1000,4000,6100,5000" +st "VerilogComponent" +blo "-1000,4800" +) +*105 (Text +va (VaSet +) +xt "-1000,5000,0,6000" +st "I0" +blo "-1000,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-8000,1000,-8000,1000" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*106 (Text +va (VaSet +) +xt "3050,3700,4650,4700" +st "eb1" +blo "3050,4500" +tm "HdlTextNameMgr" +) +*107 (Text +va (VaSet +) +xt "3050,4700,3850,5700" +st "1" +blo "3050,5500" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-400,-600,600,400" +st "G" +blo "-400,200" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "-3000,-500,-3000,-500" +ju 2 +blo "-3000,-500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "-3000,-500,-3000,-500" +ju 2 +blo "-3000,-500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,3400,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,4700,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineStyle 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,5900,1400" +st "bundle0" +blo "0,1200" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,12,0" +) +xt "0,1400,1400,2700" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,12,0" +) +xt "0,0,6300,1300" +st "Auto list" +) +second (MLText +va (VaSet +font "courier,12,0" +) +xt "0,1400,12600,2700" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,17400,-300" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1150,1650" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*108 (Text +va (VaSet +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*109 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,10800,-300" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1150,1650" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*110 (Text +va (VaSet +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*111 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,750,3400,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,750,3400,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,9,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-13000,66400,-4400,67600" +st "Declarations" +blo "-13000,67400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "-13000,67600,-8800,68800" +st "Ports:" +blo "-13000,68600" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-13000,66400,-7000,67600" +st "Pre User:" +blo "-13000,67400" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-13000,66400,-13000,66400" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,10,1" +) +xt "-13000,74200,-2000,75400" +st "Diagram Signals:" +blo "-13000,75200" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-13000,66400,-5700,67600" +st "Post User:" +blo "-13000,67400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-13000,66400,-13000,66400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 10,0 +usingSuid 1 +emptyRow *112 (LEmptyRow +) +uid 864,0 +optionalChildren [ +*113 (RefLabelRowHdr +) +*114 (TitleRowHdr +) +*115 (FilterRowHdr +) +*116 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*117 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*118 (GroupColHdr +tm "GroupColHdrMgr" +) +*119 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*120 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*121 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*122 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*123 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*124 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*125 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 843,0 +) +*126 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 6 +suid 2,0 +) +) +uid 845,0 +) +*127 (LeafLogPort +port (LogicalPort +decl (Decl +n "down" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 847,0 +) +*128 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 849,0 +) +*129 (LeafLogPort +port (LogicalPort +decl (Decl +n "up" +t "std_uLogic" +o 5 +suid 5,0 +) +) +uid 851,0 +) +*130 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "carry" +t "std_ulogic_vector" +b "(nbBits DOWNTO 0)" +o 7 +suid 6,0 +) +) +uid 853,0 +) +*131 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "toInc" +t "std_ulogic_vector" +b "(nbBits-1 DOWNTO 0)" +o 10 +suid 7,0 +) +) +uid 855,0 +) +*132 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "newCounter" +t "unsigned" +b "(nbBits-1 DOWNTO 0)" +o 9 +suid 8,0 +) +) +uid 857,0 +) +*133 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "countInt" +t "unsigned" +b "(nbBits-1 DOWNTO 0)" +o 8 +suid 9,0 +) +) +uid 859,0 +) +*134 (LeafLogPort +port (LogicalPort +decl (Decl +n "enable" +t "std_uLogic" +o 3 +suid 10,0 +) +) +uid 861,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 877,0 +optionalChildren [ +*135 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *136 (MRCItem +litem &112 +pos 10 +dimension 20 +) +uid 879,0 +optionalChildren [ +*137 (MRCItem +litem &113 +pos 0 +dimension 20 +uid 880,0 +) +*138 (MRCItem +litem &114 +pos 1 +dimension 23 +uid 881,0 +) +*139 (MRCItem +litem &115 +pos 2 +hidden 1 +dimension 20 +uid 882,0 +) +*140 (MRCItem +litem &125 +pos 0 +dimension 20 +uid 844,0 +) +*141 (MRCItem +litem &126 +pos 1 +dimension 20 +uid 846,0 +) +*142 (MRCItem +litem &127 +pos 2 +dimension 20 +uid 848,0 +) +*143 (MRCItem +litem &128 +pos 3 +dimension 20 +uid 850,0 +) +*144 (MRCItem +litem &129 +pos 4 +dimension 20 +uid 852,0 +) +*145 (MRCItem +litem &130 +pos 6 +dimension 20 +uid 854,0 +) +*146 (MRCItem +litem &131 +pos 7 +dimension 20 +uid 856,0 +) +*147 (MRCItem +litem &132 +pos 8 +dimension 20 +uid 858,0 +) +*148 (MRCItem +litem &133 +pos 9 +dimension 20 +uid 860,0 +) +*149 (MRCItem +litem &134 +pos 5 +dimension 20 +uid 862,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 883,0 +optionalChildren [ +*150 (MRCItem +litem &116 +pos 0 +dimension 20 +uid 884,0 +) +*151 (MRCItem +litem &118 +pos 1 +dimension 50 +uid 885,0 +) +*152 (MRCItem +litem &119 +pos 2 +dimension 100 +uid 886,0 +) +*153 (MRCItem +litem &120 +pos 3 +dimension 50 +uid 887,0 +) +*154 (MRCItem +litem &121 +pos 4 +dimension 100 +uid 888,0 +) +*155 (MRCItem +litem &122 +pos 5 +dimension 100 +uid 889,0 +) +*156 (MRCItem +litem &123 +pos 6 +dimension 50 +uid 890,0 +) +*157 (MRCItem +litem &124 +pos 7 +dimension 80 +uid 891,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 878,0 +vaOverrides [ +] +) +] +) +uid 863,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *158 (LEmptyRow +) +uid 893,0 +optionalChildren [ +*159 (RefLabelRowHdr +) +*160 (TitleRowHdr +) +*161 (FilterRowHdr +) +*162 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*163 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*164 (GroupColHdr +tm "GroupColHdrMgr" +) +*165 (NameColHdr +tm "GenericNameColHdrMgr" +) +*166 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*167 (InitColHdr +tm "GenericValueColHdrMgr" +) +*168 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*169 (EolColHdr +tm "GenericEolColHdrMgr" +) +*170 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "8" +) +uid 1365,0 +) +*171 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 1367,0 +) +] +) +pdm (PhysicalDM +uid 905,0 +optionalChildren [ +*172 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *173 (MRCItem +litem &158 +pos 1 +dimension 20 +) +uid 907,0 +optionalChildren [ +*174 (MRCItem +litem &159 +pos 0 +dimension 20 +uid 908,0 +) +*175 (MRCItem +litem &160 +pos 1 +dimension 23 +uid 909,0 +) +*176 (MRCItem +litem &161 +pos 2 +hidden 1 +dimension 20 +uid 910,0 +) +*177 (MRCItem +litem &170 +pos 1 +dimension 20 +uid 1364,0 +) +*178 (MRCItem +litem &171 +pos 0 +dimension 20 +uid 1366,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 911,0 +optionalChildren [ +*179 (MRCItem +litem &162 +pos 0 +dimension 20 +uid 912,0 +) +*180 (MRCItem +litem &164 +pos 1 +dimension 50 +uid 913,0 +) +*181 (MRCItem +litem &165 +pos 2 +dimension 100 +uid 914,0 +) +*182 (MRCItem +litem &166 +pos 3 +dimension 100 +uid 915,0 +) +*183 (MRCItem +litem &167 +pos 4 +dimension 50 +uid 916,0 +) +*184 (MRCItem +litem &168 +pos 5 +dimension 50 +uid 917,0 +) +*185 (MRCItem +litem &169 +pos 6 +dimension 80 +uid 918,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 906,0 +vaOverrides [ +] +) +] +) +uid 892,0 +type 1 +) +activeModelName "BlockDiag" +frameCount 1 +) diff --git a/Libs/Sequential/hds/counter@up@down@enable/symbol.sb b/Libs/Sequential/hds/counter@up@down@enable/symbol.sb new file mode 100644 index 0000000..5d7a4ac --- /dev/null +++ b/Libs/Sequential/hds/counter@up@down@enable/symbol.sb @@ -0,0 +1,1715 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2006,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 205,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 206,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "enable" +t "std_uLogic" +o 3 +suid 6,0 +) +) +uid 207,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "down" +t "std_uLogic" +o 2 +suid 4,0 +) +) +uid 208,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "up" +t "std_uLogic" +o 5 +suid 5,0 +) +) +uid 209,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 6 +suid 2,0 +) +) +uid 210,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_uLogic" +o 4 +suid 3,0 +) +) +uid 211,0 +) +*8 (RefLabelRowHdr +) +*9 (TitleRowHdr +) +*10 (FilterRowHdr +) +*11 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*12 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*13 (GroupColHdr +tm "GroupColHdrMgr" +) +*14 (NameColHdr +tm "NameColHdrMgr" +) +*15 (ModeColHdr +tm "ModeColHdrMgr" +) +*16 (TypeColHdr +tm "TypeColHdrMgr" +) +*17 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*18 (InitColHdr +tm "InitColHdrMgr" +) +*19 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 212,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 145,0 +optionalChildren [ +*22 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 148,0 +) +*23 (MRCItem +litem &9 +pos 1 +dimension 23 +uid 150,0 +) +*24 (MRCItem +litem &10 +pos 2 +hidden 1 +dimension 20 +uid 152,0 +) +*25 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 171,0 +) +*26 (MRCItem +litem &3 +pos 5 +dimension 20 +uid 172,0 +) +*27 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 173,0 +) +*28 (MRCItem +litem &5 +pos 4 +dimension 20 +uid 174,0 +) +*29 (MRCItem +litem &6 +pos 1 +dimension 20 +uid 175,0 +) +*30 (MRCItem +litem &7 +pos 3 +dimension 20 +uid 176,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 146,0 +optionalChildren [ +*31 (MRCItem +litem &11 +pos 0 +dimension 20 +uid 154,0 +) +*32 (MRCItem +litem &13 +pos 1 +dimension 50 +uid 158,0 +) +*33 (MRCItem +litem &14 +pos 2 +dimension 100 +uid 160,0 +) +*34 (MRCItem +litem &15 +pos 3 +dimension 50 +uid 162,0 +) +*35 (MRCItem +litem &16 +pos 4 +dimension 100 +uid 164,0 +) +*36 (MRCItem +litem &17 +pos 5 +dimension 100 +uid 166,0 +) +*37 (MRCItem +litem &18 +pos 6 +dimension 50 +uid 168,0 +) +*38 (MRCItem +litem &19 +pos 7 +dimension 80 +uid 170,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 144,0 +vaOverrides [ +] +) +] +) +uid 204,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 214,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "8" +) +uid 202,0 +) +*52 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 363,0 +) +] +) +pdm (PhysicalDM +uid 215,0 +optionalChildren [ +*53 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *54 (MRCItem +litem &39 +pos 2 +dimension 20 +) +uid 178,0 +optionalChildren [ +*55 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 181,0 +) +*56 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 183,0 +) +*57 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 185,0 +) +*58 (MRCItem +litem &51 +pos 1 +dimension 20 +uid 203,0 +) +*59 (MRCItem +litem &52 +pos 0 +dimension 20 +uid 364,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 179,0 +optionalChildren [ +*60 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 187,0 +) +*61 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 191,0 +) +*62 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 193,0 +) +*63 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 195,0 +) +*64 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 197,0 +) +*65 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 199,0 +) +*66 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 201,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 177,0 +vaOverrides [ +] +) +] +) +uid 213,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@up@down@enable/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@up@down@enable/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@up@down@enable" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counterUpDownEnable" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "counterUpDownEnable" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:19" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "counterUpDownEnable" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@up@down@enable/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counterUpDownEnable/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_ActelUserPath" +value "$HDS_PROJECT_DIR\\..\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:19" +) +(vvPair +variable "unit" +value "counterUpDownEnable" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 66,0 +optionalChildren [ +*67 (SymbolBody +uid 8,0 +optionalChildren [ +*68 (CptPort +uid 112,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 113,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25250,11625,26000,12375" +) +tg (CPTG +uid 114,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 115,0 +va (VaSet +font "courier,12,0" +) +xt "27000,11300,30800,12700" +st "clock" +blo "27000,12500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 116,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,4000,7000,4900" +st "clock : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*69 (CptPort +uid 117,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 118,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42000,7625,42750,8375" +) +tg (CPTG +uid 119,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 120,0 +va (VaSet +font "courier,12,0" +) +xt "34400,7300,41000,8700" +st "countOut" +ju 2 +blo "41000,8500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 121,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,8500,14000,9400" +st "countOut : OUT unsigned (bitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 6 +suid 2,0 +) +) +) +*70 (CptPort +uid 122,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 123,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25250,13625,26000,14375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 125,0 +va (VaSet +font "courier,12,0" +) +xt "27000,13300,31100,14700" +st "reset" +blo "27000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 126,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,6700,7000,7600" +st "reset : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_uLogic" +o 4 +suid 3,0 +) +) +) +*71 (CptPort +uid 127,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 128,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25250,5625,26000,6375" +) +tg (CPTG +uid 129,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 130,0 +va (VaSet +font "courier,12,0" +) +xt "27000,5300,31300,6700" +st "down" +blo "27000,6500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 131,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,4900,7000,5800" +st "down : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "down" +t "std_uLogic" +o 2 +suid 4,0 +) +) +) +*72 (CptPort +uid 132,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 133,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25250,3625,26000,4375" +) +tg (CPTG +uid 134,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 135,0 +va (VaSet +font "courier,12,0" +) +xt "27000,3300,29400,4700" +st "up" +blo "27000,4500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 136,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,7600,7000,8500" +st "up : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "up" +t "std_uLogic" +o 5 +suid 5,0 +) +) +) +*73 (CptPort +uid 139,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 140,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25250,9625,26000,10375" +) +tg (CPTG +uid 141,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 142,0 +va (VaSet +font "courier,12,0" +) +xt "27000,9300,32100,10700" +st "enable" +blo "27000,10500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 143,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,5800,7000,6700" +st "enable : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "enable" +t "std_uLogic" +o 3 +suid 6,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "26000,0,42000,16000" +) +oxt "15000,6000,23000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "26300,16400,32900,17400" +st "sequential" +blo "26300,17200" +) +second (Text +uid 12,0 +va (VaSet +) +xt "26300,17400,38300,18400" +st "counterUpDownEnable" +blo "26300,18200" +) +) +gi *74 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +) +xt "26000,20400,42200,24400" +st "Generic Declarations + +delay time gateDelay +bitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "bitNb" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*75 (Grouping +uid 308,0 +optionalChildren [ +*76 (CommentText +uid 310,0 +shape (Rectangle +uid 311,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,39000,42000,40000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 312,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,39000,40200,40000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 313,0 +shape (Rectangle +uid 314,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,35000,46000,36000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 315,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,35000,45800,36000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 316,0 +shape (Rectangle +uid 317,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,37000,42000,38000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 318,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,37000,41400,38000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 319,0 +shape (Rectangle +uid 320,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,37000,25000,38000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 321,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,37000,24800,38000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 322,0 +shape (Rectangle +uid 323,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,36000,62000,40000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 324,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,36200,55400,37200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 325,0 +shape (Rectangle +uid 326,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,35000,62000,36000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 327,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,35000,48000,36000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 328,0 +shape (Rectangle +uid 329,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,35000,42000,37000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 330,0 +va (VaSet +fg "32768,0,0" +) +xt "27000,35500,36000,36500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 331,0 +shape (Rectangle +uid 332,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,38000,25000,39000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 333,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,38000,24200,39000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 334,0 +shape (Rectangle +uid 335,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,39000,25000,40000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 336,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,39000,24800,40000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 337,0 +shape (Rectangle +uid 338,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,38000,42000,39000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 339,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,38000,35400,39000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 309,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "21000,35000,62000,40000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *86 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +uid 137,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,-9200,-3400,-8000" +st "Package List" +blo "-11000,-8200" +) +*88 (MLText +uid 138,0 +va (VaSet +) +xt "-11000,-8000,7600,-3000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "127,35,1422,892" +viewArea "-12100,-10300,65372,41180" +cachedDiagramExtent "-11000,-9200,62000,40000" +hasePageBreakOrigin 1 +pageBreakOrigin "-11000,-58000" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "1000,1000,4500,2200" +st "Panel0" +blo "1000,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "NUM" +entityName "topLevel" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,51000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "30500,14400,34000,15400" +st "" +blo "30500,15200" +) +second (Text +va (VaSet +) +xt "30500,15400,33100,16400" +st "" +blo "30500,16200" +) +) +gi *89 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *90 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,1600,-2400,2800" +st "Declarations" +blo "-11000,2600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,2800,-6800,4000" +st "Ports:" +blo "-11000,3800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,9400,-8000,10400" +st "User:" +blo "-11000,10200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-11000,1600,-1600,2800" +st "Internal User:" +blo "-11000,2600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,10400,-9000,10400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-11000,1600,-11000,1600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 479,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/edge@detector/symbol.sb b/Libs/Sequential/hds/edge@detector/symbol.sb new file mode 100644 index 0000000..35ff157 --- /dev/null +++ b/Libs/Sequential/hds/edge@detector/symbol.sb @@ -0,0 +1,1561 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 191,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in_asynch" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 192,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "edge_pulse" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 193,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 194,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 195,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 196,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 135,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 138,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 140,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 142,0 +) +*23 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 161,0 +) +*24 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 162,0 +) +*25 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 163,0 +) +*26 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 164,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 136,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 144,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 148,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 150,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 152,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 154,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 156,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 158,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 160,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 134,0 +vaOverrides [ +] +) +] +) +uid 190,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 198,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 278,0 +) +] +) +pdm (PhysicalDM +uid 199,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 166,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 169,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 171,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 173,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 279,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 167,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 175,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 179,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 181,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 183,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 185,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 187,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 189,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 165,0 +vaOverrides [ +] +) +] +) +uid 197,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/edge@detector/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/edge@detector/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/edge@detector" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/edgeDetector" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "edgeDetector" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:18" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\users\\syslo\\dcf\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "edgeDetector" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/edge@detector/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/edgeDetector/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_ActelUserPath" +value "$HDS_PROJECT_DIR\\..\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:18" +) +(vvPair +variable "unit" +value "edgeDetector" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 66,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 112,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 113,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,15625,24000,16375" +) +tg (CPTG +uid 114,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 115,0 +va (VaSet +font "courier,12,0" +) +xt "25000,15300,28800,16700" +st "clock" +blo "25000,16500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 116,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,11600,8000,12500" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*63 (CptPort +uid 117,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 118,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,11625,40750,12375" +) +tg (CPTG +uid 119,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 120,0 +va (VaSet +font "courier,12,0" +) +xt "30000,11300,39000,12700" +st "edge_pulse" +ju 2 +blo "39000,12500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 121,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,14300,7000,15200" +st "edge_pulse : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "edge_pulse" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*64 (CptPort +uid 122,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 123,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,17625,24000,18375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 125,0 +va (VaSet +font "courier,12,0" +) +xt "25000,17300,29100,18700" +st "reset" +blo "25000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 126,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,13400,8000,14300" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*65 (CptPort +uid 129,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 130,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,11625,24000,12375" +) +tg (CPTG +uid 131,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 132,0 +va (VaSet +font "courier,12,0" +) +xt "25000,11300,32100,12700" +st "in_asynch" +blo "25000,12500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 133,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,12500,8000,13400" +st "in_asynch : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "in_asynch" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "24000,8000,40000,19000" +) +oxt "15000,6000,23000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "24300,19400,30900,20400" +st "sequential" +blo "24300,20200" +) +second (Text +uid 12,0 +va (VaSet +) +xt "24300,20400,32100,21400" +st "edgeDetector" +blo "24300,21200" +) +) +gi *66 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +) +xt "24000,23400,37800,26400" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*67 (Grouping +uid 223,0 +optionalChildren [ +*68 (CommentText +uid 225,0 +shape (Rectangle +uid 226,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,48000,42000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 227,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,48000,40200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 228,0 +shape (Rectangle +uid 229,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,44000,46000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 230,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,44000,45800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 231,0 +shape (Rectangle +uid 232,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,46000,42000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 233,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,46000,41400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 234,0 +shape (Rectangle +uid 235,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,46000,25000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 236,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,46000,24800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 237,0 +shape (Rectangle +uid 238,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,45000,62000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 239,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,45200,55400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 240,0 +shape (Rectangle +uid 241,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,44000,62000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 242,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,44000,48000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 243,0 +shape (Rectangle +uid 244,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,44000,42000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 245,0 +va (VaSet +fg "32768,0,0" +) +xt "27000,44500,36000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 246,0 +shape (Rectangle +uid 247,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,47000,25000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 248,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,47000,24200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 249,0 +shape (Rectangle +uid 250,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,48000,25000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 251,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,48000,24800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 252,0 +shape (Rectangle +uid 253,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,47000,42000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 254,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,47000,40200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 224,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "21000,44000,62000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *78 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +uid 127,0 +va (VaSet +font "courier,12,1" +) +xt "-11000,0,-2200,1500" +st "Package List" +blo "-11000,1200" +) +*80 (MLText +uid 128,0 +va (VaSet +font "courier,12,0" +) +xt "-11000,1500,10700,6700" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "124,48,1413,892" +viewArea "-12000,-1100,66110,50146" +cachedDiagramExtent "-11000,0,62000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-11000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +bg "65280,65280,65280" +lineColor "0,16384,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,16384,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "NUM" +entityName "topLevel" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "15000,6000,51000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,12,1" +) +xt "30500,14400,35500,15900" +st "" +blo "30500,15600" +) +second (Text +va (VaSet +font "courier,12,1" +) +xt "30500,15900,33900,17400" +st "" +blo "30500,17100" +) +) +gi *81 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *82 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,9200,-3600,10400" +st "Declarations" +blo "-11000,10200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,10400,-7500,11600" +st "Ports:" +blo "-11000,11400" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,15200,-8000,16200" +st "User:" +blo "-11000,16000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-11000,9200,-3200,10400" +st "Internal User:" +blo "-11000,10200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,16200,-9000,16200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-11000,9200,-11000,9200" +tm "SyDeclarativeTextMgr" +) +) +lastUid 302,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/freq@divider/symbol.sb b/Libs/Sequential/hds/freq@divider/symbol.sb new file mode 100644 index 0000000..96bc8fb --- /dev/null +++ b/Libs/Sequential/hds/freq@divider/symbol.sb @@ -0,0 +1,1525 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 187,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 188,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "enable" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 189,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 190,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 191,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 128,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 131,0 +) +*20 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 133,0 +) +*21 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 135,0 +) +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 154,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 155,0 +) +*24 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 156,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 129,0 +optionalChildren [ +*25 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 137,0 +) +*26 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 141,0 +) +*27 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 143,0 +) +*28 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 145,0 +) +*29 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 147,0 +) +*30 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 149,0 +) +*31 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 151,0 +) +*32 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 153,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 127,0 +vaOverrides [ +] +) +] +) +uid 186,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 193,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "divideValue" +type "positive" +value "256" +) +uid 182,0 +) +*46 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 273,0 +) +] +) +pdm (PhysicalDM +uid 194,0 +optionalChildren [ +*47 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *48 (MRCItem +litem &33 +pos 2 +dimension 20 +) +uid 158,0 +optionalChildren [ +*49 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 161,0 +) +*50 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 163,0 +) +*51 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 165,0 +) +*52 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 183,0 +) +*53 (MRCItem +litem &46 +pos 1 +dimension 20 +uid 274,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 159,0 +optionalChildren [ +*54 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 167,0 +) +*55 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 171,0 +) +*56 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 173,0 +) +*57 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 175,0 +) +*58 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 177,0 +) +*59 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 179,0 +) +*60 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 181,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 157,0 +vaOverrides [ +] +) +] +) +uid 192,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/freq@divider/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/freq@divider/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/freq@divider" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/freqDivider" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "freqDivider" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:18" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "freqDivider" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/freq@divider/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/freqDivider/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:18" +) +(vvPair +variable "unit" +value "freqDivider" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 66,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 112,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 113,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,17625,24000,18375" +) +tg (CPTG +uid 114,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 115,0 +va (VaSet +font "courier,12,0" +) +xt "25000,17300,28800,18700" +st "clock" +blo "25000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 116,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,15400,6000,16300" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*63 (CptPort +uid 117,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 118,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,17625,40750,18375" +) +tg (CPTG +uid 119,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 120,0 +va (VaSet +font "courier,12,0" +) +xt "33900,17300,39000,18700" +st "enable" +ju 2 +blo "39000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 121,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,17200,5000,18100" +st "enable : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "enable" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*64 (CptPort +uid 122,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 123,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,19625,24000,20375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 125,0 +va (VaSet +font "courier,12,0" +) +xt "25000,19300,29100,20700" +st "reset" +blo "25000,20500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 126,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,16300,6000,17200" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "24000,14000,40000,22000" +) +oxt "15000,6000,23000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "24300,22400,30900,23400" +st "sequential" +blo "24300,23200" +) +second (Text +uid 12,0 +va (VaSet +) +xt "24300,23400,31500,24400" +st "freqDivider" +blo "24300,24200" +) +) +gi *65 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +) +xt "24000,26400,43800,30400" +st "Generic Declarations + +divideValue positive 256 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "divideValue" +type "positive" +value "256" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*66 (Grouping +uid 218,0 +optionalChildren [ +*67 (CommentText +uid 220,0 +shape (Rectangle +uid 221,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,48000,42000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 222,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,48000,42000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 223,0 +shape (Rectangle +uid 224,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,44000,46000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 225,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,44000,45800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 226,0 +shape (Rectangle +uid 227,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,46000,42000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 228,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,46000,41400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 229,0 +shape (Rectangle +uid 230,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,46000,25000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 231,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,46000,24800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 232,0 +shape (Rectangle +uid 233,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,45000,62000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 234,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,45200,55400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 235,0 +shape (Rectangle +uid 236,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,44000,62000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 237,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,44000,48000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 238,0 +shape (Rectangle +uid 239,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,44000,42000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 240,0 +va (VaSet +fg "32768,0,0" +) +xt "27000,44500,36000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 241,0 +shape (Rectangle +uid 242,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,47000,25000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 243,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,47000,24200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 244,0 +shape (Rectangle +uid 245,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,48000,25000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 246,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,48000,24800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 247,0 +shape (Rectangle +uid 248,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,47000,42000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 249,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,47000,39600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 219,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "21000,44000,62000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *77 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +uid 184,0 +va (VaSet +font "courier,12,1" +) +xt "-11000,0,-2200,1500" +st "Package List" +blo "-11000,1200" +) +*79 (MLText +uid 185,0 +va (VaSet +font "courier,12,0" +) +xt "-11000,1500,10700,8000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "165,37,1433,889" +viewArea "-12100,-1100,63428,50020" +cachedDiagramExtent "-11000,0,62000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-11000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +bg "65280,65280,65280" +lineColor "0,16384,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,16384,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "15000,6000,51000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,12,1" +) +xt "30500,14400,36200,15900" +st "" +blo "30500,15600" +) +second (Text +va (VaSet +font "courier,12,1" +) +xt "30500,15900,34600,17400" +st "" +blo "30500,17100" +) +) +gi *80 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *81 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,13000,-3600,14200" +st "Declarations" +blo "-11000,14000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,14200,-7500,15400" +st "Ports:" +blo "-11000,15200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,18100,-8000,19100" +st "User:" +blo "-11000,18900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-11000,13000,-3200,14200" +st "Internal User:" +blo "-11000,14000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,19100,-9000,19100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-11000,13000,-11000,13000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 366,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/freq@divider@enable/symbol.sb b/Libs/Sequential/hds/freq@divider@enable/symbol.sb new file mode 100644 index 0000000..db9f4b3 --- /dev/null +++ b/Libs/Sequential/hds/freq@divider@enable/symbol.sb @@ -0,0 +1,1586 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 187,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 188,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "enOut" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 189,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 190,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "enIn" +t "std_ulogic" +o 4 +suid 2004,0 +) +) +uid 372,0 +) +] +) +pdm (PhysicalDM +uid 191,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 128,0 +optionalChildren [ +*20 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 131,0 +) +*21 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 133,0 +) +*22 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 135,0 +) +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 154,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 155,0 +) +*25 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 156,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 373,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 129,0 +optionalChildren [ +*27 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 137,0 +) +*28 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 141,0 +) +*29 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 143,0 +) +*30 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 145,0 +) +*31 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 147,0 +) +*32 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 149,0 +) +*33 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 151,0 +) +*34 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 153,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 127,0 +vaOverrides [ +] +) +] +) +uid 186,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 193,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "divideValue" +type "positive" +value "256" +) +uid 182,0 +) +*48 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 273,0 +) +] +) +pdm (PhysicalDM +uid 194,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *50 (MRCItem +litem &35 +pos 2 +dimension 20 +) +uid 158,0 +optionalChildren [ +*51 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 161,0 +) +*52 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 163,0 +) +*53 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 165,0 +) +*54 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 183,0 +) +*55 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 274,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 159,0 +optionalChildren [ +*56 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 167,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 171,0 +) +*58 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 173,0 +) +*59 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 175,0 +) +*60 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 177,0 +) +*61 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 179,0 +) +*62 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 181,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 157,0 +vaOverrides [ +] +) +] +) +uid 192,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/freq@divider@enable/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/freq@divider@enable/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/freq@divider@enable" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/freqDividerEnable" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "freqDividerEnable" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:18" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "freqDividerEnable" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/freq@divider@enable/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/freqDividerEnable/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:18" +) +(vvPair +variable "unit" +value "freqDividerEnable" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 66,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 112,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 113,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,17625,24000,18375" +) +tg (CPTG +uid 114,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 115,0 +va (VaSet +font "courier,12,0" +) +xt "25000,17300,28800,18700" +st "clock" +blo "25000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 116,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,15400,5500,16300" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 117,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 118,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,13625,40750,14375" +) +tg (CPTG +uid 119,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 120,0 +va (VaSet +font "courier,12,0" +) +xt "34300,13300,39000,14700" +st "enOut" +ju 2 +blo "39000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 121,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,18100,4500,19000" +st "enOut : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "enOut" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*66 (CptPort +uid 122,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 123,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,19625,24000,20375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 125,0 +va (VaSet +font "courier,12,0" +) +xt "25000,19300,29100,20700" +st "reset" +blo "25000,20500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 126,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,17200,5500,18100" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*67 (CptPort +uid 367,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 397,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,13625,24000,14375" +) +tg (CPTG +uid 369,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 370,0 +va (VaSet +font "courier,12,0" +) +xt "25000,13300,28700,14700" +st "enIn" +blo "25000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 371,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,16300,5500,17200" +st "enIn : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "enIn" +t "std_ulogic" +o 4 +suid 2004,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "24000,10000,40000,22000" +) +oxt "15000,6000,23000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "24300,22400,30900,23400" +st "sequential" +blo "24300,23200" +) +second (Text +uid 12,0 +va (VaSet +) +xt "24300,23400,35100,24400" +st "freqDividerEnable" +blo "24300,24200" +) +) +gi *68 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +) +xt "24000,26400,43800,30400" +st "Generic Declarations + +divideValue positive 256 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "divideValue" +type "positive" +value "256" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*69 (Grouping +uid 218,0 +optionalChildren [ +*70 (CommentText +uid 220,0 +shape (Rectangle +uid 221,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,48000,42000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 222,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,48000,40200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 223,0 +shape (Rectangle +uid 224,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,44000,46000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 225,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,44000,45800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 226,0 +shape (Rectangle +uid 227,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,46000,42000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 228,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,46000,41400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 229,0 +shape (Rectangle +uid 230,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,46000,25000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 231,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,46000,24800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 232,0 +shape (Rectangle +uid 233,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,45000,62000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 234,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,45200,55400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 235,0 +shape (Rectangle +uid 236,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,44000,62000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 237,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,44000,48000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 238,0 +shape (Rectangle +uid 239,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,44000,42000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 240,0 +va (VaSet +fg "32768,0,0" +) +xt "27000,44500,36000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 241,0 +shape (Rectangle +uid 242,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,47000,25000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 243,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,47000,24200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 244,0 +shape (Rectangle +uid 245,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,48000,25000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 246,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,48000,24800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 247,0 +shape (Rectangle +uid 248,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,47000,42000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 249,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,47000,35400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 219,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "21000,44000,62000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *80 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 184,0 +va (VaSet +font "courier,12,1" +) +xt "-11000,0,-2200,1500" +st "Package List" +blo "-11000,1200" +) +*82 (MLText +uid 185,0 +va (VaSet +font "courier,12,0" +) +xt "-11000,1500,10700,8000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "165,37,1434,889" +viewArea "-12100,-1100,63500,50020" +cachedDiagramExtent "-11000,0,62000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-11000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +bg "65280,65280,65280" +lineColor "0,16384,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,16384,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "15000,6000,51000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,12,1" +) +xt "30500,14400,36200,15900" +st "" +blo "30500,15600" +) +second (Text +va (VaSet +font "courier,12,1" +) +xt "30500,15900,34600,17400" +st "" +blo "30500,17100" +) +) +gi *83 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *84 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,13000,-3600,14200" +st "Declarations" +blo "-11000,14000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,14200,-7500,15400" +st "Ports:" +blo "-11000,15200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,19000,-8000,20000" +st "User:" +blo "-11000,19800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-11000,13000,-3200,14200" +st "Internal User:" +blo "-11000,14000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,20000,-9000,20000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-11000,13000,-11000,13000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 397,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/reg@latch/symbol.sb b/Libs/Sequential/hds/reg@latch/symbol.sb new file mode 100644 index 0000000..b75dbae --- /dev/null +++ b/Libs/Sequential/hds/reg@latch/symbol.sb @@ -0,0 +1,1162 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +itemName "ALL" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 152,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "load" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 153,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "signed" +b "(nbBits-1 DOWNTO 0)" +o 4 +suid 3,0 +) +) +uid 154,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "signed" +b "(nbBits-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 155,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 156,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 91,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 94,0 +) +*20 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 96,0 +) +*21 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 98,0 +) +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 117,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 118,0 +) +*24 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 119,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 92,0 +optionalChildren [ +*25 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 100,0 +) +*26 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 104,0 +) +*27 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 106,0 +) +*28 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 108,0 +) +*29 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 110,0 +) +*30 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 112,0 +) +*31 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 114,0 +) +*32 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 116,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 90,0 +vaOverrides [ +] +) +] +) +uid 151,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 158,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 145,0 +) +*46 (LogGeneric +generic (GiElement +name "nbBits" +type "positive" +value "4" +) +uid 146,0 +) +] +) +pdm (PhysicalDM +uid 159,0 +optionalChildren [ +*47 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *48 (MRCItem +litem &33 +pos 2 +dimension 20 +) +uid 121,0 +optionalChildren [ +*49 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 124,0 +) +*50 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 126,0 +) +*51 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 128,0 +) +*52 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 147,0 +) +*53 (MRCItem +litem &46 +pos 1 +dimension 20 +uid 148,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 122,0 +optionalChildren [ +*54 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 130,0 +) +*55 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 134,0 +) +*56 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 136,0 +) +*57 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 138,0 +) +*58 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 140,0 +) +*59 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 142,0 +) +*60 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 144,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 120,0 +vaOverrides [ +] +) +] +) +uid 157,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/reg@latch/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/reg@latch/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/reg@latch" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/regLatch" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "regLatch" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:18" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "regLatch" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/reg@latch/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/regLatch/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:18" +) +(vvPair +variable "unit" +value "regLatch" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 62,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 83,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39625,250,40375,1000" +) +tg (CPTG +uid 64,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 65,0 +va (VaSet +font "courier,12,0" +) +xt "38000,1000,44000,2400" +st "dataOut" +blo "38000,2200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 66,0 +va (VaSet +) +xt "2000,11400,28400,12400" +st "dataOut : OUT signed (nbBits-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "signed" +b "(nbBits-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*63 (CptPort +uid 68,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 69,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,3625,34000,4375" +) +tg (CPTG +uid 70,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 71,0 +va (VaSet +font "courier,12,0" +) +xt "35000,3300,38500,4700" +st "load" +blo "35000,4500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 72,0 +va (VaSet +) +xt "2000,10400,20600,11400" +st "load : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "load" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*64 (CptPort +uid 78,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 85,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39625,7000,40375,7750" +) +tg (CPTG +uid 80,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 81,0 +va (VaSet +font "courier,12,0" +) +xt "38000,5600,43000,7000" +st "dataIn" +blo "38000,6800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 82,0 +va (VaSet +) +xt "2000,9400,29600,10400" +st "dataIn : IN signed (nbBits-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "signed" +b "(nbBits-1 DOWNTO 0)" +o 4 +suid 3,0 +) +) +) +] +shape (Rectangle +uid 67,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34000,1000,46000,7000" +) +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "34910,7700,41510,8700" +st "sequential" +blo "34910,8500" +) +second (Text +uid 12,0 +va (VaSet +) +xt "34910,8700,39710,9700" +st "regLatch" +blo "34910,9500" +) +) +gi *65 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +) +xt "35000,12200,51800,16200" +st "Generic Declarations + +delay time gateDelay +nbBits positive 4 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "nbBits" +type "positive" +value "4" +) +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *66 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*67 (Text +uid 149,0 +va (VaSet +font "courier,12,1" +) +xt "0,-2500,8800,-1000" +st "Package List" +blo "0,-1300" +) +*68 (MLText +uid 150,0 +va (VaSet +font "courier,12,0" +) +xt "0,-1000,21700,5500" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.ALL;" +tm "PackageList" +) +] +) +windowSize "7,40,1032,788" +viewArea "-700,-6400,47917,28187" +cachedDiagramExtent "0,-2500,49800,17000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-3000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "26910,24700,30410,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *69 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *70 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,7000,7400,8200" +st "Declarations" +blo "0,8000" +) +portLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,8200,3500,9400" +st "Ports:" +blo "0,9200" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,12400,3000,13400" +st "User:" +blo "0,13200" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,15100,7800,16300" +st "Internal User:" +blo "0,16100" +) +externalText (MLText +uid 3,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13400,2000,13400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,16800,2000,16800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 182,0 +) diff --git a/Libs/Sequential/hds/register@file/struct.bd b/Libs/Sequential/hds/register@file/struct.bd new file mode 100644 index 0000000..1445886 --- /dev/null +++ b/Libs/Sequential/hds/register@file/struct.bd @@ -0,0 +1,3140 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I0" +duLibraryName "virtexPrimitives" +duName "RAMnXmD" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +(GiElement +name "addressNbBits" +type "positive" +value "addressNbBits" +) +(GiElement +name "dataNbBits" +type "positive" +value "dataNbBits" +) +] +mwi 0 +uid 179,0 +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/register@file/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/register@file/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/register@file" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/registerFile" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "registerFile" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:19" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "registerFile" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/register@file/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/registerFile/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:46:19" +) +(vvPair +variable "unit" +value "registerFile" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 41,0 +optionalChildren [ +*1 (PortIoIn +uid 53,0 +shape (CompositeShape +uid 293,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 294,0 +sl 0 +ro 270 +xt "39000,6625,40500,7375" +) +(Line +uid 295,0 +sl 0 +ro 270 +xt "40500,7000,41000,7000" +pts [ +"40500,7000" +"41000,7000" +] +) +] +) +tg (WTG +uid 296,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 297,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "9600,6300,38000,7700" +st "addressA : (addressNbBits-1 DOWNTO 0)" +ju 2 +blo "38000,7500" +tm "WireNameMgr" +) +s (Text +uid 298,0 +va (VaSet +font "courier,12,0" +) +xt "9600,7700,9600,7700" +ju 2 +blo "9600,7700" +tm "SignalTypeMgr" +) +) +) +*2 (Net +uid 65,0 +decl (Decl +n "addressA" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 1 +suid 1,0 +) +declText (MLText +uid 66,0 +va (VaSet +font "courier,9,0" +) +xt "2000,8800,26500,9700" +st "addressA : unsigned(addressNbBits-1 DOWNTO 0)" +) +) +*3 (PortIoIn +uid 67,0 +shape (CompositeShape +uid 299,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 300,0 +sl 0 +ro 270 +xt "39000,8625,40500,9375" +) +(Line +uid 301,0 +sl 0 +ro 270 +xt "40500,9000,41000,9000" +pts [ +"40500,9000" +"41000,9000" +] +) +] +) +tg (WTG +uid 302,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 303,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "9600,8300,38000,9700" +st "addressB : (addressNbBits-1 DOWNTO 0)" +ju 2 +blo "38000,9500" +tm "WireNameMgr" +) +s (Text +uid 304,0 +va (VaSet +font "courier,12,0" +) +xt "9600,9700,9600,9700" +ju 2 +blo "9600,9700" +tm "SignalTypeMgr" +) +) +) +*4 (Net +uid 79,0 +decl (Decl +n "addressB" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 2 +suid 2,0 +) +declText (MLText +uid 80,0 +va (VaSet +font "courier,9,0" +) +xt "2000,10000,26500,10900" +st "addressB : unsigned(addressNbBits-1 DOWNTO 0)" +) +) +*5 (PortIoIn +uid 81,0 +shape (CompositeShape +uid 305,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 306,0 +sl 0 +ro 270 +xt "39000,14625,40500,15375" +) +(Line +uid 307,0 +sl 0 +ro 270 +xt "40500,15000,41000,15000" +pts [ +"40500,15000" +"41000,15000" +] +) +] +) +tg (WTG +uid 308,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 309,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "34200,14300,38000,15700" +st "clock" +ju 2 +blo "38000,15500" +tm "WireNameMgr" +) +s (Text +uid 310,0 +va (VaSet +font "courier,12,0" +) +xt "34200,15700,34200,15700" +ju 2 +blo "34200,15700" +tm "SignalTypeMgr" +) +) +) +*6 (Net +uid 93,0 +decl (Decl +n "clock" +t "std_uLogic" +o 3 +suid 3,0 +) +declText (MLText +uid 94,0 +va (VaSet +font "courier,9,0" +) +xt "2000,11200,14500,12100" +st "clock : std_uLogic" +) +) +*7 (PortIoOut +uid 95,0 +shape (CompositeShape +uid 311,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 312,0 +sl 0 +ro 270 +xt "73500,6625,75000,7375" +) +(Line +uid 313,0 +sl 0 +ro 270 +xt "73000,7000,73500,7000" +pts [ +"73000,7000" +"73500,7000" +] +) +] +) +tg (WTG +uid 314,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 315,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "76000,6300,100000,7700" +st "dataA : (dataNbBits-1 DOWNTO 0)" +blo "76000,7500" +tm "WireNameMgr" +) +s (Text +uid 316,0 +va (VaSet +font "courier,12,0" +) +xt "76000,7700,76000,7700" +blo "76000,7700" +tm "SignalTypeMgr" +) +) +) +*8 (Net +uid 107,0 +decl (Decl +n "dataA" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 6 +suid 4,0 +) +declText (MLText +uid 108,0 +va (VaSet +font "courier,9,0" +) +xt "2000,14800,24000,15700" +st "dataA : signed(dataNbBits-1 DOWNTO 0)" +) +) +*9 (PortIoOut +uid 109,0 +shape (CompositeShape +uid 317,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 318,0 +sl 0 +ro 270 +xt "73500,8625,75000,9375" +) +(Line +uid 319,0 +sl 0 +ro 270 +xt "73000,9000,73500,9000" +pts [ +"73000,9000" +"73500,9000" +] +) +] +) +tg (WTG +uid 320,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 321,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "76000,8300,100000,9700" +st "dataB : (dataNbBits-1 DOWNTO 0)" +blo "76000,9500" +tm "WireNameMgr" +) +s (Text +uid 322,0 +va (VaSet +font "courier,12,0" +) +xt "76000,9700,76000,9700" +blo "76000,9700" +tm "SignalTypeMgr" +) +) +) +*10 (Net +uid 121,0 +decl (Decl +n "dataB" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 7 +suid 5,0 +) +declText (MLText +uid 122,0 +va (VaSet +font "courier,9,0" +) +xt "2000,16000,24000,16900" +st "dataB : signed(dataNbBits-1 DOWNTO 0)" +) +) +*11 (PortIoIn +uid 123,0 +shape (CompositeShape +uid 323,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 324,0 +sl 0 +ro 270 +xt "39000,4625,40500,5375" +) +(Line +uid 325,0 +sl 0 +ro 270 +xt "40500,5000,41000,5000" +pts [ +"40500,5000" +"41000,5000" +] +) +] +) +tg (WTG +uid 326,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 327,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "13500,4300,38000,5700" +st "dataIn : (dataNbBits-1 DOWNTO 0)" +ju 2 +blo "38000,5500" +tm "WireNameMgr" +) +s (Text +uid 328,0 +va (VaSet +font "courier,12,0" +) +xt "13500,5700,13500,5700" +ju 2 +blo "13500,5700" +tm "SignalTypeMgr" +) +) +) +*12 (Net +uid 135,0 +decl (Decl +n "dataIn" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 4 +suid 6,0 +) +declText (MLText +uid 136,0 +va (VaSet +font "courier,9,0" +) +xt "2000,12400,24000,13300" +st "dataIn : signed(dataNbBits-1 DOWNTO 0)" +) +) +*13 (PortIoIn +uid 137,0 +shape (CompositeShape +uid 329,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 330,0 +sl 0 +ro 270 +xt "39000,12625,40500,13375" +) +(Line +uid 331,0 +sl 0 +ro 270 +xt "40500,13000,41000,13000" +pts [ +"40500,13000" +"41000,13000" +] +) +] +) +tg (WTG +uid 332,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 333,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "28900,12300,38000,13700" +st "writeEnable" +ju 2 +blo "38000,13500" +tm "WireNameMgr" +) +s (Text +uid 334,0 +va (VaSet +font "courier,12,0" +) +xt "28900,13700,28900,13700" +ju 2 +blo "28900,13700" +tm "SignalTypeMgr" +) +) +) +*14 (Net +uid 149,0 +decl (Decl +n "writeEnable" +t "std_uLogic" +o 5 +suid 7,0 +) +declText (MLText +uid 150,0 +va (VaSet +font "courier,9,0" +) +xt "2000,13600,14500,14500" +st "writeEnable : std_uLogic" +) +) +*15 (SaComponent +uid 179,0 +optionalChildren [ +*16 (CptPort +uid 151,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 152,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65000,6625,65750,7375" +) +tg (CPTG +uid 153,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 154,0 +va (VaSet +font "courier,12,0" +) +xt "60600,6300,64000,7700" +st "SPO" +ju 2 +blo "64000,7500" +) +s (Text +uid 188,0 +va (VaSet +font "courier,12,0" +) +xt "64000,7700,64000,7700" +ju 2 +blo "0,-200" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SPO" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 6 +) +) +) +*17 (CptPort +uid 155,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 156,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48250,14625,49000,15375" +) +tg (CPTG +uid 157,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 158,0 +va (VaSet +font "courier,12,0" +) +xt "50000,14300,54500,15700" +st "WCLK" +blo "50000,15500" +) +s (Text +uid 189,0 +va (VaSet +font "courier,12,0" +) +xt "50000,15700,50000,15700" +blo "0,-200" +) +) +thePort (LogicalPort +decl (Decl +n "WCLK" +t "std_uLogic" +o 1 +) +) +) +*18 (CptPort +uid 159,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 160,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48250,4625,49000,5375" +) +tg (CPTG +uid 161,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 162,0 +va (VaSet +font "courier,12,0" +) +xt "50000,4300,51700,5700" +st "D" +blo "50000,5500" +) +s (Text +uid 190,0 +va (VaSet +font "courier,12,0" +) +xt "50000,5700,50000,5700" +blo "0,-200" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 2 +) +) +) +*19 (CptPort +uid 163,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 164,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65000,8625,65750,9375" +) +tg (CPTG +uid 165,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 166,0 +va (VaSet +font "courier,12,0" +) +xt "60500,8300,64000,9700" +st "DPO" +ju 2 +blo "64000,9500" +) +s (Text +uid 191,0 +va (VaSet +font "courier,12,0" +) +xt "64000,9700,64000,9700" +ju 2 +blo "0,-200" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "DPO" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 7 +) +) +) +*20 (CptPort +uid 167,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 168,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48250,12625,49000,13375" +) +tg (CPTG +uid 169,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 170,0 +va (VaSet +font "courier,12,0" +) +xt "50000,12300,52900,13700" +st "WE" +blo "50000,13500" +) +s (Text +uid 192,0 +va (VaSet +font "courier,12,0" +) +xt "50000,13700,50000,13700" +blo "0,-200" +) +) +thePort (LogicalPort +decl (Decl +n "WE" +t "std_uLogic" +o 5 +) +) +) +*21 (CptPort +uid 171,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 172,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48250,6625,49000,7375" +) +tg (CPTG +uid 173,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 174,0 +va (VaSet +font "courier,12,0" +) +xt "50000,6300,51600,7700" +st "A" +blo "50000,7500" +) +s (Text +uid 193,0 +va (VaSet +font "courier,12,0" +) +xt "50000,7700,50000,7700" +blo "0,-200" +) +) +thePort (LogicalPort +decl (Decl +n "A" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 3 +) +) +) +*22 (CptPort +uid 175,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 176,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48250,8625,49000,9375" +) +tg (CPTG +uid 177,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 178,0 +va (VaSet +font "courier,12,0" +) +xt "50000,8300,54100,9700" +st "DPRA" +blo "50000,9500" +) +s (Text +uid 194,0 +va (VaSet +font "courier,12,0" +) +xt "50000,9700,50000,9700" +blo "0,-200" +) +) +thePort (LogicalPort +decl (Decl +n "DPRA" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 4 +) +) +) +] +shape (Rectangle +uid 180,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "49000,1000,65000,17000" +) +ttg (MlTextGroup +uid 181,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*23 (Text +uid 182,0 +va (VaSet +bg "0,0,0" +) +xt "48910,17700,54710,18700" +st "virtexPrimitives" +blo "48910,18500" +tm "BdLibraryNameMgr" +) +*24 (Text +uid 183,0 +va (VaSet +bg "0,0,0" +) +xt "48910,18700,53210,19700" +st "RAMnXmD" +blo "48910,19500" +tm "CptNameMgr" +) +*25 (Text +uid 184,0 +va (VaSet +bg "0,0,0" +) +xt "48910,19700,49910,20700" +st "I0" +blo "48910,20500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 185,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 186,0 +text (MLText +uid 187,0 +va (VaSet +) +xt "49000,22400,77200,25400" +st "delay = 1 ns ( time ) +addressNbBits = addressNbBits ( positive ) +dataNbBits = dataNbBits ( positive ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +(GiElement +name "addressNbBits" +type "positive" +value "addressNbBits" +) +(GiElement +name "dataNbBits" +type "positive" +value "dataNbBits" +) +] +) +portVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +sIVOD 1 +) +archFileType "UNKNOWN" +) +*26 (Wire +uid 57,0 +shape (OrthoPolyLine +uid 58,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "41000,7000,48250,7000" +pts [ +"41000,7000" +"48250,7000" +] +) +start &1 +end &21 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 61,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "41000,5600,47700,7000" +st "addressA" +blo "41000,6800" +tm "WireNameMgr" +) +) +on &2 +) +*27 (Wire +uid 71,0 +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "41000,9000,48250,9000" +pts [ +"41000,9000" +"48250,9000" +] +) +start &3 +end &22 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "41000,7600,47700,9000" +st "addressB" +blo "41000,8800" +tm "WireNameMgr" +) +) +on &4 +) +*28 (Wire +uid 85,0 +shape (OrthoPolyLine +uid 86,0 +va (VaSet +vasetType 3 +) +xt "41000,15000,48250,15000" +pts [ +"41000,15000" +"48250,15000" +] +) +start &5 +end &17 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 89,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 90,0 +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "41000,13600,44800,15000" +st "clock" +blo "41000,14800" +tm "WireNameMgr" +) +) +on &6 +) +*29 (Wire +uid 99,0 +shape (OrthoPolyLine +uid 100,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "65750,7000,73000,7000" +pts [ +"65750,7000" +"73000,7000" +] +) +start &16 +end &7 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 103,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 104,0 +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "69000,5600,73500,7000" +st "dataA" +blo "69000,6800" +tm "WireNameMgr" +) +) +on &8 +) +*30 (Wire +uid 113,0 +shape (OrthoPolyLine +uid 114,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "65750,9000,73000,9000" +pts [ +"65750,9000" +"73000,9000" +] +) +start &19 +end &9 +ss 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 117,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 118,0 +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "69000,7600,73500,9000" +st "dataB" +blo "69000,8800" +tm "WireNameMgr" +) +) +on &10 +) +*31 (Wire +uid 127,0 +shape (OrthoPolyLine +uid 128,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "41000,5000,48250,5000" +pts [ +"41000,5000" +"48250,5000" +] +) +start &11 +end &18 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 131,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 132,0 +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "41000,3600,46000,5000" +st "dataIn" +blo "41000,4800" +tm "WireNameMgr" +) +) +on &12 +) +*32 (Wire +uid 141,0 +shape (OrthoPolyLine +uid 142,0 +va (VaSet +vasetType 3 +) +xt "41000,13000,48250,13000" +pts [ +"41000,13000" +"48250,13000" +] +) +start &13 +end &20 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 145,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 146,0 +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "41000,11600,50100,13000" +st "writeEnable" +blo "41000,12800" +tm "WireNameMgr" +) +) +on &14 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *33 (PackageList +uid 42,0 +stg "VerticalLayoutStrategy" +textVec [ +*34 (Text +uid 43,0 +va (VaSet +font "courier,12,0" +) +xt "0,0,9500,1400" +st "Package List" +blo "0,1200" +) +*35 (MLText +uid 44,0 +va (VaSet +) +xt "0,1400,18600,4400" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 45,0 +stg "VerticalLayoutStrategy" +textVec [ +*36 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "courier,12,1" +) +xt "20000,0,34800,1400" +st "Compiler Directives" +blo "20000,1200" +) +*37 (Text +uid 47,0 +va (VaSet +isHidden 1 +font "courier,12,1" +) +xt "20000,1600,37800,3000" +st "Pre-module directives:" +blo "20000,2800" +) +*38 (MLText +uid 48,0 +va (VaSet +isHidden 1 +) +xt "20000,3200,32000,5200" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*39 (Text +uid 49,0 +va (VaSet +isHidden 1 +font "courier,12,1" +) +xt "20000,5800,38400,7200" +st "Post-module directives:" +blo "20000,7000" +) +*40 (MLText +uid 50,0 +va (VaSet +isHidden 1 +) +xt "20000,7400,20000,7400" +tm "BdCompilerDirectivesTextMgr" +) +*41 (Text +uid 51,0 +va (VaSet +isHidden 1 +font "courier,12,1" +) +xt "20000,7600,37900,9000" +st "End-module directives:" +blo "20000,8800" +) +*42 (MLText +uid 52,0 +va (VaSet +isHidden 1 +) +xt "20000,1400,20000,1400" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "38,21,947,714" +viewArea "-1303,-10162,79572,36225" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +lastUid 386,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +bg "65535,0,0" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +bg "0,0,0" +font "courier,10,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +bg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*43 (Text +va (VaSet +bg "0,0,0" +) +xt "1700,3000,5200,4000" +st "" +blo "1700,3800" +tm "BdLibraryNameMgr" +) +*44 (Text +va (VaSet +bg "0,0,0" +) +xt "1700,4000,4800,5000" +st "" +blo "1700,4800" +tm "BlkNameMgr" +) +*45 (Text +va (VaSet +bg "0,0,0" +) +xt "1700,5000,2700,6000" +st "I0" +blo "1700,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +bg "0,0,0" +) +xt "1700,13000,1700,13000" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*46 (Text +va (VaSet +bg "0,0,0" +) +xt "-100,3000,2600,4000" +st "Library" +blo "-100,3800" +) +*47 (Text +va (VaSet +bg "0,0,0" +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*48 (Text +va (VaSet +bg "0,0,0" +) +xt "-100,5000,900,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +bg "0,0,0" +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +) +xt "-350,0,8350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*49 (Text +va (VaSet +bg "0,0,0" +) +xt "150,3000,2850,4000" +st "Library" +blo "150,3800" +tm "BdLibraryNameMgr" +) +*50 (Text +va (VaSet +bg "0,0,0" +) +xt "150,4000,5650,5000" +st "SaComponent" +blo "150,4800" +tm "CptNameMgr" +) +*51 (Text +va (VaSet +bg "0,0,0" +) +xt "150,5000,1150,6000" +st "I0" +blo "150,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +bg "0,0,0" +) +xt "-6850,1000,-6850,1000" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*52 (Text +va (VaSet +bg "0,0,0" +) +xt "-350,3000,2350,4000" +st "Library" +blo "-350,3800" +) +*53 (Text +va (VaSet +bg "0,0,0" +) +xt "-350,4000,5750,5000" +st "VhdlComponent" +blo "-350,4800" +) +*54 (Text +va (VaSet +bg "0,0,0" +) +xt "-350,5000,650,6000" +st "I0" +blo "-350,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +bg "0,0,0" +) +xt "-7350,1000,-7350,1000" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +) +xt "-1500,0,9500,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*55 (Text +va (VaSet +bg "0,0,0" +) +xt "-1000,3000,1700,4000" +st "Library" +blo "-1000,3800" +) +*56 (Text +va (VaSet +bg "0,0,0" +) +xt "-1000,4000,6100,5000" +st "VerilogComponent" +blo "-1000,4800" +) +*57 (Text +va (VaSet +bg "0,0,0" +) +xt "-1000,5000,0,6000" +st "I0" +blo "-1000,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +bg "0,0,0" +) +xt "-8000,1000,-8000,1000" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +bg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*58 (Text +va (VaSet +bg "0,0,0" +) +xt "3050,3700,4650,4700" +st "eb1" +blo "3050,4500" +tm "HdlTextNameMgr" +) +*59 (Text +va (VaSet +bg "0,0,0" +) +xt "3050,4700,3850,5700" +st "1" +blo "3050,5500" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +bg "0,0,0" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +bg "0,0,0" +) +xt "-400,-600,600,400" +st "G" +blo "-400,200" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "-3000,-500,-3000,-500" +ju 2 +blo "-3000,-500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "-3000,-500,-3000,-500" +ju 2 +blo "-3000,-500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "0,0,3400,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "0,0,4700,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +bg "0,0,0" +) +xt "0,0,3000,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +bg "0,0,0" +) +xt "0,1000,1200,2000" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +bg "0,0,0" +) +xt "0,0,5400,1000" +st "Auto list" +) +second (MLText +va (VaSet +bg "0,0,0" +) +xt "0,1000,10800,2000" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +bg "0,0,0" +) +xt "0,-1300,17400,-300" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1150,1650" +) +num (Text +va (VaSet +bg "0,0,0" +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +va (VaSet +bg "0,0,0" +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*61 (MLText +va (VaSet +bg "0,0,0" +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +bg "0,0,0" +) +xt "0,-1300,10800,-300" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1150,1650" +) +num (Text +va (VaSet +bg "0,0,0" +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*62 (Text +va (VaSet +bg "0,0,0" +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*63 (MLText +va (VaSet +bg "0,0,0" +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "0,750,3400,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "0,750,3400,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,9,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,6400,8600,7600" +st "Declarations" +blo "0,7400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "0,7600,4200,8800" +st "Ports:" +blo "0,8600" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,6400,6000,7600" +st "Pre User:" +blo "0,7400" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "0,6400,0,6400" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,10,1" +) +xt "0,17200,11000,18400" +st "Diagram Signals:" +blo "0,18200" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,6400,7300,7600" +st "Post User:" +blo "0,7400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "0,6400,0,6400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 7,0 +usingSuid 1 +emptyRow *64 (LEmptyRow +) +uid 238,0 +optionalChildren [ +*65 (RefLabelRowHdr +) +*66 (TitleRowHdr +) +*67 (FilterRowHdr +) +*68 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*69 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*70 (GroupColHdr +tm "GroupColHdrMgr" +) +*71 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*72 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*73 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*74 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*75 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*76 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*77 (LeafLogPort +port (LogicalPort +decl (Decl +n "addressA" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 223,0 +) +*78 (LeafLogPort +port (LogicalPort +decl (Decl +n "addressB" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 225,0 +) +*79 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_uLogic" +o 3 +suid 3,0 +) +) +uid 227,0 +) +*80 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "dataA" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 6 +suid 4,0 +) +) +uid 229,0 +) +*81 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "dataB" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 7 +suid 5,0 +) +) +uid 231,0 +) +*82 (LeafLogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 4 +suid 6,0 +) +) +uid 233,0 +) +*83 (LeafLogPort +port (LogicalPort +decl (Decl +n "writeEnable" +t "std_uLogic" +o 5 +suid 7,0 +) +) +uid 235,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 251,0 +optionalChildren [ +*84 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *85 (MRCItem +litem &64 +pos 3 +dimension 20 +) +uid 253,0 +optionalChildren [ +*86 (MRCItem +litem &65 +pos 0 +dimension 20 +uid 254,0 +) +*87 (MRCItem +litem &66 +pos 1 +dimension 23 +uid 255,0 +) +*88 (MRCItem +litem &67 +pos 2 +hidden 1 +dimension 20 +uid 256,0 +) +*89 (MRCItem +litem &77 +pos 0 +dimension 20 +uid 224,0 +) +*90 (MRCItem +litem &78 +pos 1 +dimension 20 +uid 226,0 +) +*91 (MRCItem +litem &79 +pos 2 +dimension 20 +uid 228,0 +) +*92 (MRCItem +litem &80 +pos 3 +dimension 20 +uid 230,0 +) +*93 (MRCItem +litem &81 +pos 4 +dimension 20 +uid 232,0 +) +*94 (MRCItem +litem &82 +pos 5 +dimension 20 +uid 234,0 +) +*95 (MRCItem +litem &83 +pos 6 +dimension 20 +uid 236,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 257,0 +optionalChildren [ +*96 (MRCItem +litem &68 +pos 0 +dimension 20 +uid 258,0 +) +*97 (MRCItem +litem &70 +pos 1 +dimension 50 +uid 259,0 +) +*98 (MRCItem +litem &71 +pos 2 +dimension 100 +uid 260,0 +) +*99 (MRCItem +litem &72 +pos 3 +dimension 50 +uid 261,0 +) +*100 (MRCItem +litem &73 +pos 4 +dimension 100 +uid 262,0 +) +*101 (MRCItem +litem &74 +pos 5 +dimension 100 +uid 263,0 +) +*102 (MRCItem +litem &75 +pos 6 +dimension 50 +uid 264,0 +) +*103 (MRCItem +litem &76 +pos 7 +dimension 80 +uid 265,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 252,0 +vaOverrides [ +] +) +] +) +uid 237,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *104 (LEmptyRow +) +uid 267,0 +optionalChildren [ +*105 (RefLabelRowHdr +) +*106 (TitleRowHdr +) +*107 (FilterRowHdr +) +*108 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*109 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*110 (GroupColHdr +tm "GroupColHdrMgr" +) +*111 (NameColHdr +tm "GenericNameColHdrMgr" +) +*112 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*113 (InitColHdr +tm "GenericValueColHdrMgr" +) +*114 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*115 (EolColHdr +tm "GenericEolColHdrMgr" +) +*116 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 336,0 +) +*117 (LogGeneric +generic (GiElement +name "addressNbBits" +type "positive" +value "4" +) +uid 338,0 +) +*118 (LogGeneric +generic (GiElement +name "dataNbBits" +type "positive" +value "8" +) +uid 340,0 +) +] +) +pdm (PhysicalDM +uid 279,0 +optionalChildren [ +*119 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *120 (MRCItem +litem &104 +pos 3 +dimension 20 +) +uid 281,0 +optionalChildren [ +*121 (MRCItem +litem &105 +pos 0 +dimension 20 +uid 282,0 +) +*122 (MRCItem +litem &106 +pos 1 +dimension 23 +uid 283,0 +) +*123 (MRCItem +litem &107 +pos 2 +hidden 1 +dimension 20 +uid 284,0 +) +*124 (MRCItem +litem &116 +pos 0 +dimension 20 +uid 335,0 +) +*125 (MRCItem +litem &117 +pos 1 +dimension 20 +uid 337,0 +) +*126 (MRCItem +litem &118 +pos 2 +dimension 20 +uid 339,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 285,0 +optionalChildren [ +*127 (MRCItem +litem &108 +pos 0 +dimension 20 +uid 286,0 +) +*128 (MRCItem +litem &110 +pos 1 +dimension 50 +uid 287,0 +) +*129 (MRCItem +litem &111 +pos 2 +dimension 100 +uid 288,0 +) +*130 (MRCItem +litem &112 +pos 3 +dimension 100 +uid 289,0 +) +*131 (MRCItem +litem &113 +pos 4 +dimension 50 +uid 290,0 +) +*132 (MRCItem +litem &114 +pos 5 +dimension 50 +uid 291,0 +) +*133 (MRCItem +litem &115 +pos 6 +dimension 80 +uid 292,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 280,0 +vaOverrides [ +] +) +] +) +uid 266,0 +type 1 +) +) diff --git a/Libs/Sequential/hds/register@file/symbol.sb b/Libs/Sequential/hds/register@file/symbol.sb new file mode 100644 index 0000000..558e094 --- /dev/null +++ b/Libs/Sequential/hds/register@file/symbol.sb @@ -0,0 +1,1467 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +itemName "ALL" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2007,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 194,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataA" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 6 +suid 1,0 +) +) +uid 195,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "addressB" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 2 +suid 7,0 +) +) +uid 196,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 4 +suid 3,0 +) +) +uid 197,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 198,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "writeEnable" +t "std_uLogic" +o 5 +suid 5,0 +) +) +uid 199,0 +) +*7 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataB" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 7 +suid 4,0 +) +) +uid 200,0 +) +*8 (LogPort +port (LogicalPort +decl (Decl +n "addressA" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 1 +suid 6,0 +) +) +uid 201,0 +) +*9 (RefLabelRowHdr +) +*10 (TitleRowHdr +) +*11 (FilterRowHdr +) +*12 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*13 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*14 (GroupColHdr +tm "GroupColHdrMgr" +) +*15 (NameColHdr +tm "NameColHdrMgr" +) +*16 (ModeColHdr +tm "ModeColHdrMgr" +) +*17 (TypeColHdr +tm "TypeColHdrMgr" +) +*18 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*19 (InitColHdr +tm "InitColHdrMgr" +) +*20 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 202,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 7 +dimension 20 +) +uid 129,0 +optionalChildren [ +*23 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 132,0 +) +*24 (MRCItem +litem &10 +pos 1 +dimension 23 +uid 134,0 +) +*25 (MRCItem +litem &11 +pos 2 +hidden 1 +dimension 20 +uid 136,0 +) +*26 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 155,0 +) +*27 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 156,0 +) +*28 (MRCItem +litem &4 +pos 5 +dimension 20 +uid 157,0 +) +*29 (MRCItem +litem &5 +pos 2 +dimension 20 +uid 158,0 +) +*30 (MRCItem +litem &6 +pos 6 +dimension 20 +uid 159,0 +) +*31 (MRCItem +litem &7 +pos 4 +dimension 20 +uid 160,0 +) +*32 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 161,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 130,0 +optionalChildren [ +*33 (MRCItem +litem &12 +pos 0 +dimension 20 +uid 138,0 +) +*34 (MRCItem +litem &14 +pos 1 +dimension 50 +uid 142,0 +) +*35 (MRCItem +litem &15 +pos 2 +dimension 100 +uid 144,0 +) +*36 (MRCItem +litem &16 +pos 3 +dimension 50 +uid 146,0 +) +*37 (MRCItem +litem &17 +pos 4 +dimension 100 +uid 148,0 +) +*38 (MRCItem +litem &18 +pos 5 +dimension 100 +uid 150,0 +) +*39 (MRCItem +litem &19 +pos 6 +dimension 50 +uid 152,0 +) +*40 (MRCItem +litem &20 +pos 7 +dimension 80 +uid 154,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 128,0 +vaOverrides [ +] +) +] +) +uid 193,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 204,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +*53 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 187,0 +) +*54 (LogGeneric +generic (GiElement +name "addressNbBits" +type "positive" +value "4" +) +uid 188,0 +) +*55 (LogGeneric +generic (GiElement +name "dataNbBits" +type "positive" +value "8" +) +uid 189,0 +) +] +) +pdm (PhysicalDM +uid 205,0 +optionalChildren [ +*56 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *57 (MRCItem +litem &41 +pos 3 +dimension 20 +) +uid 163,0 +optionalChildren [ +*58 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 166,0 +) +*59 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 168,0 +) +*60 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 170,0 +) +*61 (MRCItem +litem &53 +pos 0 +dimension 20 +uid 190,0 +) +*62 (MRCItem +litem &54 +pos 1 +dimension 20 +uid 191,0 +) +*63 (MRCItem +litem &55 +pos 2 +dimension 20 +uid 192,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 164,0 +optionalChildren [ +*64 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 172,0 +) +*65 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 176,0 +) +*66 (MRCItem +litem &48 +pos 2 +dimension 100 +uid 178,0 +) +*67 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 180,0 +) +*68 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 182,0 +) +*69 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 184,0 +) +*70 (MRCItem +litem &52 +pos 6 +dimension 80 +uid 186,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 162,0 +vaOverrides [ +] +) +] +) +uid 203,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/register@file/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/register@file/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/register@file" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/registerFile" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "registerFile" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:18" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "registerFile" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/register@file/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/registerFile/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:18" +) +(vvPair +variable "unit" +value "registerFile" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 62,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 83,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49625,-4750,50375,-4000" +) +tg (CPTG +uid 64,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 65,0 +va (VaSet +font "courier,12,0" +) +xt "48000,-4000,52500,-2600" +st "dataA" +blo "48000,-2800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 66,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13900,29000,14800" +st "dataA : OUT signed (dataNbBits-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataA" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 6 +suid 1,0 +) +) +) +*73 (CptPort +uid 68,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 69,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,9625,42000,10375" +) +tg (CPTG +uid 70,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 71,0 +va (VaSet +font "courier,12,0" +) +xt "43000,9300,46800,10700" +st "clock" +blo "43000,10500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 72,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11200,19500,12100" +st "clock : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_uLogic" +o 3 +suid 2,0 +) +) +) +*74 (CptPort +uid 78,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 85,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54625,12000,55375,12750" +) +tg (CPTG +uid 80,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 81,0 +va (VaSet +font "courier,12,0" +) +xt "53000,10600,58000,12000" +st "dataIn" +blo "53000,11800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 82,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12100,29000,13000" +st "dataIn : IN signed (dataNbBits-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 4 +suid 3,0 +) +) +) +*75 (CptPort +uid 90,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 91,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57625,-4750,58375,-4000" +) +tg (CPTG +uid 92,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 93,0 +va (VaSet +font "courier,12,0" +) +xt "56000,-4000,60500,-2600" +st "dataB" +blo "56000,-2800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 95,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14800,28000,15700" +st "dataB : OUT signed (dataNbBits-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataB" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 7 +suid 4,0 +) +) +) +*76 (CptPort +uid 96,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 97,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,7625,42000,8375" +) +tg (CPTG +uid 98,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 99,0 +va (VaSet +font "courier,12,0" +) +xt "43000,7300,52100,8700" +st "writeEnable" +blo "43000,8500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 101,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,19500,13900" +st "writeEnable : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "writeEnable" +t "std_uLogic" +o 5 +suid 5,0 +) +) +) +*77 (CptPort +uid 114,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 115,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,-375,42000,375" +) +tg (CPTG +uid 116,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 117,0 +va (VaSet +font "courier,12,0" +) +xt "43000,-700,49700,700" +st "addressA" +blo "43000,500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 119,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9400,31500,10300" +st "addressA : IN unsigned (addressNbBits-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "addressA" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 1 +suid 6,0 +) +) +) +*78 (CptPort +uid 120,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 121,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "66000,-375,66750,375" +) +tg (CPTG +uid 122,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 123,0 +va (VaSet +font "courier,12,0" +) +xt "58300,-700,65000,700" +st "addressB" +ju 2 +blo "65000,500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 125,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10300,31500,11200" +st "addressB : IN unsigned (addressNbBits-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "addressB" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 2 +suid 7,0 +) +) +) +] +shape (Rectangle +uid 67,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "42000,-4000,66000,12000" +) +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "41910,12700,48510,13700" +st "sequential" +blo "41910,13500" +) +second (Text +uid 12,0 +va (VaSet +) +xt "41910,13700,49710,14700" +st "registerFile" +blo "41910,14500" +) +) +gi *79 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +) +xt "42000,17000,63000,22000" +st "Generic Declarations + +delay time gateDelay +addressNbBits positive 4 +dataNbBits positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "addressNbBits" +type "positive" +value "4" +) +(GiElement +name "dataNbBits" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *80 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 126,0 +va (VaSet +font "courier,10,1" +) +xt "0,-2500,7600,-1300" +st "Package List" +blo "0,-1500" +) +*82 (MLText +uid 127,0 +va (VaSet +) +xt "0,-1300,18600,3700" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.ALL;" +tm "PackageList" +) +] +) +windowSize "7,40,1033,788" +viewArea "-21282,-10800,47346,37962" +cachedDiagramExtent "0,-4750,66750,23000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-5000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "1000,1000,4500,2200" +st "Panel0" +blo "1000,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "26910,24700,30410,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *83 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *84 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,7000,8600,8200" +st "Declarations" +blo "0,8000" +) +portLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,8200,4200,9400" +st "Ports:" +blo "0,9200" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,15700,3000,16700" +st "User:" +blo "0,16500" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,15100,9400,16300" +st "Internal User:" +blo "0,16100" +) +externalText (MLText +uid 3,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16700,2000,16700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,16800,2000,16800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 274,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/register@logic@vector/symbol.sb b/Libs/Sequential/hds/register@logic@vector/symbol.sb new file mode 100644 index 0000000..b109da2 --- /dev/null +++ b/Libs/Sequential/hds/register@logic@vector/symbol.sb @@ -0,0 +1,1658 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 173,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 174,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 175,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "std_logic_vector" +b "(registerNbBits-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +uid 176,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(registerNbBits-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +uid 177,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 178,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 179,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 112,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 115,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 117,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 119,0 +) +*24 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 138,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 139,0 +) +*26 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 140,0 +) +*27 (MRCItem +litem &5 +pos 2 +dimension 20 +uid 141,0 +) +*28 (MRCItem +litem &6 +pos 4 +dimension 20 +uid 142,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 113,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 121,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 125,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 127,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 129,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 131,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 133,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 135,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 137,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 111,0 +vaOverrides [ +] +) +] +) +uid 172,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 181,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 168,0 +) +*50 (LogGeneric +generic (GiElement +name "registerNbBits" +type "positive" +value "8" +) +uid 169,0 +) +] +) +pdm (PhysicalDM +uid 182,0 +optionalChildren [ +*51 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *52 (MRCItem +litem &37 +pos 2 +dimension 20 +) +uid 144,0 +optionalChildren [ +*53 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 147,0 +) +*54 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 149,0 +) +*55 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 151,0 +) +*56 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 170,0 +) +*57 (MRCItem +litem &50 +pos 1 +dimension 20 +uid 171,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 145,0 +optionalChildren [ +*58 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 153,0 +) +*59 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 157,0 +) +*60 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 159,0 +) +*61 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 161,0 +) +*62 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 163,0 +) +*63 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 165,0 +) +*64 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 167,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 143,0 +vaOverrides [ +] +) +] +) +uid 180,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\register@logic@vector\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\register@logic@vector\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\register@logic@vector" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\registerLogicVector" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "registerLogicVector" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:46:17" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Sequential/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "registerLogicVector" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\register@logic@vector\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\registerLogicVector\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:46:17" +) +(vvPair +variable "unit" +value "registerLogicVector" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 68,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 69,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,13625,38000,14375" +) +tg (CPTG +uid 70,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 71,0 +va (VaSet +) +xt "39000,13500,42400,14700" +st "clock" +blo "39000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 72,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9000,14500,10000" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*67 (CptPort +uid 78,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 85,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,7625,38000,8375" +) +tg (CPTG +uid 80,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 81,0 +va (VaSet +) +xt "39000,7400,43000,8600" +st "dataIn" +blo "39000,8400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 82,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9900,29800,10900" +st "dataIn : IN std_logic_vector (registerNbBits-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_logic_vector" +b "(registerNbBits-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +*68 (CptPort +uid 92,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 104,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,7625,54750,8375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 95,0 +va (VaSet +) +xt "48200,7400,53000,8600" +st "dataOut" +ju 2 +blo "53000,8400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 97,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,29900,13600" +st "dataOut : OUT std_logic_vector (registerNbBits-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(registerNbBits-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*69 (CptPort +uid 98,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 99,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,11625,38000,12375" +) +tg (CPTG +uid 100,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 101,0 +va (VaSet +) +xt "39000,11500,43000,12700" +st "enable" +blo "39000,12500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 103,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10800,14900,11800" +st "enable : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*70 (CptPort +uid 105,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 106,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,15625,38000,16375" +) +tg (CPTG +uid 107,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 108,0 +va (VaSet +) +xt "39000,15500,42300,16700" +st "reset" +blo "39000,16500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 110,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11700,14500,12700" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 67,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,4000,54000,18000" +) +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "37910,17700,43910,18700" +st "sequential" +blo "37910,18500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "37910,18700,48210,19700" +st "registerLogicVector" +blo "37910,19500" +) +) +gi *71 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "38000,20800,52700,24800" +st "Generic Declarations + +delay time gateDelay +registerNbBits positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "registerNbBits" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +) +) +*72 (Grouping +uid 206,0 +optionalChildren [ +*73 (CommentText +uid 208,0 +shape (Rectangle +uid 209,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 210,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 211,0 +shape (Rectangle +uid 212,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,41000,57000,42000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 213,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,41500,53200,41500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 214,0 +shape (Rectangle +uid 215,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,43000,53000,44000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 216,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,43500,36200,43500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 217,0 +shape (Rectangle +uid 218,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,43000,36000,44000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 219,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,43500,32200,43500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 220,0 +shape (Rectangle +uid 221,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,73000,46000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 222,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,42200,67300,43400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 223,0 +shape (Rectangle +uid 224,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,41000,73000,42000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 225,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,41500,57200,41500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 226,0 +shape (Rectangle +uid 227,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,41000,53000,43000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 228,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,41400,47650,42600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 229,0 +shape (Rectangle +uid 230,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 231,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,44500,32200,44500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 232,0 +shape (Rectangle +uid 233,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 234,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 235,0 +shape (Rectangle +uid 236,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 237,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,44500,36200,44500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 207,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,41000,73000,46000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *83 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 90,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-3000,6900,-2000" +st "Package List" +blo "0,-2200" +) +*85 (MLText +uid 91,0 +va (VaSet +) +xt "0,-2000,17500,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "-71,113,1189,968" +viewArea "31344,6572,56030,23963" +cachedDiagramExtent "0,-3000,73000,46000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-3000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "750,1000,3550,2000" +st "Panel0" +blo "750,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *86 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *87 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7000,7000,8000" +st "Declarations" +blo "0,7800" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8000,3400,9000" +st "Ports:" +blo "0,8800" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,13500,3000,14500" +st "User:" +blo "0,14300" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15100,7600,16100" +st "Internal User:" +blo "0,15900" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14400,2000,14400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,16800,2000,16800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 375,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/register@signed/symbol.sb b/Libs/Sequential/hds/register@signed/symbol.sb new file mode 100644 index 0000000..00717c0 --- /dev/null +++ b/Libs/Sequential/hds/register@signed/symbol.sb @@ -0,0 +1,1650 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 173,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 174,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "signed" +b "(registerBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +uid 175,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 176,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 177,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "signed" +b "(registerBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +uid 178,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 179,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 112,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 115,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 117,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 119,0 +) +*24 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 138,0 +) +*25 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 139,0 +) +*26 (MRCItem +litem &4 +pos 4 +dimension 20 +uid 140,0 +) +*27 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 141,0 +) +*28 (MRCItem +litem &6 +pos 2 +dimension 20 +uid 142,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 113,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 121,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 125,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 127,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 129,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 131,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 133,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 135,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 137,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 111,0 +vaOverrides [ +] +) +] +) +uid 172,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 181,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 168,0 +) +*50 (LogGeneric +generic (GiElement +name "registerBitNb" +type "positive" +value "8" +) +uid 169,0 +) +] +) +pdm (PhysicalDM +uid 182,0 +optionalChildren [ +*51 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *52 (MRCItem +litem &37 +pos 2 +dimension 20 +) +uid 144,0 +optionalChildren [ +*53 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 147,0 +) +*54 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 149,0 +) +*55 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 151,0 +) +*56 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 170,0 +) +*57 (MRCItem +litem &50 +pos 1 +dimension 20 +uid 171,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 145,0 +optionalChildren [ +*58 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 153,0 +) +*59 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 157,0 +) +*60 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 159,0 +) +*61 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 161,0 +) +*62 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 163,0 +) +*63 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 165,0 +) +*64 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 167,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 143,0 +vaOverrides [ +] +) +] +) +uid 180,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/register@signed/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/register@signed/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/register@signed" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/registerSigned" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "registerSigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:18" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "registerSigned" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/register@signed/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/registerSigned/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:18" +) +(vvPair +variable "unit" +value "registerSigned" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 68,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 69,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,16625,35000,17375" +) +tg (CPTG +uid 70,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 71,0 +va (VaSet +) +xt "36000,16500,38100,17500" +st "clock" +blo "36000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 72,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9000,17500,9900" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*67 (CptPort +uid 78,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 85,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,10625,35000,11375" +) +tg (CPTG +uid 80,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 81,0 +va (VaSet +) +xt "36000,10500,40000,11700" +st "dataIn" +blo "36000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 82,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9900,28500,10800" +st "dataIn : IN signed (registerBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "signed" +b "(registerBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +*68 (CptPort +uid 92,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 104,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,10625,51750,11375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 95,0 +va (VaSet +) +xt "45200,10400,50000,11600" +st "dataOut" +ju 2 +blo "50000,11400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 97,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12600,27500,13500" +st "dataOut : OUT signed (registerBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "signed" +b "(registerBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*69 (CptPort +uid 98,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 99,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,14625,35000,15375" +) +tg (CPTG +uid 100,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 101,0 +va (VaSet +) +xt "36000,14500,38600,15500" +st "enable" +blo "36000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 103,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10800,17500,11700" +st "enable : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*70 (CptPort +uid 105,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 106,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,18625,35000,19375" +) +tg (CPTG +uid 107,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 108,0 +va (VaSet +) +xt "36000,18500,38100,19500" +st "reset" +blo "36000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 110,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11700,17500,12600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 67,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,7000,51000,21000" +) +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "34910,20700,40410,21600" +st "sequential" +blo "34910,21400" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "34910,21600,42410,22500" +st "registerSigned" +blo "34910,22300" +) +) +gi *71 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "35000,23800,52500,27400" +st "Generic Declarations + +delay time gateDelay +registerBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "registerBitNb" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +) +) +*72 (Grouping +uid 206,0 +optionalChildren [ +*73 (CommentText +uid 208,0 +shape (Rectangle +uid 209,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 210,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45000,51200,46000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 211,0 +shape (Rectangle +uid 212,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,41000,57000,42000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 213,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,41000,56800,42000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 214,0 +shape (Rectangle +uid 215,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,43000,53000,44000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 216,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,43000,52400,44000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 217,0 +shape (Rectangle +uid 218,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,43000,36000,44000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 219,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,43000,35800,44000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 220,0 +shape (Rectangle +uid 221,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,73000,46000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 222,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,42200,66400,43200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 223,0 +shape (Rectangle +uid 224,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,41000,73000,42000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 225,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,41000,59000,42000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 226,0 +shape (Rectangle +uid 227,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,41000,53000,43000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 228,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,41500,47000,42500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 229,0 +shape (Rectangle +uid 230,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 231,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,44000,35200,45000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 232,0 +shape (Rectangle +uid 233,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 234,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45000,35800,46000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 235,0 +shape (Rectangle +uid 236,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 237,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,44000,52400,45000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 207,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,41000,73000,46000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *83 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 90,0 +va (VaSet +font "courier,8,1" +) +xt "0,-3000,5400,-2000" +st "Package List" +blo "0,-2200" +) +*85 (MLText +uid 91,0 +va (VaSet +) +xt "0,-2000,18600,3000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "142,40,1410,885" +viewArea "-3382,-16263,78778,38247" +cachedDiagramExtent "0,-3000,73000,46000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-3000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "950,1000,3750,2000" +st "Panel0" +blo "950,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *86 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *87 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,7000,5400,8000" +st "Declarations" +blo "0,7800" +) +portLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,8000,2700,9000" +st "Ports:" +blo "0,8800" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,13500,2500,14400" +st "User:" +blo "0,14200" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,15100,5800,16100" +st "Internal User:" +blo "0,15900" +) +externalText (MLText +uid 3,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14400,2000,14400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,16800,2000,16800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 444,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/register@u@logic@vector/symbol.sb b/Libs/Sequential/hds/register@u@logic@vector/symbol.sb new file mode 100644 index 0000000..8c3e85e --- /dev/null +++ b/Libs/Sequential/hds/register@u@logic@vector/symbol.sb @@ -0,0 +1,1646 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 173,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 174,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 175,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +uid 176,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +uid 177,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 178,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 179,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 112,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 115,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 117,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 119,0 +) +*24 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 138,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 139,0 +) +*26 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 140,0 +) +*27 (MRCItem +litem &5 +pos 2 +dimension 20 +uid 141,0 +) +*28 (MRCItem +litem &6 +pos 4 +dimension 20 +uid 142,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 113,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 121,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 125,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 127,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 129,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 131,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 133,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 135,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 137,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 111,0 +vaOverrides [ +] +) +] +) +uid 172,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 181,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 168,0 +) +*50 (LogGeneric +generic (GiElement +name "registerBitNb" +type "positive" +value "8" +) +uid 169,0 +) +] +) +pdm (PhysicalDM +uid 182,0 +optionalChildren [ +*51 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *52 (MRCItem +litem &37 +pos 2 +dimension 20 +) +uid 144,0 +optionalChildren [ +*53 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 147,0 +) +*54 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 149,0 +) +*55 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 151,0 +) +*56 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 170,0 +) +*57 (MRCItem +litem &50 +pos 1 +dimension 20 +uid 171,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 145,0 +optionalChildren [ +*58 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 153,0 +) +*59 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 157,0 +) +*60 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 159,0 +) +*61 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 161,0 +) +*62 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 163,0 +) +*63 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 165,0 +) +*64 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 167,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 143,0 +vaOverrides [ +] +) +] +) +uid 180,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\register@u@logic@vector\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\register@u@logic@vector\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\register@u@logic@vector" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\registerULogicVector" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "registerULogicVector" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:46:42" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Sequential/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "registerULogicVector" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\register@u@logic@vector\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\registerULogicVector\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:46:42" +) +(vvPair +variable "unit" +value "registerULogicVector" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 68,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 69,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,13625,38000,14375" +) +tg (CPTG +uid 70,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 71,0 +va (VaSet +) +xt "39000,13500,42400,14700" +st "clock" +blo "39000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 72,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9000,14500,10000" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*67 (CptPort +uid 78,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 85,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,7625,38000,8375" +) +tg (CPTG +uid 80,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 81,0 +va (VaSet +) +xt "39000,7400,43000,8600" +st "dataIn" +blo "39000,8400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 82,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9900,29900,10900" +st "dataIn : IN std_ulogic_vector (registerBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +*68 (CptPort +uid 92,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 104,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,7625,54750,8375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 95,0 +va (VaSet +) +xt "48200,7400,53000,8600" +st "dataOut" +ju 2 +blo "53000,8400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 97,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,30000,13600" +st "dataOut : OUT std_ulogic_vector (registerBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*69 (CptPort +uid 98,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 99,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,11625,38000,12375" +) +tg (CPTG +uid 100,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 101,0 +va (VaSet +) +xt "39000,11500,43000,12700" +st "enable" +blo "39000,12500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 103,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10800,14900,11800" +st "enable : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*70 (CptPort +uid 105,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 106,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,15625,38000,16375" +) +tg (CPTG +uid 107,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 108,0 +va (VaSet +) +xt "39000,15500,42300,16700" +st "reset" +blo "39000,16500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 110,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11700,14500,12700" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 67,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,4000,54000,18000" +) +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "37910,18700,43910,19700" +st "sequential" +blo "37910,19500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "37910,19700,49510,20700" +st "registerULogicVector" +blo "37910,20500" +) +) +gi *71 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "38000,21800,52400,25800" +st "Generic Declarations + +delay time gateDelay +registerBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "registerBitNb" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +) +) +*72 (Grouping +uid 206,0 +optionalChildren [ +*73 (CommentText +uid 208,0 +shape (Rectangle +uid 209,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 210,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 211,0 +shape (Rectangle +uid 212,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,41000,57000,42000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 213,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,41500,53200,41500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 214,0 +shape (Rectangle +uid 215,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,43000,53000,44000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 216,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,43500,36200,43500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 217,0 +shape (Rectangle +uid 218,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,43000,36000,44000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 219,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,43500,32200,43500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 220,0 +shape (Rectangle +uid 221,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,73000,46000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 222,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,42200,67300,43400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 223,0 +shape (Rectangle +uid 224,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,41000,73000,42000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 225,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,41500,57200,41500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 226,0 +shape (Rectangle +uid 227,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,41000,53000,43000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 228,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,41400,47650,42600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 229,0 +shape (Rectangle +uid 230,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 231,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,44500,32200,44500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 232,0 +shape (Rectangle +uid 233,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 234,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 235,0 +shape (Rectangle +uid 236,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 237,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,44500,36200,44500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 207,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,41000,73000,46000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *83 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 90,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-3000,6900,-2000" +st "Package List" +blo "0,-2200" +) +*85 (MLText +uid 91,0 +va (VaSet +) +xt "0,-2000,17500,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "1,37,1399,954" +viewArea "16878,-9089,74535,29793" +cachedDiagramExtent "0,-3000,73000,46000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-3000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "750,1000,3550,2000" +st "Panel0" +blo "750,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *86 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *87 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7000,7000,8000" +st "Declarations" +blo "0,7800" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8000,3400,9000" +st "Ports:" +blo "0,8800" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,13500,3000,14500" +st "User:" +blo "0,14300" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15100,7600,16100" +st "Internal User:" +blo "0,15900" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14400,2000,14400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,16800,2000,16800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 421,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/register@u@logic@vector@to/symbol.sb b/Libs/Sequential/hds/register@u@logic@vector@to/symbol.sb new file mode 100644 index 0000000..8c3e85e --- /dev/null +++ b/Libs/Sequential/hds/register@u@logic@vector@to/symbol.sb @@ -0,0 +1,1646 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 173,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 174,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 175,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +uid 176,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +uid 177,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 178,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 179,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 112,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 115,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 117,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 119,0 +) +*24 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 138,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 139,0 +) +*26 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 140,0 +) +*27 (MRCItem +litem &5 +pos 2 +dimension 20 +uid 141,0 +) +*28 (MRCItem +litem &6 +pos 4 +dimension 20 +uid 142,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 113,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 121,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 125,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 127,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 129,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 131,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 133,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 135,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 137,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 111,0 +vaOverrides [ +] +) +] +) +uid 172,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 181,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 168,0 +) +*50 (LogGeneric +generic (GiElement +name "registerBitNb" +type "positive" +value "8" +) +uid 169,0 +) +] +) +pdm (PhysicalDM +uid 182,0 +optionalChildren [ +*51 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *52 (MRCItem +litem &37 +pos 2 +dimension 20 +) +uid 144,0 +optionalChildren [ +*53 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 147,0 +) +*54 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 149,0 +) +*55 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 151,0 +) +*56 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 170,0 +) +*57 (MRCItem +litem &50 +pos 1 +dimension 20 +uid 171,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 145,0 +optionalChildren [ +*58 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 153,0 +) +*59 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 157,0 +) +*60 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 159,0 +) +*61 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 161,0 +) +*62 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 163,0 +) +*63 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 165,0 +) +*64 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 167,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 143,0 +vaOverrides [ +] +) +] +) +uid 180,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\register@u@logic@vector\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\register@u@logic@vector\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\register@u@logic@vector" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\registerULogicVector" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "registerULogicVector" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:46:42" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Sequential/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "registerULogicVector" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\register@u@logic@vector\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\registerULogicVector\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:46:42" +) +(vvPair +variable "unit" +value "registerULogicVector" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 68,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 69,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,13625,38000,14375" +) +tg (CPTG +uid 70,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 71,0 +va (VaSet +) +xt "39000,13500,42400,14700" +st "clock" +blo "39000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 72,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9000,14500,10000" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*67 (CptPort +uid 78,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 85,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,7625,38000,8375" +) +tg (CPTG +uid 80,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 81,0 +va (VaSet +) +xt "39000,7400,43000,8600" +st "dataIn" +blo "39000,8400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 82,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9900,29900,10900" +st "dataIn : IN std_ulogic_vector (registerBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +*68 (CptPort +uid 92,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 104,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,7625,54750,8375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 95,0 +va (VaSet +) +xt "48200,7400,53000,8600" +st "dataOut" +ju 2 +blo "53000,8400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 97,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,30000,13600" +st "dataOut : OUT std_ulogic_vector (registerBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*69 (CptPort +uid 98,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 99,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,11625,38000,12375" +) +tg (CPTG +uid 100,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 101,0 +va (VaSet +) +xt "39000,11500,43000,12700" +st "enable" +blo "39000,12500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 103,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10800,14900,11800" +st "enable : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*70 (CptPort +uid 105,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 106,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,15625,38000,16375" +) +tg (CPTG +uid 107,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 108,0 +va (VaSet +) +xt "39000,15500,42300,16700" +st "reset" +blo "39000,16500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 110,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11700,14500,12700" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 67,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,4000,54000,18000" +) +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "37910,18700,43910,19700" +st "sequential" +blo "37910,19500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "37910,19700,49510,20700" +st "registerULogicVector" +blo "37910,20500" +) +) +gi *71 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "38000,21800,52400,25800" +st "Generic Declarations + +delay time gateDelay +registerBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "registerBitNb" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +) +) +*72 (Grouping +uid 206,0 +optionalChildren [ +*73 (CommentText +uid 208,0 +shape (Rectangle +uid 209,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 210,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 211,0 +shape (Rectangle +uid 212,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,41000,57000,42000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 213,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,41500,53200,41500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 214,0 +shape (Rectangle +uid 215,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,43000,53000,44000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 216,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,43500,36200,43500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 217,0 +shape (Rectangle +uid 218,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,43000,36000,44000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 219,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,43500,32200,43500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 220,0 +shape (Rectangle +uid 221,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,73000,46000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 222,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,42200,67300,43400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 223,0 +shape (Rectangle +uid 224,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,41000,73000,42000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 225,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,41500,57200,41500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 226,0 +shape (Rectangle +uid 227,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,41000,53000,43000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 228,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,41400,47650,42600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 229,0 +shape (Rectangle +uid 230,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 231,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,44500,32200,44500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 232,0 +shape (Rectangle +uid 233,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 234,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 235,0 +shape (Rectangle +uid 236,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 237,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,44500,36200,44500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 207,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,41000,73000,46000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *83 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 90,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-3000,6900,-2000" +st "Package List" +blo "0,-2200" +) +*85 (MLText +uid 91,0 +va (VaSet +) +xt "0,-2000,17500,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "1,37,1399,954" +viewArea "16878,-9089,74535,29793" +cachedDiagramExtent "0,-3000,73000,46000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-3000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "750,1000,3550,2000" +st "Panel0" +blo "750,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *86 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *87 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7000,7000,8000" +st "Declarations" +blo "0,7800" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8000,3400,9000" +st "Ports:" +blo "0,8800" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,13500,3000,14500" +st "User:" +blo "0,14300" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15100,7600,16100" +st "Internal User:" +blo "0,15900" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14400,2000,14400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,16800,2000,16800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 421,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/register@unsigned/symbol.sb b/Libs/Sequential/hds/register@unsigned/symbol.sb new file mode 100644 index 0000000..ea079b6 --- /dev/null +++ b/Libs/Sequential/hds/register@unsigned/symbol.sb @@ -0,0 +1,1646 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 173,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 174,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 175,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 176,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "unsigned" +b "(registerBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +uid 177,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "unsigned" +b "(registerBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +uid 178,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 179,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 112,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 115,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 117,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 119,0 +) +*24 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 138,0 +) +*25 (MRCItem +litem &3 +pos 4 +dimension 20 +uid 139,0 +) +*26 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 140,0 +) +*27 (MRCItem +litem &5 +pos 2 +dimension 20 +uid 141,0 +) +*28 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 142,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 113,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 121,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 125,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 127,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 129,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 131,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 133,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 135,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 137,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 111,0 +vaOverrides [ +] +) +] +) +uid 172,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 181,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 168,0 +) +*50 (LogGeneric +generic (GiElement +name "registerBitNb" +type "positive" +value "8" +) +uid 169,0 +) +] +) +pdm (PhysicalDM +uid 182,0 +optionalChildren [ +*51 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *52 (MRCItem +litem &37 +pos 2 +dimension 20 +) +uid 144,0 +optionalChildren [ +*53 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 147,0 +) +*54 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 149,0 +) +*55 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 151,0 +) +*56 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 170,0 +) +*57 (MRCItem +litem &50 +pos 1 +dimension 20 +uid 171,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 145,0 +optionalChildren [ +*58 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 153,0 +) +*59 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 157,0 +) +*60 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 159,0 +) +*61 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 161,0 +) +*62 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 163,0 +) +*63 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 165,0 +) +*64 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 167,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 143,0 +vaOverrides [ +] +) +] +) +uid 180,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/register@unsigned/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/register@unsigned/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/register@unsigned" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/registerUnsigned" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "registerUnsigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:18" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "registerUnsigned" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/register@unsigned/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/registerUnsigned/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:18" +) +(vvPair +variable "unit" +value "registerUnsigned" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 68,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 69,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,19625,38000,20375" +) +tg (CPTG +uid 70,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 71,0 +va (VaSet +) +xt "39000,19500,41100,20500" +st "clock" +blo "39000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 72,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9000,17500,9900" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*67 (CptPort +uid 78,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 85,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,13625,38000,14375" +) +tg (CPTG +uid 80,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 81,0 +va (VaSet +) +xt "39000,13500,43000,14700" +st "dataIn" +blo "39000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 82,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9900,29500,10800" +st "dataIn : IN unsigned (registerBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "unsigned" +b "(registerBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +*68 (CptPort +uid 92,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 104,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,13625,54750,14375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 95,0 +va (VaSet +) +xt "48200,13400,53000,14600" +st "dataOut" +ju 2 +blo "53000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 97,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12600,28500,13500" +st "dataOut : OUT unsigned (registerBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "unsigned" +b "(registerBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*69 (CptPort +uid 98,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 99,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,17625,38000,18375" +) +tg (CPTG +uid 100,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 101,0 +va (VaSet +) +xt "39000,17500,41600,18500" +st "enable" +blo "39000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 103,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10800,17500,11700" +st "enable : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*70 (CptPort +uid 105,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 106,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,21625,38000,22375" +) +tg (CPTG +uid 107,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 108,0 +va (VaSet +) +xt "39000,21500,41100,22500" +st "reset" +blo "39000,22300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 110,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11700,17500,12600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 67,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,10000,54000,24000" +) +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "37910,23700,43410,24600" +st "sequential" +blo "37910,24400" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "37910,24600,46410,25500" +st "registerUnsigned" +blo "37910,25300" +) +) +gi *71 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "38000,27800,55500,31400" +st "Generic Declarations + +delay time gateDelay +registerBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "registerBitNb" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +) +) +*72 (Grouping +uid 206,0 +optionalChildren [ +*73 (CommentText +uid 208,0 +shape (Rectangle +uid 209,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 210,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45000,51200,46000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 211,0 +shape (Rectangle +uid 212,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,41000,57000,42000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 213,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,41000,56800,42000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 214,0 +shape (Rectangle +uid 215,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,43000,53000,44000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 216,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,43000,52400,44000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 217,0 +shape (Rectangle +uid 218,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,43000,36000,44000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 219,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,43000,35800,44000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 220,0 +shape (Rectangle +uid 221,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,73000,46000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 222,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,42200,66400,43200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 223,0 +shape (Rectangle +uid 224,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,41000,73000,42000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 225,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,41000,59000,42000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 226,0 +shape (Rectangle +uid 227,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,41000,53000,43000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 228,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,41500,47000,42500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 229,0 +shape (Rectangle +uid 230,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 231,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,44000,35200,45000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 232,0 +shape (Rectangle +uid 233,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 234,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45000,35800,46000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 235,0 +shape (Rectangle +uid 236,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 237,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,44000,46400,45000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 207,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,41000,73000,46000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *83 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 90,0 +va (VaSet +font "courier,8,1" +) +xt "0,-3000,5400,-2000" +st "Package List" +blo "0,-2200" +) +*85 (MLText +uid 91,0 +va (VaSet +) +xt "0,-2000,18600,3000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "151,42,1426,896" +viewArea "-1000,-4000,75032,47264" +cachedDiagramExtent "0,-3000,73000,46000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-3000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "950,1000,3750,2000" +st "Panel0" +blo "950,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *86 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *87 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,7000,5400,8000" +st "Declarations" +blo "0,7800" +) +portLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,8000,2700,9000" +st "Ports:" +blo "0,8800" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,13500,2500,14400" +st "User:" +blo "0,14200" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,15100,5800,16100" +st "Internal User:" +blo "0,15900" +) +externalText (MLText +uid 3,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14400,2000,14400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,16800,2000,16800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 329,0 +activeModelName "Symbol" +) diff --git a/Prefs/hds.hdp b/Prefs/hds.hdp new file mode 100644 index 0000000..a48c39c --- /dev/null +++ b/Prefs/hds.hdp @@ -0,0 +1,50 @@ +[Concat] +Board = $HDS_PROJECT_DIR/../Board/concat +[ModelSim] +Board = $SCRATCH_DIR/Board/work +Common = $SCRATCH_DIR/Common/work +Cursor = $SCRATCH_DIR/Cursor/work +Cursor_test = $SCRATCH_DIR/Cursor_test/work +gates = $SCRATCH_DIR/gates/work +io = $SCRATCH_DIR/IO/work +LCD = $SCRATCH_DIR/LCD/work +LCD_test = $SCRATCH_DIR/LCD_test/work +Memory = $SCRATCH_DIR/Memory/work +Memory_test = $SCRATCH_DIR/Memory_test/work +sequential = $SCRATCH_DIR/sequential/work +[hdl] +Board = $HDS_PROJECT_DIR/../Board/hdl +Common = $HEI_LIBS_DIR/Common/hdl +Cursor = $HDS_PROJECT_DIR/../Cursor/hdl +Cursor_test = $HDS_PROJECT_DIR/../Cursor_test/hdl +gates = $HEI_LIBS_DIR/Gates/hdl +ieee = $HDS_HOME/hdl_libs/ieee/hdl +io = $HEI_LIBS_DIR/IO/hdl +LCD = $HEI_LIBS_DIR/Lcd/hdl +LCD_test = $HEI_LIBS_DIR/Lcd_test/hdl +Memory = $HEI_LIBS_DIR/Memory/hdl +Memory_test = $HEI_LIBS_DIR/Memory_test/hdl +sequential = $HEI_LIBS_DIR/Sequential/hdl +std = $HDS_HOME/hdl_libs/std/hdl +[hds] +Board = $HDS_PROJECT_DIR/../Board/hds +Common = $HEI_LIBS_DIR/Common/hds +Cursor = $HDS_PROJECT_DIR/../Cursor/hds +Cursor_test = $HDS_PROJECT_DIR/../Cursor_test/hds +gates = $HEI_LIBS_DIR/Gates/hds +ieee = $HDS_HOME/hdl_libs/ieee/hds +io = $HEI_LIBS_DIR/IO/hds +LCD = $HEI_LIBS_DIR/Lcd/hds +LCD_test = $HEI_LIBS_DIR/Lcd_test/hds +Memory = $HEI_LIBS_DIR/Memory/hds +Memory_test = $HEI_LIBS_DIR/Memory_test/hds +sequential = $HEI_LIBS_DIR/Sequential/hds +std = $HDS_HOME/hdl_libs/std/hds +[hds_settings] +design_root = Cursor_test.cursor_tb(struct)cursor_tb/struct.bd +[library_type] +Board = regular +ieee = standard +std = standard +[shared] +others = $HDS_TEAM_HOME/shared.hdp diff --git a/Prefs/hds_team/shared.hdp b/Prefs/hds_team/shared.hdp new file mode 100644 index 0000000..41c9387 --- /dev/null +++ b/Prefs/hds_team/shared.hdp @@ -0,0 +1,23 @@ +[hds_settings] +version = 1 +project_description = The standard HDS shared project +[hds] +ieee = $HDS_HOME/hdl_libs/ieee/hds +std = $HDS_HOME/hdl_libs/std/hds +synopsys = $HDS_HOME/hdl_libs/synopsys/hds +verilog = $HDS_HOME/hdl_libs/verilog/hds +vital2000 = $HDS_HOME/hdl_libs/vital2000/hds + +[hdl] +ieee = $HDS_HOME/hdl_libs/ieee/hdl +std = $HDS_HOME/hdl_libs/std/hdl +synopsys = $HDS_HOME/hdl_libs/synopsys/hdl +verilog = $HDS_HOME/hdl_libs/verilog/hdl +vital2000 = $HDS_HOME/hdl_libs/vital2000/hdl + +[library_type] +ieee = standard +std = standard +synopsys = standard +verilog = standard +vital2000 = standard diff --git a/Prefs/hds_team/v2015.2/hds_team_prefs b/Prefs/hds_team/v2015.2/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/Prefs/hds_team/v2015.2/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/Prefs/hds_team/v2015.2/title_block.tmpl b/Prefs/hds_team/v2015.2/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/Prefs/hds_team/v2015.2/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/Prefs/hds_team/v2018.1/hds_team_prefs b/Prefs/hds_team/v2018.1/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/Prefs/hds_team/v2018.1/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/Prefs/hds_team/v2018.1/title_block.tmpl b/Prefs/hds_team/v2018.1/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/Prefs/hds_team/v2018.1/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/Prefs/hds_team/v2019.2/hds_team_prefs b/Prefs/hds_team/v2019.2/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/Prefs/hds_team/v2019.2/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/Prefs/hds_team/v2019.2/title_block.tmpl b/Prefs/hds_team/v2019.2/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/Prefs/hds_team/v2019.2/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/Prefs/hds_user-linux/v2015.2/hds_user_prefs b/Prefs/hds_user-linux/v2015.2/hds_user_prefs new file mode 100644 index 0000000..28e6a03 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/hds_user_prefs @@ -0,0 +1,5510 @@ +version "45.1" +SaPreferences [ +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "Emacs (using server)" +second "emacsclient +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +(pair +first "XEmacs" +second "xemacs +%(l) '%(p)'" +) +(pair +first "XEmacs (using server)" +second "gnuclient +%(l) '%(p)'" +) +(pair +first "XTerm with Editor" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e \"${EDITOR:-vi}\" '%(f)'" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi +%(l) '%(f)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Builtin" +win32DefaultViewer "Builtin" +unixDefaultEditor "Builtin" +unixDefaultViewer "Builtin" +defaultLanguage 0 +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 3 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"TabularWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"StdTabWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"BdTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +simulator "ModelSim 5.1" +tempDirectory "/tmp" +projectPaths [ +"/home/francois/Documents/HEVs/SVN/ElN_cursor/Prefs/ElN_cursor.hdp" +"/home/francois/Documents/HEVs/SVN/ElN_cursor/Prefs/hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +defaultUserLibRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.std_logic_arith.all; +" +defaultVerilogPackages "" +defaultFont "courier,10,0" +tableFont "courier,10,0" +pageSetupInfo (PageSetupInfo +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +pageSizes [ +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER/title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "courier,8,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER/remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "task_DesignCompilerPath" +second "" +) +(pair +first "task_LeonardoPath" +second "" +) +(pair +first "task_ModelSimPath" +second "/usr/opt/Modelsim/modeltech/bin" +) +(pair +first "task_NC-SimPath" +second "" +) +(pair +first "task_PrecisionRTLPath" +second "" +) +(pair +first "task_QuestaSimPath" +second "" +) +(pair +first "task_VCSPath" +second "" +) +] +tasksOrder [ +"USER:Generate" +"USER:DesignChecker" +"USER:DesignChecker Flow" +"USER:Register Assistant" +"USER:SystemVerilog Assistant" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:QuestaSim Compile" +"USER:QuestaSim Simulate" +"USER:Precision Synthesis" +"USER:LeonardoSpectrum" +"USER:Xilinx CORE Generator" +"USER:Altera MegaWizard" +"USER:Altera SOPC Builder" +"USER:Xilinx Platform Studio" +"USER:FPGA Technology Setup" +"USER:FPGA Library Compile" +"USER:Xilinx Synthesis Tool" +"USER:Xilinx Place and Route" +"USER:Xilinx Import" +"USER:Quartus II Synthesis" +"USER:Quartus Place and Route" +"USER:Quartus Programmer" +"USER:Actel Place and Route" +"USER:Lattice Place and Route" +"USER:C/C++ Wrapper Generator" +"USER:ModelSim Flow" +"USER:QuestaSim Flow" +"USER:LeonardoSpectrum Flow" +"USER:Precision Synthesis Flow" +"USER:Xilinx Synthesis Tool Flow" +"USER:Xilinx Vivado Flow" +"USER:Quartus II Synthesis Flow" +"USER:I/O Design Flow" +] +tasksToolbarOrder [ +"\"USER:DesignChecker Flow\"" +"\"USER:Register Assistant\"" +"\"USER:SystemVerilog Assistant\"" +"USER:Generate" +"\"USER:ModelSim Flow\"" +"\"USER:Precision Synthesis Flow\"" +"\"USER:QuestaSim Flow\"" +] +tasksMenubarOrder [ +"\"USER:DesignChecker Flow\"" +"USER:Generate" +"\"USER:ModelSim Flow\"" +"\"USER:Precision Synthesis Flow\"" +"\"USER:QuestaSim Flow\"" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:Actel Place and Route\"" +"\"USER:Altera MegaWizard\"" +"\"USER:Altera SOPC Builder\"" +"\"USER:C/C++ Wrapper Generator\"" +"\"USER:DesignChecker Flow\"" +"\"USER:FPGA Technology Setup\"" +"\"USER:I/O Design Flow\"" +"\"USER:Lattice Place and Route\"" +"\"USER:LeonardoSpectrum Flow\"" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"\"USER:Precision Synthesis Flow\"" +"\"USER:Precision Synthesis\"" +"\"USER:Quartus II Synthesis Flow\"" +"\"USER:Quartus II Synthesis\"" +"\"USER:Quartus Place and Route\"" +"\"USER:Quartus Programmer\"" +"\"USER:QuestaSim Compile\"" +"\"USER:QuestaSim Flow\"" +"\"USER:QuestaSim Simulate\"" +"\"USER:Xilinx CORE Generator\"" +"\"USER:Xilinx Import\"" +"\"USER:Xilinx Place and Route\"" +"\"USER:Xilinx Platform Studio\"" +"\"USER:Xilinx Synthesis Tool Flow\"" +"\"USER:Xilinx Synthesis Tool\"" +"\"USER:Xilinx Vivado Flow\"" +"USER:Generate" +"USER:LeonardoSpectrum" +] +taskPreferences [ +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SystemVerilog Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "922x1028-10+14" +diagramBrowserTabNo 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(graphical_source_author).%(graphical_source_group) (%(graphical_source_host)) +// at - %(graphical_source_time) %(graphical_source_date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +) +(CompilationPreferences +) +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SystemVerilog Assistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SystemVerilog Assistant" +Arguments "" +) +(ActionState +Name "View" +Tool "USER:SystemVerilog Assistant" +Arguments "" +) +] +) +] +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +font "courier,8,0" +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +font "courier,8,0" +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +font "courier,8,0" +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +font "courier,8,0" +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "courier,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "courier,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "courier,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +font "courier,8,0" +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +font "courier,8,0" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +font "courier,8,0" +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "courier,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +font "courier,8,0" +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "courier,8,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "courier,8,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "courier,8,1" +) +caseExpressionVaSet (VaSet +font "courier,8,0" +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "courier,8,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "courier,8,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "courier,8,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "courier,8,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "courier,8,1" +) +signalStatValueVaSet (VaSet +font "courier,8,0" +) +declLabelVaSet (VaSet +font "courier,8,1" +) +declValueVaSet (VaSet +font "courier,8,0" +) +stmtLabelVaSet (VaSet +font "courier,8,1" +) +stmtValueVaSet (VaSet +font "courier,8,0" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_logic" +vhdlBusType "std_logic_vector" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "courier,8,1" +) +cptFontVaSet (VaSet +font "courier,8,1" +) +signalFontVaSet (VaSet +font "courier,8,0" +) +bundleFontVaSet (VaSet +font "courier,8,0" +) +cptPortFontVaSet (VaSet +font "courier,8,0" +) +hdlTextFontVaSet (VaSet +font "courier,8,1" +) +embeddedTextVaSet (VaSet +font "courier,8,0" +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "courier,8,1" +) +genericAssocFontVaSet (VaSet +font "courier,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +font "courier,8,0" +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +font "courier,8,0" +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "courier,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "courier,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "courier,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "courier,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "courier,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "courier,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "courier,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "courier,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "courier,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "courier,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "courier,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "courier,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "courier,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "courier,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +actionBoxActionsVaSet (VaSet +font "courier,8,0" +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "courier,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +decisionBoxConditionVaSet (VaSet +font "courier,8,0" +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "courier,8,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +waitBoxConditionVaSet (VaSet +font "courier,8,0" +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +startLoopLabelVaSet (VaSet +font "courier,8,1" +) +startLoopConditionVaSet (VaSet +font "courier,8,0" +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "courier,8,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +startCaseLabelVaSet (VaSet +font "courier,8,1" +) +startCaseExpressionVaSet (VaSet +font "courier,8,0" +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "courier,8,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "courier,8,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "courier,8,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +font "courier,8,0" +) +processDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +processDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +sensitivityListBlockLabelVaSet (VaSet +font "courier,8,1" +) +sensitivityListBlockValueVaSet (VaSet +font "courier,8,0" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +"Cursor" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +"Cursor_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +"Board" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"Requirements" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 59 +) +] +displayHierarchy 0 +xPos 60 +yPos 120 +width 1177 +height 860 +activeSidePanelTab 2 +activeLibraryTab 3 +sidePanelSize 280 +showUnixHiddenFiles 0 +componentBrowserLibraryNames [ +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +font "courier,8,0" +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +font "courier,8,0" +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +font "courier,8,0" +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +font "courier,8,0" +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "courier,10,1" +) +stateEncodingVaSet (VaSet +font "courier,8,1" +) +stateActionsVaSet (VaSet +font "courier,8,0" +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "courier,8,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "courier,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "courier,8,1" +) +junctionSymbolVaSet (VaSet +font "courier,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "courier,8,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "courier,10,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +font "courier,8,0" +) +tBlockActionsVaSet (VaSet +font "courier,8,0" +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +font "courier,8,0" +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "courier,8,1" +) +localDeclValueVaSet (VaSet +font "courier,8,0" +) +processDeclLabelVaSet (VaSet +font "courier,8,1" +) +processDeclValueVaSet (VaSet +font "courier,8,0" +) +globalActionsLabelVaSet (VaSet +font "courier,8,1" +) +globalActionsValueVaSet (VaSet +font "courier,8,0" +) +stateRegBlkLabelVaSet (VaSet +font "courier,8,1" +) +stateRegBlkValueVaSet (VaSet +font "courier,8,0" +) +signalsGenStatusVaSet (VaSet +font "courier,8,1" +) +signalsGenStatusValueVaSet (VaSet +font "courier,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "courier,8,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +font "courier,8,0" +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "courier,8,1" +) +cptPortFontVaSet (VaSet +font "courier,8,0" +) +genericInterfaceFontVaSet (VaSet +font "courier,8,0" +) +portRowVaSet (VaSet +vasetType 4 +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "std_logic_vector" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +DeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "courier,8,0" +) +order 0 +editSignalScope 4 +) +] diff --git a/Prefs/hds_user-linux/v2015.2/tasks/actel_place_and_route.tsk b/Prefs/hds_user-linux/v2015.2/tasks/actel_place_and_route.tsk new file mode 100644 index 0000000..014f1ac --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/actel_place_and_route.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Actel Place and Route" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_actel.bmp" +hasBitmap 1 +tooltip "Invokes the Actel Designer Place and Route tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"ActelPARInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/altera_megawizard.tsk b/Prefs/hds_user-linux/v2015.2/tasks/altera_megawizard.tsk new file mode 100644 index 0000000..1b63782 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/altera_megawizard.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Altera MegaWizard" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_alteramegawizard.bmp" +hasBitmap 1 +tooltip "Creates Altera Megawizard components" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"\"%(p)\" %(library)" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"AlteraMegaWizard" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/altera_sopc_builder.tsk b/Prefs/hds_user-linux/v2015.2/tasks/altera_sopc_builder.tsk new file mode 100644 index 0000000..5a394b1 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/altera_sopc_builder.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Altera SOPC Builder" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_alterasopc.bmp" +hasBitmap 1 +tooltip "Invokes and imports files from Altera SOPC Builder" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"AlteraSOPC" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/c_c_wrapper_generator.tsk b/Prefs/hds_user-linux/v2015.2/tasks/c_c_wrapper_generator.tsk new file mode 100644 index 0000000..aa121c5 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/c_c_wrapper_generator.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "C/C++ Wrapper Generator" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_cwrapper.bmp" +hasBitmap 1 +tooltip "Generates an HDL wrapper for a C/C++ view" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"CWrapperGen" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/designchecker.tsk b/Prefs/hds_user-linux/v2015.2/tasks/designchecker.tsk new file mode 100644 index 0000000..a955548 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/designchecker.tsk @@ -0,0 +1,43 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "DesignChecker" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_designanalyst.bmp" +hasBitmap 1 +tooltip "Runs DesignChecker" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"1" +"customPrompt" +"" +"forceGui" +"NO_FORCE" +"initialDir" +"" +"noSettingsDlg" +"1" +"promptForRunSettings" +"0" +"runnableObject" +"HdsLintPlugin" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/designchecker_flow.tsk b/Prefs/hds_user-linux/v2015.2/tasks/designchecker_flow.tsk new file mode 100644 index 0000000..2d662f3 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/designchecker_flow.tsk @@ -0,0 +1,57 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "DesignChecker Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_designanalyst.bmp" +hasBitmap 1 +tooltip "Generate and runs DesignChecker" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "DesignChecker" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"forceGui" +"NO_FORCE" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:DesignChecker" +) +] +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/fpga_library_compile.tsk b/Prefs/hds_user-linux/v2015.2/tasks/fpga_library_compile.tsk new file mode 100644 index 0000000..eedb81a --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/fpga_library_compile.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "FPGA Library Compile" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_fpgalibcomp.bmp" +hasBitmap 1 +tooltip "Compiles Vendor Simulation Libraries" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"FpgaLibsComp" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/fpga_technology_setup.tsk b/Prefs/hds_user-linux/v2015.2/tasks/fpga_technology_setup.tsk new file mode 100644 index 0000000..f1b887c --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/fpga_technology_setup.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "FPGA Technology Setup" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_fpgatechsetup.bmp" +hasBitmap 1 +tooltip "Sets the FPGA technology" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"FpgaTechSetup" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/generate.tsk b/Prefs/hds_user-linux/v2015.2/tasks/generate.tsk new file mode 100644 index 0000000..d248a15 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/i_o_design_flow.tsk b/Prefs/hds_user-linux/v2015.2/tasks/i_o_design_flow.tsk new file mode 100644 index 0000000..a191262 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/i_o_design_flow.tsk @@ -0,0 +1,72 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "I/O Design Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_blpro.bmp" +hasBitmap 1 +tooltip "Generate and runs BoardLink Pro to define pin assignments" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "I/O Design" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_blpro.bmp" +hasBitmap 1 +tooltip "Runs BoardLink Pro to define pin assignments" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"BoardLinkPro" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/lattice_place_and_route.tsk b/Prefs/hds_user-linux/v2015.2/tasks/lattice_place_and_route.tsk new file mode 100644 index 0000000..d1f9f2e --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/lattice_place_and_route.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Lattice Place and Route" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_lattice.bmp" +hasBitmap 1 +tooltip "Invokes the Lattice Place and Route tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"LatticePARInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/leonardospectrum.tsk b/Prefs/hds_user-linux/v2015.2/tasks/leonardospectrum.tsk new file mode 100644 index 0000000..3ab131f --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/leonardospectrum.tsk @@ -0,0 +1,101 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "LeonardoSpectrum" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_leonardo.bmp" +hasBitmap 1 +tooltip "Runs LeonardoSpectrum data preparation and invokes tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"$HDS_HOME/resources/tcl/plugins/dialogs/SpectrumCombinedDlg.tbc" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "LeonardoSpectrum Prepare Data" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_leonardo.bmp" +hasBitmap 1 +tooltip "Does data preparation for LeonardoSpectrum" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"SpectrumDataPrep" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "LeonardoSpectrum Synthesis Invoke" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_leonardo.bmp" +hasBitmap 1 +tooltip "Invokes the LeonardoSpectrum Synthesis tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"SpectrumInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/leonardospectrum_flow.tsk b/Prefs/hds_user-linux/v2015.2/tasks/leonardospectrum_flow.tsk new file mode 100644 index 0000000..fa1af05 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/leonardospectrum_flow.tsk @@ -0,0 +1,50 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "LeonardoSpectrum Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_leonardo.bmp" +hasBitmap 1 +tooltip "Generate and runs the entire LeonardoSpectrum flow" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "LeonardoSpectrum" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:LeonardoSpectrum" +) +] +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/modelsim_compile.tsk b/Prefs/hds_user-linux/v2015.2/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..6bf537f --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/modelsim_compile.tsk @@ -0,0 +1,48 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"exepath" +"%task_ModelSimPath" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/modelsim_flow.tsk b/Prefs/hds_user-linux/v2015.2/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..9e6f746 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/modelsim_flow.tsk @@ -0,0 +1,74 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "/usr/opt/Modelsim/modeltech/bin" +) +(preferedMap +preferedEnum 2 +preferedSetting "MODEL_SIM" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/modelsim_simulate.tsk b/Prefs/hds_user-linux/v2015.2/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..e11f828 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/modelsim_simulate.tsk @@ -0,0 +1,48 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"exepath" +"%task_ModelSimPath" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/precision_synthesis.tsk b/Prefs/hds_user-linux/v2015.2/tasks/precision_synthesis.tsk new file mode 100644 index 0000000..e4a16ef --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/precision_synthesis.tsk @@ -0,0 +1,101 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Precision Synthesis" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_precision.bmp" +hasBitmap 1 +tooltip "Runs Precision data preparation and invokes tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"$HDS_HOME/resources/tcl/plugins/dialogs/PrecisionSynthesisCombinedDlg.tbc" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Precision Synthesis Prepare Data" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_precision.bmp" +hasBitmap 1 +tooltip "Does data preparation for Precision Synthesis" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"PrecisionSynthesisDataPrep" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Precision Synthesis Invoke" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_precision.bmp" +hasBitmap 1 +tooltip "Invokes the Precision Synthesis tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"PrecisionSynthesisInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/precision_synthesis_flow.tsk b/Prefs/hds_user-linux/v2015.2/tasks/precision_synthesis_flow.tsk new file mode 100644 index 0000000..3b1c63a --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/precision_synthesis_flow.tsk @@ -0,0 +1,50 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Precision Synthesis Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_precision.bmp" +hasBitmap 1 +tooltip "Generate and runs the entire Precision Synthesis flow" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "Precision Synthesis" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Precision Synthesis" +) +] +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/quartus_ii_synthesis.tsk b/Prefs/hds_user-linux/v2015.2/tasks/quartus_ii_synthesis.tsk new file mode 100644 index 0000000..4d806ce --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/quartus_ii_synthesis.tsk @@ -0,0 +1,94 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Quartus II Synthesis" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_quartus_synthesis.bmp" +hasBitmap 1 +tooltip "Runs Quartus II Synthesis data preparation and invokes tool" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Quartus II Synthesis Prepare Data" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_quartus_synthesis.bmp" +hasBitmap 1 +tooltip "Does data preparation for Quartus II Synthesis" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"QISDataPrep" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Quartus II Synthesis Invoke" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_quartus_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes the Quartus II Synthesis tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"QISInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/quartus_ii_synthesis_flow.tsk b/Prefs/hds_user-linux/v2015.2/tasks/quartus_ii_synthesis_flow.tsk new file mode 100644 index 0000000..20f9ba1 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/quartus_ii_synthesis_flow.tsk @@ -0,0 +1,50 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Quartus II Synthesis Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_quartus_synthesis.bmp" +hasBitmap 1 +tooltip "Generate and runs the entire Quartus QIS Synthesis flow" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "Quartus II Synthesis" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Quartus II Synthesis" +) +] +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/quartus_place_and_route.tsk b/Prefs/hds_user-linux/v2015.2/tasks/quartus_place_and_route.tsk new file mode 100644 index 0000000..1ba58ba --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/quartus_place_and_route.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Quartus Place and Route" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_altera_quartus.bmp" +hasBitmap 1 +tooltip "Invokes the Quartus II Place and Route tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"QISPARInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/quartus_programmer.tsk b/Prefs/hds_user-linux/v2015.2/tasks/quartus_programmer.tsk new file mode 100644 index 0000000..a655174 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/quartus_programmer.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Quartus Programmer" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_quartus_programmer.bmp" +hasBitmap 1 +tooltip "Invokes the Quartus II Programmer tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"QISPGMInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/questasim_compile.tsk b/Prefs/hds_user-linux/v2015.2/tasks/questasim_compile.tsk new file mode 100644 index 0000000..1cbe2b6 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/questasim_compile.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "QuestaSim Compile" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_questasim_compile.bmp" +hasBitmap 1 +tooltip "Runs QuestaSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"QuestaSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/questasim_flow.tsk b/Prefs/hds_user-linux/v2015.2/tasks/questasim_flow.tsk new file mode 100644 index 0000000..3a2e9c1 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/questasim_flow.tsk @@ -0,0 +1,66 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "QuestaSim Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_questasim.bmp" +hasBitmap 1 +tooltip "Generate and run entire QuestaSim flow" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "QuestaSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:QuestaSim Compile" +) +(HDSTaskRef +TaskName "QuestaSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:QuestaSim Simulate" +) +] +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/questasim_simulate.tsk b/Prefs/hds_user-linux/v2015.2/tasks/questasim_simulate.tsk new file mode 100644 index 0000000..9201889 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/questasim_simulate.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "QuestaSim Simulate" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_questasim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the QuestaSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"QuestaSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/register_assistant.tsk b/Prefs/hds_user-linux/v2015.2/tasks/register_assistant.tsk new file mode 100644 index 0000000..c2c17d0 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/register_assistant.tsk @@ -0,0 +1,45 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Register Assistant" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_registerassistant.bmp" +hasBitmap 1 +tooltip "Invokes Register Assistant" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"" +"noNeedForThroughDesignRoot" +"1" +"noNeedForUseViewSpecificSettings" +"1" +"noSettingsDlg" +"1" +"promptForRunSettings" +"0" +"runnableObject" +"RegisterAssistantInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/systemverilog_assistant.tsk b/Prefs/hds_user-linux/v2015.2/tasks/systemverilog_assistant.tsk new file mode 100644 index 0000000..1b0b2e6 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/systemverilog_assistant.tsk @@ -0,0 +1,45 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "SystemVerilog Assistant" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_svassistant.bmp" +hasBitmap 1 +tooltip "Invokes SystemVerilog Assistant" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"" +"noNeedForThroughDesignRoot" +"1" +"noNeedForUseViewSpecificSettings" +"1" +"noSettingsDlg" +"1" +"promptForRunSettings" +"0" +"runnableObject" +"SvAssistantInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/xilinx_core_generator.tsk b/Prefs/hds_user-linux/v2015.2/tasks/xilinx_core_generator.tsk new file mode 100644 index 0000000..f7da1b9 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/xilinx_core_generator.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx CORE Generator" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinxcoregen.bmp" +hasBitmap 1 +tooltip "Creates Xilinx ISE CORE Generator components" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"XilinxCoregen" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/xilinx_import.tsk b/Prefs/hds_user-linux/v2015.2/tasks/xilinx_import.tsk new file mode 100644 index 0000000..16050ec --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/xilinx_import.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Import" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_import.bmp" +hasBitmap 1 +tooltip "Import Existing Xilinx ISE Project into HDS" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"XilinxImport" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/xilinx_place_and_route.tsk b/Prefs/hds_user-linux/v2015.2/tasks/xilinx_place_and_route.tsk new file mode 100644 index 0000000..76ae08d --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/xilinx_place_and_route.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Place and Route" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_projnav.bmp" +hasBitmap 1 +tooltip "Invokes the Xilinx ISE Place and Route tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"ISEPARInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/xilinx_platform_studio.tsk b/Prefs/hds_user-linux/v2015.2/tasks/xilinx_platform_studio.tsk new file mode 100644 index 0000000..20d8090 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/xilinx_platform_studio.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Platform Studio" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinxplatstudio.bmp" +hasBitmap 1 +tooltip "Invokes and imports files from Xilinx Platform Studio" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"XilinxPlatStudio" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/xilinx_synthesis_tool.tsk b/Prefs/hds_user-linux/v2015.2/tasks/xilinx_synthesis_tool.tsk new file mode 100644 index 0000000..a2db8d3 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/xilinx_synthesis_tool.tsk @@ -0,0 +1,94 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Synthesis Tool" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Runs Xilinx ISE Synthesis Tool data preparation and invokes tool" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "XST Prepare Data" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Does data preparation for Xilinx ISE Synthesis Tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"XSTDataPrep" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "XST Invoke" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes Xilinx ISE Synthesis Tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"XSTInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/xilinx_synthesis_tool_flow.tsk b/Prefs/hds_user-linux/v2015.2/tasks/xilinx_synthesis_tool_flow.tsk new file mode 100644 index 0000000..7105fe5 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/xilinx_synthesis_tool_flow.tsk @@ -0,0 +1,50 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Synthesis Tool Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Generate and runs the entire Xilinx Synthesis Tool flow" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "Xilinx Synthesis Tool" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Xilinx Synthesis Tool" +) +] +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/xilinx_vivado_flow.tsk b/Prefs/hds_user-linux/v2015.2/tasks/xilinx_vivado_flow.tsk new file mode 100644 index 0000000..25c63cc --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/xilinx_vivado_flow.tsk @@ -0,0 +1,72 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Vivado Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_vivado.bmp" +hasBitmap 1 +tooltip "Generate and runs Xilinx Vivado wizard" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Vivado" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_vivado.bmp" +hasBitmap 1 +tooltip "HDS integration with Xilinx Vivado" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"XilinxVivado" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +] +) diff --git a/Prefs/hds_user-linux/v2015.2/templates/text_files/new_document.txt b/Prefs/hds_user-linux/v2015.2/templates/text_files/new_document.txt new file mode 100644 index 0000000..8e54448 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/templates/text_files/new_document.txt @@ -0,0 +1,13 @@ +FILE_NAMING_RULE: new_document.txt +DESCRIPTION_START +This is the default template used for the creation of Text Document files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +# +# Created: +# by - %(user).%(group) (%(host)) +# at - %(time) %(date) +# +# using Mentor Graphics HDL Designer(TM) %(version) +# + diff --git a/Prefs/hds_user-linux/v2015.2/templates/vhdl_architecture/architecture.vhd b/Prefs/hds_user-linux/v2015.2/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/Prefs/hds_user-linux/v2015.2/templates/vhdl_combined/combined.vhd b/Prefs/hds_user-linux/v2015.2/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/Prefs/hds_user-linux/v2015.2/templates/vhdl_configuration/configuration.vhd b/Prefs/hds_user-linux/v2015.2/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/Prefs/hds_user-linux/v2015.2/templates/vhdl_entity/entity.vhd b/Prefs/hds_user-linux/v2015.2/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/Prefs/hds_user-linux/v2015.2/templates/vhdl_package_body/package_body.vhd b/Prefs/hds_user-linux/v2015.2/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/Prefs/hds_user-linux/v2015.2/templates/vhdl_package_header/package_header.vhd b/Prefs/hds_user-linux/v2015.2/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/Prefs/hds_user-linux/v2018.1/hds_user_prefs b/Prefs/hds_user-linux/v2018.1/hds_user_prefs new file mode 100644 index 0000000..0c35b12 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/hds_user_prefs @@ -0,0 +1,5790 @@ +version "48.1" +SaPreferences [ +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Atom" +second "atom %(p):%(l)" +) +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Builtin" +win32DefaultViewer "Builtin" +unixDefaultEditor "Atom" +unixDefaultViewer "Builtin" +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 3 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"TabularWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"StdTabWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"BdTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +simulator "ModelSim 5.1" +tempDirectory "/tmp" +projectPaths [ +"/home/francois/Documents/HEVs/SVN/ElN_cursor/Prefs/ElN_cursor.hdp" +"/home/francois/Favorites/ElN_local/Labs/ElN_cursor/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SVN/ElN_cursor/Prefs/hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +defaultUserLibRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.std_logic_arith.all; +" +defaultVerilogPackages "" +defaultFont "courier,10,0" +tableFont "courier,10,0" +pageSetupInfo (PageSetupInfo +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +pageSizes [ +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER/title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "courier,8,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER/remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "task_DesignCompilerPath" +second "" +) +(pair +first "task_LeonardoPath" +second "" +) +(pair +first "task_ModelSimPath" +second "/usr/opt/Modelsim/modeltech/bin" +) +(pair +first "task_NC-SimPath" +second "" +) +(pair +first "task_PrecisionRTLPath" +second "" +) +(pair +first "task_QuestaSimPath" +second "" +) +(pair +first "task_VCSPath" +second "" +) +] +tasksOrder [ +"USER:Generate" +"USER:DesignChecker" +"USER:DesignChecker Flow" +"USER:Register Assistant" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:QuestaSim Compile" +"USER:QuestaSim Simulate" +"USER:Precision Synthesis" +"USER:LeonardoSpectrum" +"USER:Xilinx CORE Generator" +"USER:Altera MegaWizard" +"USER:Altera SOPC Builder" +"USER:Xilinx Platform Studio" +"USER:FPGA Technology Setup" +"USER:FPGA Library Compile" +"USER:Xilinx Synthesis Tool" +"USER:Xilinx Place and Route" +"USER:Xilinx Import" +"USER:Quartus Place and Route" +"USER:Quartus Programmer" +"USER:Actel Place and Route" +"USER:Lattice Place and Route" +"USER:C/C++ Wrapper Generator" +"USER:ModelSim Flow" +"USER:QuestaSim Flow" +"USER:LeonardoSpectrum Flow" +"USER:Precision Synthesis Flow" +"USER:Xilinx Synthesis Tool Flow" +"USER:Xilinx Vivado Flow" +"USER:I/O Design Flow" +"USER:SVAssistant Flow" +"USER:Quartus Prime Import" +"USER:Quartus Synthesis" +"USER:Quartus Synthesis Flow" +"USER:Quartus Synthesis Invoke" +"USER:Quartus Synthesis Prepare Data" +] +tasksToolbarOrder [ +"\"USER:DesignChecker Flow\"" +"\"USER:Register Assistant\"" +"USER:Generate" +"\"USER:ModelSim Flow\"" +"\"USER:Precision Synthesis Flow\"" +"\"USER:QuestaSim Flow\"" +"\"USER:SVAssistant Flow\"" +] +tasksMenubarOrder [ +"\"USER:DesignChecker Flow\"" +"USER:Generate" +"\"USER:ModelSim Flow\"" +"\"USER:Precision Synthesis Flow\"" +"\"USER:QuestaSim Flow\"" +"\"USER:SVAssistant Flow\"" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:Actel Place and Route\"" +"\"USER:Altera MegaWizard\"" +"\"USER:Altera SOPC Builder\"" +"\"USER:C/C++ Wrapper Generator\"" +"\"USER:DesignChecker Flow\"" +"\"USER:FPGA Technology Setup\"" +"\"USER:I/O Design Flow\"" +"\"USER:Lattice Place and Route\"" +"\"USER:LeonardoSpectrum Flow\"" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"\"USER:Precision Synthesis Flow\"" +"\"USER:Precision Synthesis\"" +"\"USER:Quartus Place and Route\"" +"\"USER:Quartus Programmer\"" +"\"USER:QuestaSim Compile\"" +"\"USER:QuestaSim Flow\"" +"\"USER:QuestaSim Simulate\"" +"\"USER:Xilinx CORE Generator\"" +"\"USER:Xilinx Import\"" +"\"USER:Xilinx Place and Route\"" +"\"USER:Xilinx Platform Studio\"" +"\"USER:Xilinx Synthesis Tool Flow\"" +"\"USER:Xilinx Synthesis Tool\"" +"\"USER:Xilinx Vivado Flow\"" +"USER:Generate" +"USER:LeonardoSpectrum" +"\"USER:SVAssistant Flow\"" +"\"USER:Quartus Synthesis Flow\"" +] +taskPreferences [ +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\" \"USER:Quartus Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\" \"USER:Quartus Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SystemVerilog Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "600x918-0+0" +diagramBrowserTabNo 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(graphical_source_author).%(graphical_source_group) (%(graphical_source_host)) +// at - %(graphical_source_time) %(graphical_source_date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +) +(CompilationPreferences +) +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +font "courier,8,0" +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +font "courier,8,0" +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +font "courier,8,0" +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +font "courier,8,0" +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "courier,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "courier,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "courier,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +font "courier,8,0" +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +font "courier,8,0" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +font "courier,8,0" +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "courier,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +font "courier,8,0" +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "courier,8,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "courier,8,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "courier,8,1" +) +caseExpressionVaSet (VaSet +font "courier,8,0" +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "courier,8,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "courier,8,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "courier,8,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "courier,8,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "courier,8,1" +) +signalStatValueVaSet (VaSet +font "courier,8,0" +) +declLabelVaSet (VaSet +font "courier,8,1" +) +declValueVaSet (VaSet +font "courier,8,0" +) +stmtLabelVaSet (VaSet +font "courier,8,1" +) +stmtValueVaSet (VaSet +font "courier,8,0" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_logic" +vhdlBusType "std_logic_vector" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "courier,8,1" +) +cptFontVaSet (VaSet +font "courier,8,1" +) +signalFontVaSet (VaSet +font "courier,8,0" +) +bundleFontVaSet (VaSet +font "courier,8,0" +) +cptPortFontVaSet (VaSet +font "courier,8,0" +) +hdlTextFontVaSet (VaSet +font "courier,8,1" +) +embeddedTextVaSet (VaSet +font "courier,8,0" +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "courier,8,1" +) +genericAssocFontVaSet (VaSet +font "courier,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +font "courier,8,0" +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +font "courier,8,0" +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "courier,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "courier,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "courier,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "courier,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "courier,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "courier,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "courier,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "courier,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "courier,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "courier,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "courier,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "courier,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "courier,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "courier,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +actionBoxActionsVaSet (VaSet +font "courier,8,0" +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "courier,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +decisionBoxConditionVaSet (VaSet +font "courier,8,0" +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "courier,8,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +waitBoxConditionVaSet (VaSet +font "courier,8,0" +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +startLoopLabelVaSet (VaSet +font "courier,8,1" +) +startLoopConditionVaSet (VaSet +font "courier,8,0" +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "courier,8,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +startCaseLabelVaSet (VaSet +font "courier,8,1" +) +startCaseExpressionVaSet (VaSet +font "courier,8,0" +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "courier,8,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "courier,8,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "courier,8,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +font "courier,8,0" +) +processDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +processDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +sensitivityListBlockLabelVaSet (VaSet +font "courier,8,1" +) +sensitivityListBlockValueVaSet (VaSet +font "courier,8,0" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +"Cursor" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +"Cursor_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +"Board" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"Requirements" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 59 +) +] +displayHierarchy 0 +xPos 59 +yPos 138 +width 1177 +height 860 +activeSidePanelTab 2 +activeLibraryTab 2 +sidePanelSize 280 +showUnixHiddenFiles 0 +componentBrowserLibraryNames [ +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +font "courier,8,0" +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +font "courier,8,0" +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +font "courier,8,0" +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +font "courier,8,0" +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "courier,10,1" +) +stateEncodingVaSet (VaSet +font "courier,8,1" +) +stateActionsVaSet (VaSet +font "courier,8,0" +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "courier,8,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "courier,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "courier,8,1" +) +junctionSymbolVaSet (VaSet +font "courier,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "courier,8,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "courier,10,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +font "courier,8,0" +) +tBlockActionsVaSet (VaSet +font "courier,8,0" +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +font "courier,8,0" +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "courier,8,1" +) +localDeclValueVaSet (VaSet +font "courier,8,0" +) +processDeclLabelVaSet (VaSet +font "courier,8,1" +) +processDeclValueVaSet (VaSet +font "courier,8,0" +) +globalActionsLabelVaSet (VaSet +font "courier,8,1" +) +globalActionsValueVaSet (VaSet +font "courier,8,0" +) +stateRegBlkLabelVaSet (VaSet +font "courier,8,1" +) +stateRegBlkValueVaSet (VaSet +font "courier,8,0" +) +signalsGenStatusVaSet (VaSet +font "courier,8,1" +) +signalsGenStatusValueVaSet (VaSet +font "courier,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "courier,8,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +font "courier,8,0" +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "courier,8,1" +) +cptPortFontVaSet (VaSet +font "courier,8,0" +) +genericInterfaceFontVaSet (VaSet +font "courier,8,0" +) +portRowVaSet (VaSet +vasetType 4 +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "std_logic_vector" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +DeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "courier,8,0" +) +order 0 +editSignalScope 4 +) +] diff --git a/Prefs/hds_user-linux/v2018.1/tasks/actel_place_and_route.tsk b/Prefs/hds_user-linux/v2018.1/tasks/actel_place_and_route.tsk new file mode 100644 index 0000000..014f1ac --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/actel_place_and_route.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Actel Place and Route" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_actel.bmp" +hasBitmap 1 +tooltip "Invokes the Actel Designer Place and Route tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"ActelPARInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/altera_megawizard.tsk b/Prefs/hds_user-linux/v2018.1/tasks/altera_megawizard.tsk new file mode 100644 index 0000000..1b63782 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/altera_megawizard.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Altera MegaWizard" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_alteramegawizard.bmp" +hasBitmap 1 +tooltip "Creates Altera Megawizard components" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"\"%(p)\" %(library)" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"AlteraMegaWizard" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/altera_sopc_builder.tsk b/Prefs/hds_user-linux/v2018.1/tasks/altera_sopc_builder.tsk new file mode 100644 index 0000000..5a394b1 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/altera_sopc_builder.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Altera SOPC Builder" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_alterasopc.bmp" +hasBitmap 1 +tooltip "Invokes and imports files from Altera SOPC Builder" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"AlteraSOPC" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/c_c_wrapper_generator.tsk b/Prefs/hds_user-linux/v2018.1/tasks/c_c_wrapper_generator.tsk new file mode 100644 index 0000000..aa121c5 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/c_c_wrapper_generator.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "C/C++ Wrapper Generator" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_cwrapper.bmp" +hasBitmap 1 +tooltip "Generates an HDL wrapper for a C/C++ view" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"CWrapperGen" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/designchecker.tsk b/Prefs/hds_user-linux/v2018.1/tasks/designchecker.tsk new file mode 100644 index 0000000..a955548 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/designchecker.tsk @@ -0,0 +1,43 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "DesignChecker" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_designanalyst.bmp" +hasBitmap 1 +tooltip "Runs DesignChecker" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"1" +"customPrompt" +"" +"forceGui" +"NO_FORCE" +"initialDir" +"" +"noSettingsDlg" +"1" +"promptForRunSettings" +"0" +"runnableObject" +"HdsLintPlugin" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/designchecker_flow.tsk b/Prefs/hds_user-linux/v2018.1/tasks/designchecker_flow.tsk new file mode 100644 index 0000000..2d662f3 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/designchecker_flow.tsk @@ -0,0 +1,57 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "DesignChecker Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_designanalyst.bmp" +hasBitmap 1 +tooltip "Generate and runs DesignChecker" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "DesignChecker" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"forceGui" +"NO_FORCE" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:DesignChecker" +) +] +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/fpga_library_compile.tsk b/Prefs/hds_user-linux/v2018.1/tasks/fpga_library_compile.tsk new file mode 100644 index 0000000..eedb81a --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/fpga_library_compile.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "FPGA Library Compile" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_fpgalibcomp.bmp" +hasBitmap 1 +tooltip "Compiles Vendor Simulation Libraries" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"FpgaLibsComp" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/fpga_technology_setup.tsk b/Prefs/hds_user-linux/v2018.1/tasks/fpga_technology_setup.tsk new file mode 100644 index 0000000..f1b887c --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/fpga_technology_setup.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "FPGA Technology Setup" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_fpgatechsetup.bmp" +hasBitmap 1 +tooltip "Sets the FPGA technology" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"FpgaTechSetup" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/generate.tsk b/Prefs/hds_user-linux/v2018.1/tasks/generate.tsk new file mode 100644 index 0000000..d248a15 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/i_o_design_flow.tsk b/Prefs/hds_user-linux/v2018.1/tasks/i_o_design_flow.tsk new file mode 100644 index 0000000..a191262 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/i_o_design_flow.tsk @@ -0,0 +1,72 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "I/O Design Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_blpro.bmp" +hasBitmap 1 +tooltip "Generate and runs BoardLink Pro to define pin assignments" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "I/O Design" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_blpro.bmp" +hasBitmap 1 +tooltip "Runs BoardLink Pro to define pin assignments" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"BoardLinkPro" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/lattice_place_and_route.tsk b/Prefs/hds_user-linux/v2018.1/tasks/lattice_place_and_route.tsk new file mode 100644 index 0000000..d1f9f2e --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/lattice_place_and_route.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Lattice Place and Route" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_lattice.bmp" +hasBitmap 1 +tooltip "Invokes the Lattice Place and Route tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"LatticePARInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/leonardospectrum.tsk b/Prefs/hds_user-linux/v2018.1/tasks/leonardospectrum.tsk new file mode 100644 index 0000000..3ab131f --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/leonardospectrum.tsk @@ -0,0 +1,101 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "LeonardoSpectrum" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_leonardo.bmp" +hasBitmap 1 +tooltip "Runs LeonardoSpectrum data preparation and invokes tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"$HDS_HOME/resources/tcl/plugins/dialogs/SpectrumCombinedDlg.tbc" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "LeonardoSpectrum Prepare Data" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_leonardo.bmp" +hasBitmap 1 +tooltip "Does data preparation for LeonardoSpectrum" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"SpectrumDataPrep" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "LeonardoSpectrum Synthesis Invoke" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_leonardo.bmp" +hasBitmap 1 +tooltip "Invokes the LeonardoSpectrum Synthesis tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"SpectrumInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/leonardospectrum_flow.tsk b/Prefs/hds_user-linux/v2018.1/tasks/leonardospectrum_flow.tsk new file mode 100644 index 0000000..fa1af05 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/leonardospectrum_flow.tsk @@ -0,0 +1,50 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "LeonardoSpectrum Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_leonardo.bmp" +hasBitmap 1 +tooltip "Generate and runs the entire LeonardoSpectrum flow" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "LeonardoSpectrum" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:LeonardoSpectrum" +) +] +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/modelsim_compile.tsk b/Prefs/hds_user-linux/v2018.1/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..6bf537f --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/modelsim_compile.tsk @@ -0,0 +1,48 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"exepath" +"%task_ModelSimPath" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/modelsim_flow.tsk b/Prefs/hds_user-linux/v2018.1/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..9e6f746 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/modelsim_flow.tsk @@ -0,0 +1,74 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "/usr/opt/Modelsim/modeltech/bin" +) +(preferedMap +preferedEnum 2 +preferedSetting "MODEL_SIM" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/modelsim_simulate.tsk b/Prefs/hds_user-linux/v2018.1/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..e11f828 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/modelsim_simulate.tsk @@ -0,0 +1,48 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"exepath" +"%task_ModelSimPath" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/precision_synthesis.tsk b/Prefs/hds_user-linux/v2018.1/tasks/precision_synthesis.tsk new file mode 100644 index 0000000..e4a16ef --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/precision_synthesis.tsk @@ -0,0 +1,101 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Precision Synthesis" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_precision.bmp" +hasBitmap 1 +tooltip "Runs Precision data preparation and invokes tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"$HDS_HOME/resources/tcl/plugins/dialogs/PrecisionSynthesisCombinedDlg.tbc" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Precision Synthesis Prepare Data" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_precision.bmp" +hasBitmap 1 +tooltip "Does data preparation for Precision Synthesis" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"PrecisionSynthesisDataPrep" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Precision Synthesis Invoke" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_precision.bmp" +hasBitmap 1 +tooltip "Invokes the Precision Synthesis tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"PrecisionSynthesisInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/precision_synthesis_flow.tsk b/Prefs/hds_user-linux/v2018.1/tasks/precision_synthesis_flow.tsk new file mode 100644 index 0000000..3b1c63a --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/precision_synthesis_flow.tsk @@ -0,0 +1,50 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Precision Synthesis Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_precision.bmp" +hasBitmap 1 +tooltip "Generate and runs the entire Precision Synthesis flow" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "Precision Synthesis" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Precision Synthesis" +) +] +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/quartus_place_and_route.tsk b/Prefs/hds_user-linux/v2018.1/tasks/quartus_place_and_route.tsk new file mode 100644 index 0000000..1ba58ba --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/quartus_place_and_route.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Quartus Place and Route" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_altera_quartus.bmp" +hasBitmap 1 +tooltip "Invokes the Quartus II Place and Route tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"QISPARInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/quartus_prime_import.tsk b/Prefs/hds_user-linux/v2018.1/tasks/quartus_prime_import.tsk new file mode 100644 index 0000000..232d299 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/quartus_prime_import.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Quartus Prime Import" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_altera_quartus_prime.bmp" +hasBitmap 1 +tooltip "Import IP variations from Quartus Prime" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"\"%(p)\" %(library)" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"QuartusPrimeImport" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/quartus_programmer.tsk b/Prefs/hds_user-linux/v2018.1/tasks/quartus_programmer.tsk new file mode 100644 index 0000000..a655174 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/quartus_programmer.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Quartus Programmer" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_quartus_programmer.bmp" +hasBitmap 1 +tooltip "Invokes the Quartus II Programmer tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"QISPGMInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/quartus_synthesis.tsk b/Prefs/hds_user-linux/v2018.1/tasks/quartus_synthesis.tsk new file mode 100644 index 0000000..a40412d --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/quartus_synthesis.tsk @@ -0,0 +1,94 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Quartus Synthesis" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_quartus_synthesis.bmp" +hasBitmap 1 +tooltip "Runs Quartus Synthesis data preparation and invokes tool" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Quartus Synthesis Prepare Data" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_quartus_synthesis.bmp" +hasBitmap 1 +tooltip "Does data preparation for Quartus Synthesis" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"QISDataPrep" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Quartus Synthesis Invoke" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_quartus_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes the Quartus Synthesis tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"QISInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/quartus_synthesis_flow.tsk b/Prefs/hds_user-linux/v2018.1/tasks/quartus_synthesis_flow.tsk new file mode 100644 index 0000000..0210e0e --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/quartus_synthesis_flow.tsk @@ -0,0 +1,50 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Quartus Synthesis Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_quartus_synthesis.bmp" +hasBitmap 1 +tooltip "Generate and runs the entire Quartus QIS Synthesis flow" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "Quartus Synthesis" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Quartus Synthesis" +) +] +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/quartus_synthesis_invoke.tsk b/Prefs/hds_user-linux/v2018.1/tasks/quartus_synthesis_invoke.tsk new file mode 100644 index 0000000..cb4a2bc --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/quartus_synthesis_invoke.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Quartus Synthesis Invoke" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_quartus_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes the Quartus Synthesis tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"QISInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/quartus_synthesis_prepare_data.tsk b/Prefs/hds_user-linux/v2018.1/tasks/quartus_synthesis_prepare_data.tsk new file mode 100644 index 0000000..007bff2 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/quartus_synthesis_prepare_data.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Quartus Synthesis Prepare Data" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_quartus_synthesis.bmp" +hasBitmap 1 +tooltip "Does data preparation for Quartus Synthesis" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"QISDataPrep" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/questasim_compile.tsk b/Prefs/hds_user-linux/v2018.1/tasks/questasim_compile.tsk new file mode 100644 index 0000000..1cbe2b6 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/questasim_compile.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "QuestaSim Compile" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_questasim_compile.bmp" +hasBitmap 1 +tooltip "Runs QuestaSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"QuestaSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/questasim_flow.tsk b/Prefs/hds_user-linux/v2018.1/tasks/questasim_flow.tsk new file mode 100644 index 0000000..3a2e9c1 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/questasim_flow.tsk @@ -0,0 +1,66 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "QuestaSim Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_questasim.bmp" +hasBitmap 1 +tooltip "Generate and run entire QuestaSim flow" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "QuestaSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:QuestaSim Compile" +) +(HDSTaskRef +TaskName "QuestaSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:QuestaSim Simulate" +) +] +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/questasim_simulate.tsk b/Prefs/hds_user-linux/v2018.1/tasks/questasim_simulate.tsk new file mode 100644 index 0000000..9201889 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/questasim_simulate.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "QuestaSim Simulate" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_questasim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the QuestaSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"QuestaSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/register_assistant.tsk b/Prefs/hds_user-linux/v2018.1/tasks/register_assistant.tsk new file mode 100644 index 0000000..c2c17d0 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/register_assistant.tsk @@ -0,0 +1,45 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Register Assistant" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_registerassistant.bmp" +hasBitmap 1 +tooltip "Invokes Register Assistant" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"" +"noNeedForThroughDesignRoot" +"1" +"noNeedForUseViewSpecificSettings" +"1" +"noSettingsDlg" +"1" +"promptForRunSettings" +"0" +"runnableObject" +"RegisterAssistantInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/svassistant_flow.tsk b/Prefs/hds_user-linux/v2018.1/tasks/svassistant_flow.tsk new file mode 100644 index 0000000..466248c --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/svassistant_flow.tsk @@ -0,0 +1,78 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "SVAssistant Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_svassistant.bmp" +hasBitmap 1 +tooltip "Invokes SVAssistant Flow" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "SVAssistant" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_svassistant.bmp" +hasBitmap 1 +tooltip "Invokes SVAssistant" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"" +"noNeedForThroughDesignRoot" +"1" +"noNeedForUseViewSpecificSettings" +"1" +"noSettingsDlg" +"1" +"promptForRunSettings" +"0" +"runnableObject" +"SvAssistantInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/xilinx_core_generator.tsk b/Prefs/hds_user-linux/v2018.1/tasks/xilinx_core_generator.tsk new file mode 100644 index 0000000..f7da1b9 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/xilinx_core_generator.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx CORE Generator" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinxcoregen.bmp" +hasBitmap 1 +tooltip "Creates Xilinx ISE CORE Generator components" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"XilinxCoregen" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/xilinx_import.tsk b/Prefs/hds_user-linux/v2018.1/tasks/xilinx_import.tsk new file mode 100644 index 0000000..16050ec --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/xilinx_import.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Import" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_import.bmp" +hasBitmap 1 +tooltip "Import Existing Xilinx ISE Project into HDS" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"XilinxImport" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/xilinx_place_and_route.tsk b/Prefs/hds_user-linux/v2018.1/tasks/xilinx_place_and_route.tsk new file mode 100644 index 0000000..76ae08d --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/xilinx_place_and_route.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Place and Route" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_projnav.bmp" +hasBitmap 1 +tooltip "Invokes the Xilinx ISE Place and Route tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"ISEPARInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/xilinx_platform_studio.tsk b/Prefs/hds_user-linux/v2018.1/tasks/xilinx_platform_studio.tsk new file mode 100644 index 0000000..20d8090 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/xilinx_platform_studio.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Platform Studio" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinxplatstudio.bmp" +hasBitmap 1 +tooltip "Invokes and imports files from Xilinx Platform Studio" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"XilinxPlatStudio" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/xilinx_synthesis_tool.tsk b/Prefs/hds_user-linux/v2018.1/tasks/xilinx_synthesis_tool.tsk new file mode 100644 index 0000000..a2db8d3 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/xilinx_synthesis_tool.tsk @@ -0,0 +1,94 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Synthesis Tool" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Runs Xilinx ISE Synthesis Tool data preparation and invokes tool" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "XST Prepare Data" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Does data preparation for Xilinx ISE Synthesis Tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"XSTDataPrep" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "XST Invoke" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes Xilinx ISE Synthesis Tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"XSTInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/xilinx_synthesis_tool_flow.tsk b/Prefs/hds_user-linux/v2018.1/tasks/xilinx_synthesis_tool_flow.tsk new file mode 100644 index 0000000..7105fe5 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/xilinx_synthesis_tool_flow.tsk @@ -0,0 +1,50 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Synthesis Tool Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Generate and runs the entire Xilinx Synthesis Tool flow" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "Xilinx Synthesis Tool" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Xilinx Synthesis Tool" +) +] +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/xilinx_vivado_flow.tsk b/Prefs/hds_user-linux/v2018.1/tasks/xilinx_vivado_flow.tsk new file mode 100644 index 0000000..25c63cc --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/xilinx_vivado_flow.tsk @@ -0,0 +1,72 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Vivado Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_vivado.bmp" +hasBitmap 1 +tooltip "Generate and runs Xilinx Vivado wizard" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Vivado" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_vivado.bmp" +hasBitmap 1 +tooltip "HDS integration with Xilinx Vivado" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"XilinxVivado" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +] +) diff --git a/Prefs/hds_user-linux/v2018.1/templates/text_files/new_document.txt b/Prefs/hds_user-linux/v2018.1/templates/text_files/new_document.txt new file mode 100644 index 0000000..8e54448 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/templates/text_files/new_document.txt @@ -0,0 +1,13 @@ +FILE_NAMING_RULE: new_document.txt +DESCRIPTION_START +This is the default template used for the creation of Text Document files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +# +# Created: +# by - %(user).%(group) (%(host)) +# at - %(time) %(date) +# +# using Mentor Graphics HDL Designer(TM) %(version) +# + diff --git a/Prefs/hds_user-linux/v2018.1/templates/vhdl_architecture/architecture.vhd b/Prefs/hds_user-linux/v2018.1/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/Prefs/hds_user-linux/v2018.1/templates/vhdl_combined/combined.vhd b/Prefs/hds_user-linux/v2018.1/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/Prefs/hds_user-linux/v2018.1/templates/vhdl_configuration/configuration.vhd b/Prefs/hds_user-linux/v2018.1/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/Prefs/hds_user-linux/v2018.1/templates/vhdl_entity/entity.vhd b/Prefs/hds_user-linux/v2018.1/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/Prefs/hds_user-linux/v2018.1/templates/vhdl_package_body/package_body.vhd b/Prefs/hds_user-linux/v2018.1/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/Prefs/hds_user-linux/v2018.1/templates/vhdl_package_header/package_header.vhd b/Prefs/hds_user-linux/v2018.1/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/Prefs/hds_user/v2015.2/hds_user_prefs b/Prefs/hds_user/v2015.2/hds_user_prefs new file mode 100644 index 0000000..3ecac1e --- /dev/null +++ b/Prefs/hds_user/v2015.2/hds_user_prefs @@ -0,0 +1,6011 @@ +version "45.1" +SaPreferences [ +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SystemVerilog Assistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SystemVerilog Assistant" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SystemVerilog Assistant" +Arguments "project %(p)" +) +] +) +] +) +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "Emacs (using server)" +second "emacsclient +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +(pair +first "XEmacs" +second "xemacs +%(l) '%(p)'" +) +(pair +first "XEmacs (using server)" +second "gnuclient +%(l) '%(p)'" +) +(pair +first "XTerm with Editor" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e \"${EDITOR:-vi}\" '%(f)'" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi +%(l) '%(f)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l) -ro" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "UltraEdit" +win32DefaultViewer "UltraEdit" +unixDefaultEditor "Builtin" +unixDefaultViewer "Builtin" +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 4 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +simulator "ModelSim 5.1" +tempDirectory "$TEMP" +projectPaths [ +"hds.hdp" +"D:\\Labs\\ELN_cursor\\Prefs\\hds.hdp" +"c:\\DATA\\EIV_Ele_2131\\Cursor\\ELN_cursor\\Prefs\\hds.hdp" +"c:\\DATA\\EIV_Ele_2131_until_2018\\Cursor\\ELN_cursor\\Prefs\\hds.hdp" +"c:\\DATA\\EIV_Ele_2131\\Cursor_trial\\Prefs\\hds.hdp" +"C:\\DATA\\EIV_Ele_2131\\Cursor_trial\\Prefs\\cursor.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +defaultVerilogPackages "" +defaultFont "Verdana,9,0" +tableFont "Tahoma,10,0" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +printerName "\\\\ipp://ipp.hevs.ch\\PREA306_HPLJP3005DN" +pageSizes [ +(PageSizeInfo +name "Letter" +width 783 +height 1013 +) +(PageSizeInfo +name "Legal" +type 5 +width 783 +height 1290 +) +(PageSizeInfo +name "Statement" +type 6 +width 506 +height 783 +) +(PageSizeInfo +name "Executive" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "A3" +type 8 +width 1077 +height 1523 +) +(PageSizeInfo +name "A4" +type 9 +width 761 +height 1077 +) +(PageSizeInfo +name "A5" +type 11 +width 536 +height 761 +) +(PageSizeInfo +name "B4 (JIS)" +type 12 +width 932 +height 1320 +) +(PageSizeInfo +name "B5 (JIS)" +type 13 +width 660 +height 932 +) +(PageSizeInfo +name "11x17" +type 17 +width 1013 +height 1566 +) +(PageSizeInfo +name "Envelope #10" +type 20 +width 379 +height 875 +) +(PageSizeInfo +name "Envelope DL" +type 27 +width 399 +height 798 +) +(PageSizeInfo +name "Envelope C5" +type 28 +width 587 +height 830 +) +(PageSizeInfo +name "Envelope B5" +type 34 +width 638 +height 907 +) +(PageSizeInfo +name "Envelope Monarch" +type 37 +width 357 +height 691 +) +(PageSizeInfo +name "Japanese Postcard" +type 43 +width 362 +height 536 +) +(PageSizeInfo +name "A6" +type 70 +width 380 +height 536 +) +(PageSizeInfo +name "Double Japan Postcard Rotated" +type 82 +width 536 +height 725 +) +(PageSizeInfo +name "Executive (JIS)" +type 150 +width 783 +height 1196 +) +(PageSizeInfo +name "Oficio 8.5x13" +type 151 +width 783 +height 1198 +) +(PageSizeInfo +name "12x18" +type 152 +width 1105 +height 1658 +) +(PageSizeInfo +name "8K 273x394 mm" +type 168 +width 990 +height 1428 +) +(PageSizeInfo +name "16K 197x273 mm" +type 169 +width 714 +height 990 +) +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER\\title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "Verdana,9,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER\\remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +(pair +first "designName" +second "$DESIGN_NAME" +) +(pair +first "task_HDSPath" +second "$HDS_HOME" +) +(pair +first "task_ISEBinPath" +second "$ISE_HOME" +) +(pair +first "task_ISEPath" +second "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(pair +first "task_ModelSimPath" +second "$MODELSIM_HOME" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +2 +"entity.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +2 +0 +] +tasksOrder [ +"USER:DesignChecker" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:Trim librairies" +"USER:Concatenate HDL" +"USER:Generate" +"USER:DesignChecker Flow" +"USER:Xilinx Impact" +"USER:Batch Programming" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +"USER:Register Assistant" +"USER:SystemVerilog Assistant" +"USER:Xilinx Vivado Flow" +] +tasksToolbarOrder [ +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"USER:Generate" +"\"USER:Register Assistant\"" +"\"USER:SystemVerilog Assistant\"" +] +tasksMenubarOrder [ +"\"USER:ModelSim Flow\"" +"USER:Generate" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +] +taskPreferences [ +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Batch Programming\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Copy Of Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SPIRIT Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "0" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SystemVerilog Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Impact\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Copy Of Update.xise\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +autoPopupExprBuilder 0 +showExprBldrHint 0 +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "600x200-0+0" +diagramBrowserTabNo 0 +showInsertPortHint 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(user).%(group) (%(host)) +// at - %(time) %(date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +) +(CompilationPreferences +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "Verdana,9,1" +) +caseExpressionVaSet (VaSet +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "Verdana,9,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "Verdana,9,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "Verdana,9,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "Verdana,9,1" +) +signalStatValueVaSet (VaSet +font "Courier New,8,0" +) +declLabelVaSet (VaSet +font "Verdana,9,1" +) +declValueVaSet (VaSet +) +stmtLabelVaSet (VaSet +font "Verdana,9,1" +) +stmtValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_ulogic" +vhdlBusType "unsigned" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "Verdana,9,1" +) +cptFontVaSet (VaSet +font "Verdana,9,1" +) +signalFontVaSet (VaSet +) +bundleFontVaSet (VaSet +) +cptPortFontVaSet (VaSet +) +hdlTextFontVaSet (VaSet +font "Verdana,9,1" +) +embeddedTextVaSet (VaSet +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "Verdana,9,1" +) +genericAssocFontVaSet (VaSet +font "Courier New,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "Tahoma,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "Tahoma,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "Tahoma,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "Tahoma,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +actionBoxActionsVaSet (VaSet +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +) +waitBoxConditionVaSet (VaSet +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +) +startLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startLoopConditionVaSet (VaSet +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +) +startCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseExpressionVaSet (VaSet +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "Verdana,12,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +processDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclarativeBlockValueVaSet (VaSet +) +sensitivityListBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +sensitivityListBlockValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor" +primaryName "cursorCircuit" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor_test" +primaryName "cursor_tb" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Board" +primaryName "FPGA_cursor" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "LCD" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "LCD_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +"Cursor" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +"Board" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Requirements" +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +"TemplateManagement::Team" +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 180 +) +] +displayHierarchy 0 +xPos 106 +yPos 102 +width 1099 +height 826 +activeSidePanelTab 2 +activeLibraryTab 2 +sidePanelSize 278 +showUnixHiddenFiles 0 +componentBrowserLibraryNames [ +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "Verdana,12,1" +) +stateEncodingVaSet (VaSet +font "Verdana,9,1" +) +stateActionsVaSet (VaSet +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "Verdana,9,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "Verdana,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "Verdana,9,1" +) +junctionSymbolVaSet (VaSet +font "Verdana,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +) +tBlockActionsVaSet (VaSet +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +localDeclValueVaSet (VaSet +font "Courier New,8,0" +) +processDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclValueVaSet (VaSet +font "Courier New,8,0" +) +globalActionsLabelVaSet (VaSet +font "Verdana,9,1" +) +globalActionsValueVaSet (VaSet +) +stateRegBlkLabelVaSet (VaSet +font "Verdana,9,1" +) +stateRegBlkValueVaSet (VaSet +) +signalsGenStatusVaSet (VaSet +font "Verdana,9,1" +) +signalsGenStatusValueVaSet (VaSet +font "Courier New,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "Verdana,9,1" +) +cptPortFontVaSet (VaSet +font "Verdana,12,0" +) +genericInterfaceFontVaSet (VaSet +font "Courier New,8,0" +) +portRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,0" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +font "Tahoma,10,0" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +font "Tahoma,10,0" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,0" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "unsigned" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +DeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "Courier New,8,0" +) +order 0 +editSignalScope 4 +showUpdateWhereUsedPrompt 0 +) +] diff --git a/Prefs/hds_user/v2015.2/tasks/generate.tsk b/Prefs/hds_user/v2015.2/tasks/generate.tsk new file mode 100644 index 0000000..215aac5 --- /dev/null +++ b/Prefs/hds_user/v2015.2/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 2 +) diff --git a/Prefs/hds_user/v2015.2/tasks/modelsim_compile.tsk b/Prefs/hds_user/v2015.2/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/Prefs/hds_user/v2015.2/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/Prefs/hds_user/v2015.2/tasks/modelsim_flow.tsk b/Prefs/hds_user/v2015.2/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/Prefs/hds_user/v2015.2/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/Prefs/hds_user/v2015.2/tasks/modelsim_simulate.tsk b/Prefs/hds_user/v2015.2/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..6962f42 --- /dev/null +++ b/Prefs/hds_user/v2015.2/tasks/modelsim_simulate.tsk @@ -0,0 +1,96 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user/v2015.2/tasks/prepare_for_synthesis.tsk b/Prefs/hds_user/v2015.2/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..afac4f8 --- /dev/null +++ b/Prefs/hds_user/v2015.2/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/Prefs/hds_user/v2015.2/tasks/xilinx_project_navigator.tsk b/Prefs/hds_user/v2015.2/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..0ea6209 --- /dev/null +++ b/Prefs/hds_user/v2015.2/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,163 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.xise" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilnx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$ISE_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes the Xilinx ISE tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$DESIGN_NAME.xise" +"captureOutput" +"0" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$ISE_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 +For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$ISE_HOME\\bin\\nt64\\ise.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/Prefs/hds_user/v2015.2/templates/text_files/new_document.txt b/Prefs/hds_user/v2015.2/templates/text_files/new_document.txt new file mode 100644 index 0000000..8e54448 --- /dev/null +++ b/Prefs/hds_user/v2015.2/templates/text_files/new_document.txt @@ -0,0 +1,13 @@ +FILE_NAMING_RULE: new_document.txt +DESCRIPTION_START +This is the default template used for the creation of Text Document files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +# +# Created: +# by - %(user).%(group) (%(host)) +# at - %(time) %(date) +# +# using Mentor Graphics HDL Designer(TM) %(version) +# + diff --git a/Prefs/hds_user/v2015.2/templates/vhdl_architecture/architecture.vhd b/Prefs/hds_user/v2015.2/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/Prefs/hds_user/v2015.2/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/Prefs/hds_user/v2015.2/templates/vhdl_combined/combined.vhd b/Prefs/hds_user/v2015.2/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/Prefs/hds_user/v2015.2/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/Prefs/hds_user/v2015.2/templates/vhdl_configuration/configuration.vhd b/Prefs/hds_user/v2015.2/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/Prefs/hds_user/v2015.2/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/Prefs/hds_user/v2015.2/templates/vhdl_entity/entity.vhd b/Prefs/hds_user/v2015.2/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/Prefs/hds_user/v2015.2/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/Prefs/hds_user/v2015.2/templates/vhdl_package_body/package_body.vhd b/Prefs/hds_user/v2015.2/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/Prefs/hds_user/v2015.2/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/Prefs/hds_user/v2015.2/templates/vhdl_package_header/package_header.vhd b/Prefs/hds_user/v2015.2/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/Prefs/hds_user/v2015.2/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/Prefs/hds_user/v2018.1/hds_user_prefs b/Prefs/hds_user/v2018.1/hds_user_prefs new file mode 100644 index 0000000..c2c84cb --- /dev/null +++ b/Prefs/hds_user/v2018.1/hds_user_prefs @@ -0,0 +1,6826 @@ +version "48.1" +SaPreferences [ +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "Emacs (using server)" +second "emacsclient +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +(pair +first "XEmacs" +second "xemacs +%(l) '%(p)'" +) +(pair +first "XEmacs (using server)" +second "gnuclient +%(l) '%(p)'" +) +(pair +first "XTerm with Editor" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e \"${EDITOR:-vi}\" '%(f)'" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi +%(l) '%(f)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l)" +) +(pair +first "SublimeText" +second "sublime_text.exe %(p)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l) -ro" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "SublimeText" +win32DefaultViewer "UltraEdit" +unixDefaultEditor "Builtin" +unixDefaultViewer "Builtin" +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 4 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +simulator "ModelSim 5.1" +tempDirectory "$TEMP" +projectPaths [ +"hds.hdp" +"D:\\Labs\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\Users\\oliver.gubler\\eda\\VHDL\\labs\\ELN_cursor\\Prefs\\hds.hdp" +"R:\\SYND\\Ele_2131\\ELN\\Labs\\Cursor\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\Projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\eln_labs.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +defaultVerilogPackages "" +defaultFont "Verdana,9,0" +tableFont "Tahoma,10,0" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +printerName "FinePrint" +pageSizes [ +(PageSizeInfo +name "Letter" +width 783 +height 1013 +) +(PageSizeInfo +name "Letter Small" +type 2 +width 783 +height 1013 +) +(PageSizeInfo +name "Tabloid" +type 3 +width 1013 +height 1566 +) +(PageSizeInfo +name "Ledger" +type 4 +width 1013 +height 1566 +) +(PageSizeInfo +name "Legal" +type 5 +width 783 +height 1290 +) +(PageSizeInfo +name "Statement" +type 6 +width 506 +height 783 +) +(PageSizeInfo +name "Executive" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "A3" +type 8 +width 1077 +height 1523 +) +(PageSizeInfo +name "A4" +type 9 +width 761 +height 1077 +) +(PageSizeInfo +name "A4 Small" +type 10 +width 761 +height 1077 +) +(PageSizeInfo +name "A5" +type 11 +width 536 +height 761 +) +(PageSizeInfo +name "B4 (JIS)" +type 12 +width 932 +height 1320 +) +(PageSizeInfo +name "B5 (JIS)" +type 13 +width 660 +height 932 +) +(PageSizeInfo +name "Folio" +type 14 +width 783 +height 1198 +) +(PageSizeInfo +name "Quarto" +type 15 +width 780 +height 997 +) +(PageSizeInfo +name "10x14" +type 16 +width 921 +height 1290 +) +(PageSizeInfo +name "11x17" +type 17 +width 1013 +height 1566 +) +(PageSizeInfo +name "Note" +type 18 +width 783 +height 1013 +) +(PageSizeInfo +name "Envelope #9" +type 19 +width 357 +height 817 +) +(PageSizeInfo +name "Envelope #10" +type 20 +width 379 +height 875 +) +(PageSizeInfo +name "Envelope #11" +type 21 +width 414 +height 956 +) +(PageSizeInfo +name "Envelope #12" +type 22 +width 437 +height 1013 +) +(PageSizeInfo +name "Envelope #14" +type 23 +width 460 +height 1059 +) +(PageSizeInfo +name "C size sheet" +type 24 +width 1566 +height 2027 +) +(PageSizeInfo +name "D size sheet" +type 25 +width 2027 +height 3133 +) +(PageSizeInfo +name "E size sheet" +type 26 +width 3133 +height 4055 +) +(PageSizeInfo +name "Envelope DL" +type 27 +width 399 +height 798 +) +(PageSizeInfo +name "Envelope C5" +type 28 +width 587 +height 830 +) +(PageSizeInfo +name "Envelope C3" +type 29 +width 1175 +height 1661 +) +(PageSizeInfo +name "Envelope C4" +type 30 +width 830 +height 1175 +) +(PageSizeInfo +name "Envelope C6" +type 31 +width 413 +height 587 +) +(PageSizeInfo +name "Envelope C65" +type 32 +width 413 +height 830 +) +(PageSizeInfo +name "Envelope B4" +type 33 +width 907 +height 1280 +) +(PageSizeInfo +name "Envelope B5" +type 34 +width 638 +height 907 +) +(PageSizeInfo +name "Envelope B6" +type 35 +width 453 +height 638 +) +(PageSizeInfo +name "Envelope Italy" +type 36 +width 399 +height 834 +) +(PageSizeInfo +name "Envelope Monarch" +type 37 +width 357 +height 691 +) +(PageSizeInfo +name "6 3/4 Envelope" +type 38 +width 333 +height 599 +) +(PageSizeInfo +name "US Std Fanfold" +type 39 +width 1013 +height 1370 +) +(PageSizeInfo +name "German Std Fanfold" +type 40 +width 783 +height 1105 +) +(PageSizeInfo +name "German Legal Fanfold" +type 41 +width 783 +height 1198 +) +(PageSizeInfo +name "B4 (ISO)" +type 42 +width 907 +height 1280 +) +(PageSizeInfo +name "Japanese Postcard" +type 43 +width 362 +height 536 +) +(PageSizeInfo +name "9x11" +type 44 +width 829 +height 1013 +) +(PageSizeInfo +name "10x11" +type 45 +width 921 +height 1013 +) +(PageSizeInfo +name "11x15" +type 46 +width 1013 +height 1382 +) +(PageSizeInfo +name "Envelope Invite" +type 47 +width 798 +height 798 +) +(PageSizeInfo +name "Letter Extra" +type 50 +width 875 +height 1105 +) +(PageSizeInfo +name "Legal Extra" +type 51 +width 875 +height 1382 +) +(PageSizeInfo +name "Tabloid Extra" +type 52 +width 1077 +height 1658 +) +(PageSizeInfo +name "A4 Extra" +type 53 +width 854 +height 1169 +) +(PageSizeInfo +name "Letter Transverse" +type 54 +width 783 +height 1013 +) +(PageSizeInfo +name "A4 Transverse" +type 55 +width 761 +height 1077 +) +(PageSizeInfo +name "Letter Extra Transverse" +type 56 +width 875 +height 1105 +) +(PageSizeInfo +name "SuperA/SuperA/A4" +type 57 +width 823 +height 1291 +) +(PageSizeInfo +name "SuperB/SuperB/A3" +type 58 +width 1106 +height 1767 +) +(PageSizeInfo +name "Letter Plus" +type 59 +width 783 +height 1169 +) +(PageSizeInfo +name "A4 Plus" +type 60 +width 761 +height 1197 +) +(PageSizeInfo +name "A5 Transverse" +type 61 +width 536 +height 761 +) +(PageSizeInfo +name "B5 (JIS) Transverse" +type 62 +width 660 +height 932 +) +(PageSizeInfo +name "A3 Extra" +type 63 +width 1168 +height 1614 +) +(PageSizeInfo +name "A5 Extra" +type 64 +width 631 +height 852 +) +(PageSizeInfo +name "B5 (ISO) Extra" +type 65 +width 729 +height 1001 +) +(PageSizeInfo +name "A2" +type 66 +width 1523 +height 2155 +) +(PageSizeInfo +name "A3 Transverse" +type 67 +width 1077 +height 1523 +) +(PageSizeInfo +name "A3 Extra Transverse" +type 68 +width 1168 +height 1614 +) +(PageSizeInfo +name "FinePrint 2 Seiten Letter" +type 257 +width 783 +height 1210 +) +(PageSizeInfo +type 256 +width 0 +height 0 +) +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER\\title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "Verdana,9,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER\\remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +] +tasksToolbarOrder [ +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"USER:Generate" +] +tasksMenubarOrder [ +"\"USER:ModelSim Flow\"" +"USER:Generate" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +] +taskPreferences [ +(TaskPref +taskName "\"TEAM:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Batch Programming\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Copy Of Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SPIRIT Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "0" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Impact\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Copy Of Update.xise\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "TEAM:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +autoPopupExprBuilder 0 +showExprBldrHint 0 +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "600x867+1238+103" +diagramBrowserTabNo 0 +showInsertPortHint 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(user).%(group) (%(host)) +// at - %(time) %(date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +) +(CompilationPreferences +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "Verdana,9,1" +) +caseExpressionVaSet (VaSet +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "Verdana,9,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "Verdana,9,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "Verdana,9,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "Verdana,9,1" +) +signalStatValueVaSet (VaSet +font "Courier New,8,0" +) +declLabelVaSet (VaSet +font "Verdana,9,1" +) +declValueVaSet (VaSet +) +stmtLabelVaSet (VaSet +font "Verdana,9,1" +) +stmtValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_ulogic" +vhdlBusType "unsigned" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "Verdana,9,1" +) +cptFontVaSet (VaSet +font "Verdana,9,1" +) +signalFontVaSet (VaSet +) +bundleFontVaSet (VaSet +) +cptPortFontVaSet (VaSet +) +hdlTextFontVaSet (VaSet +font "Verdana,9,1" +) +embeddedTextVaSet (VaSet +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "Verdana,9,1" +) +genericAssocFontVaSet (VaSet +font "Courier New,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "Tahoma,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "Tahoma,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "Tahoma,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "Tahoma,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +actionBoxActionsVaSet (VaSet +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +) +waitBoxConditionVaSet (VaSet +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +) +startLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startLoopConditionVaSet (VaSet +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +) +startCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseExpressionVaSet (VaSet +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "Verdana,12,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +processDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclarativeBlockValueVaSet (VaSet +) +sensitivityListBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +sensitivityListBlockValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor" +primaryName "cursorCircuit" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor_test" +primaryName "cursor_tb" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Board" +primaryName "FPGA_cursor" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "LCD" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +"Board" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +"Cursor_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +"Cursor" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Requirements" +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 180 +) +] +displayHierarchy 0 +xPos 381 +yPos 138 +width 1070 +height 838 +activeSidePanelTab 2 +activeLibraryTab 1 +sidePanelSize 278 +showUnixHiddenFiles 0 +componentBrowserXpos 569 +componentBrowserYpos 300 +componentBrowserWidth 300 +componentBrowserHeight 340 +componentBrowserLibraryNames [ +"ADD" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "Verdana,12,1" +) +stateEncodingVaSet (VaSet +font "Verdana,9,1" +) +stateActionsVaSet (VaSet +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "Verdana,9,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "Verdana,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "Verdana,9,1" +) +junctionSymbolVaSet (VaSet +font "Verdana,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +) +tBlockActionsVaSet (VaSet +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +localDeclValueVaSet (VaSet +font "Courier New,8,0" +) +processDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclValueVaSet (VaSet +font "Courier New,8,0" +) +globalActionsLabelVaSet (VaSet +font "Verdana,9,1" +) +globalActionsValueVaSet (VaSet +) +stateRegBlkLabelVaSet (VaSet +font "Verdana,9,1" +) +stateRegBlkValueVaSet (VaSet +) +signalsGenStatusVaSet (VaSet +font "Verdana,9,1" +) +signalsGenStatusValueVaSet (VaSet +font "Courier New,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "Verdana,9,1" +) +cptPortFontVaSet (VaSet +font "Verdana,12,0" +) +genericInterfaceFontVaSet (VaSet +font "Courier New,8,0" +) +portRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,0" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +font "Tahoma,10,0" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +font "Tahoma,10,0" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,0" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "unsigned" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +DeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "Courier New,8,0" +) +order 0 +editSignalScope 4 +showUpdateWhereUsedPrompt 0 +) +] diff --git a/Prefs/hds_user/v2018.1/tasks/generate.tsk b/Prefs/hds_user/v2018.1/tasks/generate.tsk new file mode 100644 index 0000000..215aac5 --- /dev/null +++ b/Prefs/hds_user/v2018.1/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 2 +) diff --git a/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk b/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk b/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk b/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..6962f42 --- /dev/null +++ b/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk @@ -0,0 +1,96 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk b/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..afac4f8 --- /dev/null +++ b/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk b/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..0ea6209 --- /dev/null +++ b/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,163 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.xise" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilnx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$ISE_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes the Xilinx ISE tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$DESIGN_NAME.xise" +"captureOutput" +"0" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$ISE_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 +For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$ISE_HOME\\bin\\nt64\\ise.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/Prefs/hds_user/v2018.1/templates/text_files/new_document.txt b/Prefs/hds_user/v2018.1/templates/text_files/new_document.txt new file mode 100644 index 0000000..8e54448 --- /dev/null +++ b/Prefs/hds_user/v2018.1/templates/text_files/new_document.txt @@ -0,0 +1,13 @@ +FILE_NAMING_RULE: new_document.txt +DESCRIPTION_START +This is the default template used for the creation of Text Document files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +# +# Created: +# by - %(user).%(group) (%(host)) +# at - %(time) %(date) +# +# using Mentor Graphics HDL Designer(TM) %(version) +# + diff --git a/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd b/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd b/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd b/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd b/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd b/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd b/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/Prefs/hds_user/v2019.2/hds_user_prefs b/Prefs/hds_user/v2019.2/hds_user_prefs new file mode 100644 index 0000000..23b555e --- /dev/null +++ b/Prefs/hds_user/v2019.2/hds_user_prefs @@ -0,0 +1,6487 @@ +version "49.1" +SaPreferences [ +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "Emacs (using server)" +second "emacsclient +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +(pair +first "XEmacs" +second "xemacs +%(l) '%(p)'" +) +(pair +first "XEmacs (using server)" +second "gnuclient +%(l) '%(p)'" +) +(pair +first "XTerm with Editor" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e \"${EDITOR:-vi}\" '%(f)'" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi +%(l) '%(f)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l) -ro" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Notepad++" +win32DefaultViewer "Notepad++" +unixDefaultEditor "Builtin" +unixDefaultViewer "Builtin" +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 4 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +simulator "ModelSim 5.1" +tempDirectory "$TEMP" +projectPaths [ +"hds.hdp" +"D:\\Labs\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\Users\\oliver.gubler\\eda\\VHDL\\labs\\ELN_cursor\\Prefs\\hds.hdp" +"R:\\SYND\\Ele_2131\\ELN\\Labs\\Cursor\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\Projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\eln_labs.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\eln\\projects\\student\\eln_chrono\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\hds.hdp" +"C:\\work\\edu\\eln\\project\\eln_cursor\\Prefs\\hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +defaultVerilogPackages "" +defaultFont "Verdana,9,0" +tableFont "Tahoma,10,0" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +printerName "Microsoft Print to PDF" +pageSizes [ +(PageSizeInfo +name "Letter" +width 783 +height 1013 +) +(PageSizeInfo +name "Tabloid" +type 3 +width 1013 +height 1566 +) +(PageSizeInfo +name "Legal" +type 5 +width 783 +height 1290 +) +(PageSizeInfo +name "Statement" +type 6 +width 506 +height 783 +) +(PageSizeInfo +name "Executive" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "A3" +type 8 +width 1077 +height 1523 +) +(PageSizeInfo +name "A4" +type 9 +width 761 +height 1077 +) +(PageSizeInfo +name "A5" +type 11 +width 536 +height 761 +) +(PageSizeInfo +name "B4 (JIS)" +type 12 +width 932 +height 1320 +) +(PageSizeInfo +name "B5 (JIS)" +type 13 +width 660 +height 932 +) +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER\\title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "Verdana,9,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER\\remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +] +tasksToolbarOrder [ +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"USER:Generate" +] +tasksMenubarOrder [ +"\"USER:ModelSim Flow\"" +"USER:Generate" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +] +taskPreferences [ +(TaskPref +taskName "\"TEAM:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Batch Programming\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Copy Of Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SPIRIT Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "0" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Impact\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Copy Of Update.xise\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "TEAM:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +autoPopupExprBuilder 0 +showExprBldrHint 0 +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "600x200-0+0" +diagramBrowserTabNo 0 +showInsertPortHint 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(user).%(group) (%(host)) +// at - %(time) %(date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +VHDLEndFrames 0 +) +(CompilationPreferences +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "Verdana,9,1" +) +caseExpressionVaSet (VaSet +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "Verdana,9,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "Verdana,9,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "Verdana,9,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "Verdana,9,1" +) +signalStatValueVaSet (VaSet +font "Courier New,8,0" +) +declLabelVaSet (VaSet +font "Verdana,9,1" +) +declValueVaSet (VaSet +) +stmtLabelVaSet (VaSet +font "Verdana,9,1" +) +stmtValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_ulogic" +vhdlBusType "unsigned" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "Verdana,9,1" +) +cptFontVaSet (VaSet +font "Verdana,9,1" +) +signalFontVaSet (VaSet +) +bundleFontVaSet (VaSet +) +cptPortFontVaSet (VaSet +) +hdlTextFontVaSet (VaSet +font "Verdana,9,1" +) +embeddedTextVaSet (VaSet +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "Verdana,9,1" +) +genericAssocFontVaSet (VaSet +font "Courier New,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "Tahoma,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "Tahoma,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "Tahoma,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "Tahoma,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +actionBoxActionsVaSet (VaSet +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +) +waitBoxConditionVaSet (VaSet +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +) +startLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startLoopConditionVaSet (VaSet +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +) +startCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseExpressionVaSet (VaSet +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "Verdana,12,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +processDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclarativeBlockValueVaSet (VaSet +) +sensitivityListBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +sensitivityListBlockValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor" +primaryName "cursorCircuit" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor_test" +primaryName "cursor_tb" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Board" +primaryName "FPGA_cursor" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "LCD" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Chronometer_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +"Board" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +"Cursor_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +"Cursor" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Requirements" +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 180 +) +] +displayHierarchy 0 +xPos 50 +yPos 133 +width 1070 +height 838 +activeSidePanelTab 2 +activeLibraryTab 1 +sidePanelSize 278 +showUnixHiddenFiles 0 +componentBrowserXpos 569 +componentBrowserYpos 300 +componentBrowserWidth 300 +componentBrowserHeight 340 +componentBrowserLibraryNames [ +"ADD" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "Verdana,12,1" +) +stateEncodingVaSet (VaSet +font "Verdana,9,1" +) +stateActionsVaSet (VaSet +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "Verdana,9,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "Verdana,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "Verdana,9,1" +) +junctionSymbolVaSet (VaSet +font "Verdana,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +) +tBlockActionsVaSet (VaSet +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +localDeclValueVaSet (VaSet +font "Courier New,8,0" +) +processDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclValueVaSet (VaSet +font "Courier New,8,0" +) +globalActionsLabelVaSet (VaSet +font "Verdana,9,1" +) +globalActionsValueVaSet (VaSet +) +stateRegBlkLabelVaSet (VaSet +font "Verdana,9,1" +) +stateRegBlkValueVaSet (VaSet +) +signalsGenStatusVaSet (VaSet +font "Verdana,9,1" +) +signalsGenStatusValueVaSet (VaSet +font "Courier New,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "Verdana,9,1" +) +cptPortFontVaSet (VaSet +font "Verdana,12,0" +) +genericInterfaceFontVaSet (VaSet +font "Courier New,8,0" +) +portRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,0" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +font "Tahoma,10,0" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +font "Tahoma,10,0" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,0" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "unsigned" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +DeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "Courier New,8,0" +) +order 0 +editSignalScope 4 +showUpdateWhereUsedPrompt 0 +) +] diff --git a/Prefs/hds_user/v2019.2/tasks/generate.tsk b/Prefs/hds_user/v2019.2/tasks/generate.tsk new file mode 100644 index 0000000..215aac5 --- /dev/null +++ b/Prefs/hds_user/v2019.2/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 2 +) diff --git a/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk b/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk b/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk b/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..6962f42 --- /dev/null +++ b/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk @@ -0,0 +1,96 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk b/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..afac4f8 --- /dev/null +++ b/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk b/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..0ea6209 --- /dev/null +++ b/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,163 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.xise" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilnx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$ISE_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes the Xilinx ISE tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$DESIGN_NAME.xise" +"captureOutput" +"0" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$ISE_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 +For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$ISE_HOME\\bin\\nt64\\ise.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/Prefs/hds_user/v2019.2/templates/text_files/new_document.txt b/Prefs/hds_user/v2019.2/templates/text_files/new_document.txt new file mode 100644 index 0000000..8e54448 --- /dev/null +++ b/Prefs/hds_user/v2019.2/templates/text_files/new_document.txt @@ -0,0 +1,13 @@ +FILE_NAMING_RULE: new_document.txt +DESCRIPTION_START +This is the default template used for the creation of Text Document files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +# +# Created: +# by - %(user).%(group) (%(host)) +# at - %(time) %(date) +# +# using Mentor Graphics HDL Designer(TM) %(version) +# + diff --git a/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd b/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd b/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd b/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd b/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd b/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd b/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/README.md b/README.md new file mode 100644 index 0000000..607f5e9 --- /dev/null +++ b/README.md @@ -0,0 +1,93 @@ +

+
+ ELN Cursor Logo +
+ ELN Project Cursor +
+

+ +

Labor Files for ELN Semester Project Cursor Moodle Cyberlearn.

+ +# Table of contents +

+ Description • + How To Use • + Credits • + License • + Find us on +

+ +![screenshot](img/screenshot.png) + +## Description +[(Back to top)](#table-of-contents) + +Laboratory file for semesterproject chronometer for first year bachelor students. + +As it changes each year, all you have to do is search on Moodle Cyberlearn for the course number and select the one starting with the last two digits of the current year. + +Course number is 2131 for SYND + +The course full name is composed as a concatenation of year (YY), school name, course ID and course name: "YY_HES-SO-VS_2131_Electricite / Elektrotechnik ELN" + +## How To Use +[(Back to top)](#table-of-contents) + +To clone and run this application, you'll need [Git](https://git-scm.com) and [HDL Designer](https://www.mentor.com/products/fpga/hdl_design/hdl_designer_series/) as well as [Modelsim](https://www.mentor.com/products/fv/modelsim/) installed on your computer. From your command line: + +![usage instructions](img/eln_labs_deployment-students.png) + +### Launch +```bash +# Change to location for the Sourcecode on your PC for Example Base of Drive U: +U: + +# Clone this repository +git clone https://github.com/hei-synd-2131-eln/eln_cursor.git + +# Go into the repository +cd eln_cursor + +# Run the app +## Linux +./eln_cursor.bash + +## Windows +.\eln_cursor.bat +``` + +### Update Student **Fork** to the latest modification from **Master** Repo +```bash +# goto your student fork repo location +cd u:\eln_cursor\ + +# add upstream Master remote +git remote add upstream https://github.com/hei-synd-2131-eln/eln_cursor.git + +# like "git pull" which is fetch + merge) +git fetch upstream +git merge upstream/master master + +# Push the changes into your own fork repo +git push origin master +``` + +## Credits +[(Back to top)](#table-of-contents) +* COF +* PRC +* ZAS + +## License +[(Back to top)](#table-of-contents) + +:copyright: [All rights reserved](LICENSE) + +--- + +## Find us on +> [hevs.ch](https://www.hevs.ch)  ·  +> Facebook [@hessovalais](https://www.facebook.com/hessovalais)  ·  +> Twitter [@hessovalais](https://twitter.com/hessovalais)  ·  +> LinkedIn [HES-SO Valais-Wallis](https://www.linkedin.com/groups/104343/)  ·  +> Youtube [HES-SO Valais-Wallis](https://www.youtube.com/user/HESSOVS) diff --git a/Scripts/cleanGenerated.bash b/Scripts/cleanGenerated.bash new file mode 100755 index 0000000..ca088c6 --- /dev/null +++ b/Scripts/cleanGenerated.bash @@ -0,0 +1,38 @@ +#!/bin/bash + +#================================================================================ +# cleanGenerated.bash - Clean intermediate files form folder +# +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory +base_directory="$base_directory/.." + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#------------------------------------------------------------------------------- +# Remove generated and cache files +# +find $base_directory -type f -name '.cache.dat' | xargs -r rm -v +find $base_directory -type f -name '*.bak' | xargs -r rm -v +find $base_directory -type f -name '*.lck' | xargs -r rm -v +find $base_directory -type f -name '*.vhd.info' | xargs -r rm -v +find $base_directory -type f -name 'default_view' | xargs -r rm -v +find $base_directory -type f -name '*_entity.vhd' | xargs -r rm -v +find $base_directory -type f -name '*_struct.vhd' | xargs -r rm -v +find $base_directory -type f -name '*_fsm.vhd' | xargs -r rm -v +find $base_directory -type f -name '*.vhg' | xargs -r rm -v +find $base_directory -type f -name '*.DS_Store' | xargs -r rm -v +find $base_directory -type d -name '.xrf' | xargs -r rm -Rv + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- ${0##*/} Finished!" +echo "$SEPARATOR" +popd diff --git a/Scripts/cleanGenerated.bat b/Scripts/cleanGenerated.bat new file mode 100644 index 0000000..0084edb --- /dev/null +++ b/Scripts/cleanGenerated.bat @@ -0,0 +1,42 @@ +::============================================================================== +:: cleanGenerated.bat +:: Clean intermediate files from folder +:: +:start + @echo off + setlocal + set cmd_location="%~dp0" + pushd %cmd_location% + set SEPARATOR="--------------------------------------------------------------------------------" + set INDENT=" " + + echo %SEPARATOR% + echo "-- %~nx0 Started!" + echo. +::------------------------------------------------------------------------------ +:: Delete intermediate files +:: +set base_directory="%cmd_location:"=%.." + +echo "Delete intermediate files in: %base_directory%" +del /f /s /a %base_directory%\*cache.dat +del /f /s /a %base_directory%\*.bak +del /f /s /a %base_directory%\*.lck +del /f /s /a %base_directory%\*.vhd.info +del /f /s /a %base_directory%\default_view +del /f /s /a %base_directory%\*_entity.vhd +del /f /s /a %base_directory%\*_struct.vhd +del /f /s /a %base_directory%\*_fsm.vhd +del /f /s /a %base_directory%\*.vhg +del /f /s /a %base_directory%\*.DS_Store + +echo "Delete intermediate directories in: %base_directory%" +for /d /r "%base_directory:"=%\" %%a in (.xrf\) do if exist "%%a" rmdir /s /q "%%a" + +:end + echo. + echo "-- %~nx0 Finished!" + echo %SEPARATOR% + popd + endlocal + goto:eof \ No newline at end of file diff --git a/Scripts/cleanScratch.bat b/Scripts/cleanScratch.bat new file mode 100644 index 0000000..132ac91 --- /dev/null +++ b/Scripts/cleanScratch.bat @@ -0,0 +1,38 @@ +::============================================================================== +:: cleanScratch.bat +:: Clean scratch directory +:: +:start + @echo off + setlocal + set cmd_location="%~dp0" + pushd %cmd_location% + set SEPARATOR="--------------------------------------------------------------------------------" + set INDENT=" " + + echo %SEPARATOR% + echo "-- %~nx0 Started!" + echo. + +::------------------------------------------------------------------------------ +:: Delete scratch directory +:: +if "%SCRATCH_DIR%" == "" ( + set SCRATCH_DIR=C:\temp\eda\ +) + +echo Delete scratch directory %SCRATCH_DIR% +if exist %SCRATCH_DIR% ( + echo Scratch directory found, deleting! + rmdir /S /Q "%SCRATCH_DIR%" +) else ( + echo Scratch directory not found! +) + +:end + echo. + echo "-- %~nx0 Finished!" + echo %SEPARATOR% + popd + endlocal + goto:eof \ No newline at end of file diff --git a/Scripts/hdlDesigner.bash b/Scripts/hdlDesigner.bash new file mode 100755 index 0000000..4b54b46 --- /dev/null +++ b/Scripts/hdlDesigner.bash @@ -0,0 +1,154 @@ +#!/bin/bash + +#================================================================================ +# hdl_designer.bash - Starts HDL designer +# +base_directory="$(dirname "$(readlink -f "$0")")" +base_directory="$base_directory/.." +pushd $base_directory + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#-------------------------------------------------------------------------------- +# Parse command line options +# +command_switches='n:d:p:m:i:u:t:s:c:y:vh' +usage='Usage: hdl_designer.bash [-v] [-h]' +usage="$usage\n\t[-d designDirectory] [-u userPrefsDirectory]" + # set name and base directory +design_name=`basename $0 .bash` +design_directory=`dirname ${BASH_SOURCE[0]}` + +while getopts $command_switches options; do + case $options in + n ) design_name=$OPTARG;; + d ) design_directory=$OPTARG;; + esac +done + # continue with preferences directory +prefs_directory="$design_directory/Prefs" + +OPTIND=1 +while getopts $command_switches options; do + case $options in + n ) design_name=$OPTARG;; + d ) design_directory=$OPTARG;; + p ) prefs_directory=$OPTARG;; + esac +done + # finish with other parameters +library_matchings="$design_name.hdp" +library_matchings='hds.hdp' +simulation_directory="$design_directory/Simulation" +user_prefs_directory="$prefs_directory/hds_user-linux" +team_prefs_directory="$prefs_directory/hds_team" +scratch_directory='/tmp/eda/' +synthesis_subdirectory="Board/ise" +concat_directory="$design_directory/Board/concat" + +OPTIND=1 +while getopts $command_switches options; do + case $options in + n ) ;; + d ) ;; + m ) library_matchings=$OPTARG;; + i ) simulation_directory=$OPTARG;; + u ) user_prefs_directory=$OPTARG;; + t ) team_prefs_directory=$OPTARG;; + s ) scratch_directory=$OPTARG;; + c ) concat_directory=$OPTARG;; + y ) synthesis_subdirectory=$OPTARG;; + v ) verbose=1;; + h ) echo -e $usage + exit 1;; + * ) echo -e $usage + exit 1;; + esac +done + +design_directory=`realpath $design_directory` +library_matchings=`realpath $prefs_directory/$library_matchings` +simulation_directory=`realpath $simulation_directory` +user_prefs_directory=`realpath $user_prefs_directory` +team_prefs_directory=`realpath $team_prefs_directory` +concat_directory=`realpath $concat_directory` +mkdir -p $scratch_directory +scratch_directory=`realpath $scratch_directory` + +#================================================================================ +# Main script +# + +#------------------------------------------------------------------------------- +# System environment variables +# +export HDS_HOME=/usr/opt/HDS +export MODELSIM_HOME=/usr/opt/Modelsim/modeltech/bin/ +export SYNTHESIS_HOME=/usr/opt/Xilinx/ISE_DS/ISE +export LC_ALL=C +export LD_LIBRARY_PATH=/usr/openwin/lib:/usr/lib:/usr/dt/lib:/usr/opt/HDS/ezwave/lib:/usr/opt/HDS/bin +export MGLS_HOME=/usr/opt/HDS/license/mgls + +#------------------------------------------------------------------------------- +# Project environment variables +# +export DESIGN_NAME=$design_name +export HDS_LIBS=$library_matchings +export HDS_USER_HOME="$user_prefs_directory" +export HDS_TEAM_HOME=$team_prefs_directory +export SIMULATION_DIR=$simulation_directory +export SCRATCH_DIR=$scratch_directory +export CONCAT_DIR=$concat_directory +export SYNTHESIS_BASE_DIR=`realpath $design_directory/$synthesis_subdirectory` +export SYNTHESIS_WORK_DIR=$scratch_directory/$synthesis_subdirectory + +#------------------------------------------------------------------------------- +# Display info +# +if [ -n "$verbose" ] ; then + echo "Environment variables:" + echo "${INDENT}Design name is $DESIGN_NAME" + echo "${INDENT}Lib matchings file is $HDS_LIBS" + echo "${INDENT}Simulation directory is $SIMULATION_DIR" + echo "${INDENT}User prefs directory is $HDS_USER_HOME" + echo "${INDENT}Team prefs directory is $HDS_TEAM_HOME" + echo "${INDENT}Scratch directory is $SCRATCH_DIR" + echo "${INDENT}Concat directory is $CONCAT_DIR" + echo "${INDENT}HDS location is $HDS_HOME" + echo "${INDENT}Modelsim location is $MODELSIM_HOME" + echo "${INDENT}Synthesis app location is $SYNTHESIS_HOME" + echo "${INDENT}Synthesis base directory is $SYNTHESIS_BASE_DIR" + echo "${INDENT}Synthesis work directory is $SYNTHESIS_WORK_DIR" +fi + +#------------------------------------------------------------------------------- +# Copy synthesis data to scratch +# +if true; then + echo "Copying" + echo "${INDENT}$SYNTHESIS_BASE_DIR" + echo "${INDENT}-> $SYNTHESIS_WORK_DIR" +fi +if [ -e "$SYNTHESIS_BASE_DIR" ]; then + rm -Rf $SYNTHESIS_WORK_DIR + mkdir -p $SYNTHESIS_WORK_DIR + cp -pr $SYNTHESIS_BASE_DIR/* $SYNTHESIS_WORK_DIR/ +fi + +#------------------------------------------------------------------------------- +# Launch application +# +hdl_designer & + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- ${0##*/} Finished!" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/Scripts/hdlDesigner.bat b/Scripts/hdlDesigner.bat new file mode 100644 index 0000000..ee1e3ea --- /dev/null +++ b/Scripts/hdlDesigner.bat @@ -0,0 +1,246 @@ +::============================================================================== +:: hdl_designer.bash - Starts HDL designer +:: +:start + setlocal EnableExtensions EnableDelayedExpansion + set cmd_location=%~dp0 + pushd %cmd_location% + set SEPARATOR="--------------------------------------------------------------------------------" + set INDENT=" " + + echo %SEPARATOR% + echo "-- %~nx0 Started!" + echo. + +:: ----------------------------------------------------------------------------- +:: Define default environment variables +:: +::remove trailing backslash +if %cmd_location:~-1%==\ set design_directory=%design_directory:~0,-1% + +set design_name=%~n0 +set hpd_name=hds + +if "%ISE_VERSION%"== "" ( + set ISE_VERSION=14.7 +) +set prefs_directory="%design_directory:"=%\Prefs" +set library_matchings="%hpd_name%.hdp" +set simulation_directory="%design_directory:"=%\Simulation" +set user_prefs_directory="%prefs_directory:"=%\hds_user" +set team_prefs_directory="%prefs_directory:"=%\hds_team" +if "%SCRATCH_DIR%" == "" ( + set scratch_directory=C:\temp\eda\%username% +) else ( + set scratch_directory=%SCRATCH_DIR% +) + +set synthesis_subdirectory="" +if %REQUIRE_ISE% == 1 ( + set synthesis_subdirectory="Board\ise" +) +if %REQUIRE_LIBERO% == 1 ( + set synthesis_subdirectory="Board\libero" +) +set concat_directory="%design_directory:"=%\Board\concat" + +::------------------------------------------------------------------------------ +:: Parse command line options +:: +:parse +set usage1="Usage: hdl_designer.bat [-v] [-h]" +set usage2=" [-n designName] [-d designDirectory]" +set usage3=" [-p prefsDirectory] [-u userPrefsDirectory] [-t teamPrefsDirectory]" +set usage4=" [-s scratchDirectory] [-c concatDirectory]" +set usage5=" [-y synthesisDirectory] [-m library_matchings]" + +echo "Search Commandline Parameters" +:parseloop +if not "%1"=="" ( + if "%1"=="-v" ( + set VERBOSE=1 + echo "%INDENT:"=%verbose enabled" + shift + ) + if "%1"=="-h" ( + goto :HELP + shift + ) + if "%1"=="-n" ( + set design_name=%2 + echo "%INDENT:"=%design_name=!design_name:"=! " + shift & shift + ) + if "%1"=="-d" ( + set design_directory=%2 + echo "%INDENT:"=%design_directory=!design_directory:"=! " + shift & shift + ) + if "%1"=="-p" ( + set prefs_directory=%2 + echo "%INDENT:"=%prefs_directory=!prefs_directory:"=! " + shift & shift + ) + if "%1"=="-u" ( + set user_prefs_directory=%2 + echo "%INDENT:"=%user_prefs_directory=%user_prefs_directory:"=% " + shift & shift + ) + if "%1"=="-t" ( + set team_prefs_directory=%2 + echo "%INDENT:"=%team_prefs_directory=%team_prefs_directory:"=% " + shift & shift + ) + if "%1"=="-s" ( + set scratch_directory=%2 + echo "%INDENT:"=%scratch_directory=%scratch_directory:"=% " + shift & shift + ) + if "%1"=="-c" ( + set concat_directory=%2 + echo "%INDENT:"=%concat_directory=%concat_directory:"=% " + shift & shift + ) + if "%1"=="-y" ( + set synthesis_subdirectory=%2 + echo "%INDENT:"=%synthesis_subdirectory=%synthesis_subdirectory:"=% " + shift & shift + ) + if "%1"=="-m" ( + set set library_matchings="%2.hdp" + echo "%INDENT:"=%library_matchings=%library_matchings:"=% " + shift & shift + ) + goto :parseloop +) +echo. + +:: Setting all concurrent variables +set prefs_directory="!design_directory:"=!\Prefs" +set user_prefs_directory="!prefs_directory:"=!\hds_user" +set team_prefs_directory="!prefs_directory:"=!\hds_team" +set library_matchings=!prefs_directory:"=!\!library_matchings:"=! +set simulation_directory="!design_directory:"=!\Simulation" +set concat_directory="!design_directory:"=!\Board\concat" + +:: Getting realpath +:: TODO + +:: create scratch dir +if not exist "%scratch_directory%" ( + mkdir "%scratch_directory:"=%" +) + +::================================================================================ +:: Main script +:: +call "./searchPaths.bat" + +::------------------------------------------------------------------------------ +:: Project environment variables +:: +set DESIGN_NAME=%design_name:"=% +set HDS_LIBS=%library_matchings:"=% +set HDS_USER_HOME=%user_prefs_directory:"=% +set HDS_TEAM_HOME=%team_prefs_directory:"=% +set SIMULATION_DIR=%simulation_directory:"=% +:: Changing Slashed (Needed for Modelsim) +set SIMULATION_DIR=%SIMULATION_DIR:\=/% +set SCRATCH_DIR=%scratch_directory:"=% +set CONCAT_DIR=%concat_directory:"=% +set ISE_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set ISE_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% +set LIBERO_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set LIBERO_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% + +::------------------------------------------------------------------------------ +:: Display info +:: +if !VERBOSE! == 1 ( + echo "Program Parameters" + echo "%INDENT:"=%DESIGN_NAME is %DESIGN_NAME:"=%" + echo "%INDENT:"=%HEI_LIBS_DIR is %HEI_LIBS_DIR:"=%" + echo "%INDENT:"=%HDS_LIBS is %HDS_LIBS:"=%" + echo "%INDENT:"=%SIMULATION_DIR is %SIMULATION_DIR:"=%" + echo "%INDENT:"=%HDS_USER_HOME is %HDS_USER_HOME:"=%" + echo "%INDENT:"=%HDS_TEAM_HOME is %HDS_TEAM_HOME:"=%" + echo "%INDENT:"=%SCRATCH_DIR is %SCRATCH_DIR:"=%" + echo "%INDENT:"=%CONCAT_DIR is %CONCAT_DIR:"=%" + if %REQUIRE_HDS% == 1 ( + echo "%INDENT:"=%HDS_HOME is %HDS_HOME:"=%" + ) + if %REQUIRE_MODELSIM% == 1 ( + echo "%INDENT:"=%MODELSIM_HOME is %MODELSIM_HOME:"=%" + ) + if %REQUIRE_ISE% == 1 ( + echo "%INDENT:"=%ISE_HOME is %ISE_HOME:"=%" + echo "%INDENT:"=%ISE_BASE_DIR is %ISE_BASE_DIR:"=%" + echo "%INDENT:"=%ISE_WORK_DIR is %ISE_WORK_DIR:"=%" + ) + if %REQUIRE_LIBERO% == 1 ( + echo "%INDENT:"=%LIBERO_HOME is %LIBERO_HOME:"=%" + echo "%INDENT:"=%LIBERO_BASE_DIR is %LIBERO_BASE_DIR:"=%" + echo "%INDENT:"=%LIBERO_WORK_DIR is %LIBERO_WORK_DIR:"=%" + ) + echo. +) + +::------------------------------------------------------------------------------ +:: Delete scratch directory +:: +call "./cleanScratch.bat" + +::------------------------------------------------------------------------------ +:: Copy synthesis data to scratch directory +:: +if %REQUIRE_ISE% == 1 ( + if exist %ISE_BASE_DIR% ( + echo "%ISE_BASE_DIR:"=%" + echo " -> %ISE_WORK_DIR:"=%" + if exist %ISE_WORK_DIR% ( + rmdir /S /Q "%ISE_WORK_DIR%" + ) + mkdir "%ISE_WORK_DIR%" + xcopy /Y "%ISE_BASE_DIR%" "%ISE_WORK_DIR%\" + ) +) + +if %REQUIRE_LIBERO% == 1 ( + if exist %LIBERO_BASE_DIR% ( + echo "%LIBERO_BASE_DIR:"=%" + echo " -> %LIBERO_BASE_DIR:"=%" + if exist %LIBERO_WORK_DIR% ( + rmdir /S /Q "%LIBERO_WORK_DIR%" + ) + mkdir "%LIBERO_WORK_DIR%" + xcopy /S /Y "%LIBERO_BASE_DIR%" "%LIBERO_WORK_DIR%\" + ) +) + +::------------------------------------------------------------------------------ +:: Launch application +:: +echo "%SEPARATOR:"=%" +echo "-- Launching program" +echo "%INDENT:"=%Waiting until programs finished..." +echo "-- Finished... YOU CAN CLOSE THIS WINDOW NOW!" +::start /wait !HDS_HOME:"=!\bin\hdldesigner.exe +%windir%\system32\cmd.exe /c start /wait !HDS_HOME!\bin\hdldesigner.exe +echo "%SEPARATOR:"=%" + +:end + popd + endlocal + pause&goto:eof + +::------------------------------------------------------------------------------ +:: Helper Functions +:: +:HELP + echo. + echo %usage1:"=% + echo %usage2:"=% + echo %usage3:"=% + echo %usage4:"=% + echo %usage5:"=% + echo.&pause&goto:eof diff --git a/Scripts/searchPaths.bat b/Scripts/searchPaths.bat new file mode 100644 index 0000000..2b8258c --- /dev/null +++ b/Scripts/searchPaths.bat @@ -0,0 +1,157 @@ +::============================================================================== +:: search_paths.bat - Search for Libraries and HDL Tools +:: + +:start + @echo off + set cmd_location="%~dp0" + pushd %cmd_location% + set SEPARATOR="--------------------------------------------------------------------------------" + set INDENT=" " + + echo %SEPARATOR% + echo "-- %~nx0 Started!" + echo. + +echo "Search HEI Library" +::------------------------------------------------------------------------------ +:: Search HEI library directory +:: +if !REQUIRE_LIBS! == 1 ( + if "%HEI_LIBS_DIR%" == "" ( + set HEI_LIBS_DIR=%design_directory:"=!%\Libs + if not exist !HEI_LIBS_DIR!\ ( + :: check at folder one level above project folder, as used on svn for boards libraries + set HEI_LIBS_DIR=%design_directory:"=!%\..\Libs + if not exist !HEI_LIBS_DIR!\ ( + :: check at folder one level above project folder, as used on svn for boards libraries + set HEI_LIBS_DIR=%design_directory:"=!%\..\..\Libs + if not exist !HEI_LIBS_DIR!\ ( + :: check on server, as used for ET labs + set HEI_LIBS_DIR=R:\ETE\Ele1_8132\ELN\Labs\Libraries + if not exist !HEI_LIBS_DIR!\ ( + :: check on server, as used for SI labs + set HEI_LIBS_DIR=R:\SYND\Ele_2131\ELN\Labs\Libraries + if not exist !HEI_LIBS_DIR!\ ( + echo "ERROR: No valid libraries found: please verify your project setup." + pause&goto:end + ) + ) + ) + ) + ) + ) + if exist !HEI_LIBS_DIR!\ ( + echo "%INDENT:"=%Found HEI_LIBS_DIR at !HEI_LIBS_DIR:"=!" + ) else ( + echo "%INDENT:"=%ERROR: No valid HEI_LIBS_DIR found: please verify your HEI_LIBS_DIR settings." + pause&goto:eof + ) +) + + +echo "Search Design Tools: HDL Designer, Modelsim, Xilinx ISE, Microsemi Libero" +::------------------------------------------------------------------------------ +:: Search HDL Designer directory +:: +if !REQUIRE_HDS! == 1 ( + if "%HDS_HOME%" == "" ( + set HDS_HOME=C:\eda\MentorGraphics\HDS + if not exist !HDS_HOME!\ ( + set HDS_HOME=C:\tools\eda\HDS + if not exist !HDS_HOME!\ ( + echo "%INDENT:"=%ERROR: No valid installation of HDL-Designer found: please verify your HDS_HOME settings." + pause&goto:eof + ) + ) + ) + if exist !HDS_HOME! ( + echo "%INDENT:"=%Found HDL-Designer at !HDS_HOME!" + ) else ( + echo "%INDENT:"=%ERROR: No valid installation of HDL-Designer found: please verify your HDS_HOME settings." + pause&goto:eof + ) + ) +) + +::------------------------------------------------------------------------------ +:: Search Modelsim directory +:: +if !REQUIRE_MODELSIM! == 1 ( + if "%MODELSIM_HOME%" == "" ( + set MODELSIM_HOME=C:\eda\MentorGraphics\modelsim\win64 + if not exist !MODELSIM_HOME!\ ( + set MODELSIM_HOME=C:\eda\MentorGraphics\modelsim\win32 + if not exist !MODELSIM_HOME!\ ( + set MODELSIM_HOME=C:\tools\eda\Modelsim\win64 + if not exist !MODELSIM_HOME!\ ( + set MODELSIM_HOME=C:\tools\eda\Modelsim\win32 + if not exist !MODELSIM_HOME!\ ( + echo "%INDENT:"=%ERROR: No valid installation of ModelSim found please verify your MODELSIM_HOME settings." + pause&goto:eof + ) + ) + ) + ) + ) + if exist !MODELSIM_HOME! ( + echo "%INDENT:"=%Found ModelSim at !MODELSIM_HOME:"=!" + ) else ( + echo "%INDENT:"=%ERROR: No valid installation of ModelSim found please verify your MODELSIM_HOME settings." + pause + goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Xilinx ISE directory +:: + +if !REQUIRE_ISE! == 1 ( + if "%ISE_HOME%" == "" ( + set ISE_HOME=C:\eda\Xilinx\!ISE_VERSION:"=!\ISE_DS\ISE + if not exist !ISE_HOME!\ ( + set ISE_HOME=C:\tools\eda\Xilinx\!ISE_VERSION:"=!\ISE_DS\ISE + if not exist !ISE_HOME!\ ( + echo "%INDENT:"=%ERROR: No valid installation of ISE found please verify your ISE_HOME settings." + pause&goto:eof + ) + ) + ) + if exist !ISE_HOME! ( + echo "%INDENT:"=%Found ISE at !ISE_HOME:"=!" + ) else ( + echo "%INDENT:"=%ERROR: No valid installation of ISE found please verify your ISE_HOME settings." + pause&goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Microsemi Libero directory +:: +if %REQUIRE_LIBERO% == 1 ( + if "%LIBERO_HOME%" == "" ( + set LIBERO_HOME=C:\eda\Microsemi\Libero + if not exist !LIBERO_HOME!\ ( + set LIBERO_HOME=C:\tools\eda\Microsemi\Libero + if not exist !LIBERO_HOME!\ ( + echo "%INDENT:"=%ERROR: No valid installation of Libero found please verify your LIBERO_HOME settings." + pause&goto:eof + ) + ) + ) + if exist !LIBERO_HOME! ( + echo "%INDENT:"=%Found Libero at !LIBERO_HOME:"=!" + ) else ( + echo "%INDENT:"=%ERROR: No valid installation of Libero found please verify your LIBERO_HOME settings." + pause + goto:eof + ) +) + +:end + echo. + echo "-- %~nx0 Finished!" + echo %SEPARATOR% + popd + goto:eof \ No newline at end of file diff --git a/Scripts/start_libero.pl b/Scripts/start_libero.pl new file mode 100644 index 0000000..3e11301 --- /dev/null +++ b/Scripts/start_libero.pl @@ -0,0 +1,37 @@ +#!/usr/bin/perl +# filename: start_libero.pl +# created by: Corthay Francois & Zahno Silvan +# +#------------------------------------------------------------------------------- +# +# Description: +# Starts Libero in the correct timezone for the Synplify License +# Help Parameter : +# Parameter : start_libero.pl +#------------------------------------------------------------------------------- +# +# History: +# V0.1 : zas 08.2019 -- Initial release +# +################################################################################ + +$separator = '-' x 79; +$indent = ' ' x 2; +$verbose = 1; + +$ENV{TZ} = ''; # needed to be able to run Synplify avoinding license error +my $designerExe = "$ENV{LIBERO_HOME}\\Designer\\bin\\libero.exe"; +$liberoFileSpec = $ARGV[0]; + +#------------------------------------------------------------------------------- +# Launch Libero +# + +if ($verbose == 1) { + print "\n"; + print "launching $designerExe\n"; + print $indent, "project file spec: $liberoFileSpec\n"; + print "$separator\n"; +} + +system("$designerExe $liberoFileSpec"); \ No newline at end of file diff --git a/Scripts/trimLibs.pl b/Scripts/trimLibs.pl new file mode 100644 index 0000000..81500ed --- /dev/null +++ b/Scripts/trimLibs.pl @@ -0,0 +1,161 @@ +#!/usr/bin/perl +# ------------------------------------------------------------------------------ +# trimLibs +# Comment regular libraries in an concatenated file +# Help Parameter : +# Parameter : trimlibs.pl +# ------------------------------------------------------------------------------ +# Authors: +# cof: [Franois Corthay](francois.corthay@hevs.ch) +# guo: [Oliver A. Gubler](oliver.gubler@hevs.ch) +# zas: [Silvan Zahno](silvan.zahno@hevs.ch) +# gal: [Laurent Gauch] +# ------------------------------------------------------------------------------ +# Changelog: +# 2019.08.23 : cof +# * Comment "omment "FOR xxxx : yyy USE ENTITY zzz;"" instead all "For All ... work" lines +# * Seen problems in ELN_Kart +# 2019.06.11 : zas +# * Comment "For All .... work."" instead all "For All" lines +# * Allow Outputfilename as Env var or as Script parameter +# 2015-08-25 : guo +# * added unisim to the list of excluded libraries +# 2015-05-08 : guo +# * added verbosity debug +# * changed this header +# * minor comment modifications +# 2013-08-13 : zas guo +# Handle error if environment variable not found, character'pos('$') -> ') +# was found as env var, added exception +# 2013-06-13 : cof zas guo +# Remove comments from testline +# 2013-01-09 : cof -- +# * Bugfix: no carriage return on commented "use" statements +# * Bugfix: more precise targeting of "library" statement +# * Bugfix: "Library" test after "use" test +# 2012-04-27 : zas +# * Bugfix: on feature added in version 2011-06-10 +# 2012-02-02 : zas +# * Write the output into a new file with the name defined in the +# * $DESIGN_NAME variable +# 2012-01-23 : zas +# * Replaces $env_var_name by the value of the found environmemnt variable. +# * Mostly used to replace $SIMULATION_DIR for initialise bram's from a file +# * placed in the Simulation Directory +# 2011-06-10 : zas +# Replaces +# library xxx;use xxx.yyy.all; +# with +# --library xxx; +# use work.yyy.all; +# 2005...2011 : cof +# Improvements +# 2005-01-29 : gal +# initlial release +# ------------------------------------------------------------------------------ + +$separator = '-' x 79; +$indent = ' ' x 2; +$hdlInFileSpec = $ARGV[0]; +if (defined $ARGV[1]) { + $hdlOutFileSpec = $ARGV[1]; +} +else { + $hdlOutFileSpec = 'trimmed.vhd'; +} + +$verbose = 1; +$debug = 0; + +#------------------------------------------------------------------------------- +# program I/O files +# +$tempFileSpec = $hdlOutFileSpec . '.tmp'; + +if ($verbose == 1) { + print "\n$separator\n"; + print "Trimming library declarations from $hdlInFileSpec to $hdlOutFileSpec\n"; + print $indent, "temporary file spec: $tempFileSpec\n"; +} + +#------------------------------------------------------------------------------- +# read original file, edit and save to temporary file +# +my $line; + +open(HDLFile, $hdlInFileSpec) || die "couldn't open $HDLFileSpec!"; +open(tempFile, ">$tempFileSpec"); +while (chop($line = )) { + + # remove all comment for the test + my $testline = $line; + $testline =~ s/--.*//; + + # Replace 'use xxx.yyy' with 'use work.yyy', except if xxx is ieee or std or unisim + if ($testline =~ m/use\s.*\.all\s*;/i) { + if ( not($testline =~ m/\bieee\./i) and + not($testline =~ m/\bstd\./i) and + not($testline =~ m/\bunisim\./i)) { + # if there is any char before "use" except \s, insert new line \n + if ( ($testline =~ m/[^\s]\s*use/i) ) { + $line =~ s/use\s+.*?\./\nuse work./i; + if ($debug == 1) { + print "TEST0099: ", $testline, "\n" + } + } + else { + $line =~ s/use\s+.*?\./use work./i; + if ($debug == 1) { + print "TEST0105: ", $testline, "\n" + } + } + } + } + + # Comment libraries which aren't ieee or std or unisim + if (($testline =~ m/\slibrary\s+/i) or ($testline =~ m/\Alibrary\s+/i)) { + if ( not($testline =~ m/ieee/i) and + not($testline =~ m/std/i) and + not($testline =~ m/unisim/i)) { + $line = '-- ' . $line; + } + } + + # Comment "FOR xxxx : yyy USE ENTITY zzz; + if ($line =~ m/for\s+.+:.+\s+use\s+entity/i) { + $line = '-- ' . $line; + } + + # Search for $Env_Var_Names and replace them by the value of the env_var + if ($testline =~ m/(\$[^\s\/.'"\\]+)/i) { + $envvar = $1; + $envvar =~ s/^.//; + eval { + $line =~ s/\$$envvar/$ENV{$envvar}/; + }; + if ($@) { + print ("WARNING: Environment Variable not found: $envvar \n") + } + + } + + print tempFile ("$line\n"); +} + +close(tempFile); +close(HDLFile); + +#------------------------------------------------------------------------------- +# delete original file and rename temporary file +# +unlink($hdlOutFileSpec); +rename($tempFileSpec, $hdlOutFileSpec); + +if ($verbose == 1) { + print "$separator\n"; +} + +#if ($verbose == 1) { +# print $indent, "Hit any to continue"; +# $dummy = ; +#} diff --git a/Scripts/update_ise.pl b/Scripts/update_ise.pl new file mode 100644 index 0000000..1ce5756 --- /dev/null +++ b/Scripts/update_ise.pl @@ -0,0 +1,91 @@ +#!/usr/bin/perl +# ------------------------------------------------------------------------------ +# update_ise +# replace ucf and vhd filelocation and name in the Xilinx xise project file +# Help Parameter : +# Parameter : update_ise.pl +# ------------------------------------------------------------------------------ +# Changelog: +# 2019-06-12 : zas +# * All parameters given with agruments instead of env variables +# 2015-05-26 : guo +# * update to environment from HELS v.15.0526 +# 2012-05-27 : cof +# * Initial release +# ------------------------------------------------------------------------------ + +$separator = '-' x 79; +$indent = ' ' x 2; + +$iseFileSpec = $ARGV[0]; +$vhdlFileSpec = $ARGV[1]; +$ucfFileSpec = $ARGV[2]; + +$verbose = 1; + +if ($verbose == 1) { + print "Script Parameters:\n"; + print " * iseFileSpec: $iseFileSpec\n"; + print " * vhdlFileSpec: $vhdlFileSpec\n"; + print " * ucfFileSpec: $ucfFileSpec\n"; +} + + +#------------------------------------------------------------------------------- +# program I/O files +# +$tempFileSpec = $iseFileSpec . '.tmp'; + +if ($verbose == 1) { + print "\n$separator\n"; + print "Updating file specifications in $iseFileSpec\n"; + print $indent, "temporary file spec: $tempFileSpec\n"; +} + +#------------------------------------------------------------------------------- +# read original file, edit and save to temporary file +# +my $line; + +open(ISEFile, $iseFileSpec) || die "couldn't open $iseFileSpec!"; +open(tempFile, ">$tempFileSpec"); +while (chop($line = )) { + # replace VHDL files + if ($line =~ m/FILE_VHDL/i) { + $line =~ s/ +# Parameter : update_libero.pl <^PDC File Spec> +#------------------------------------------------------------------------------- +# +# History: +# V0.1 : cof 12.2013 -- Initial release +# V0.2 : zas 08.2019 -- Changed actel to libero +# +################################################################################ + +$separator = '-' x 79; +$indent = ' ' x 2; +$verbose = 1; + +$ENV{TZ} = ''; # needed to be able to run Synplify avoinding license error +my $designerExe = "$ENV{LIBERO_HOME}\\Designer\\bin\\libero.exe"; +$liberoFileSpec = $ARGV[0]; +$vhdlFileSpec = $ARGV[1]; +$pdcFileSpec = $ARGV[2]; + + +if ($verbose == 1) { + print "Script Parameters:\n"; + print " * liberoFileSpec: $liberoFileSpec\n"; + print " * vhdlFileSpec: $vhdlFileSpec\n"; + print " * pdcFileSpec: $pdcFileSpec\n"; +} + + + +#------------------------------------------------------------------------------- +# Project variables +# +$liberoWorkFileSpec = $liberoFileSpec . '.tmp'; + # source directory +my $sourceDir = "$ENV{CONCAT_DIR}"; +my $projectDir = "$ENV{LIBERO_WORK_DIR}"; + +#------------------------------------------------------------------------------- +# Update paths in the project file +# + +if ($verbose == 1) { + print "\n$separator\n"; + print "Updating file specifications in $liberoFileSpec\n"; + print $indent, "temporary file spec: $liberoWorkFileSpec\n"; +} +my $line; + +open(LiberoFile, $liberoFileSpec) || die "couldn't open $HDLFileSpec!"; +open(workFile, ">$liberoWorkFileSpec"); +while (chop($line = )) { + # replace source path + if ($line =~ m/DEFAULT_IMPORT_LOC/i) { + $line =~ s/".*"/"$sourceDir"/; + } + # replace project path + if ($line =~ m/ProjectLocation/i) { + $line =~ s/".*"/"$projectDir"/; + } + # replace VHDL file spec + if ($line =~ m/VALUE\s".*,hdl"/i) { + $line =~ s/".*"/"$vhdlFileSpec,hdl"/; + } + # replace PDC file spec + if ($line =~ m/VALUE\s".*\.pdc,pdc"/i) { + $line =~ s/".*"/"$pdcFileSpec,pdc"/; + } + + print workFile ("$line\n"); +} + +close(workFile); +close(LiberoFile); + + +#------------------------------------------------------------------------------- +# delete original file and rename temporary file +# +unlink($liberoFileSpec); +rename($liberoWorkFileSpec, $liberoFileSpec); + +if ($verbose == 1) { + print "$separator\n"; +} + +#------------------------------------------------------------------------------- +# Launch Libero +# + +#if ($verbose == 1) { +# print "\n"; +# print "launching $designerExe\n"; +# print $indent, "project file spec: $liberoFileSpec\n"; +# print "$separator\n"; +#} + +#system("$designerExe $liberoFileSpec"); diff --git a/Simulation/cursor.do b/Simulation/cursor.do new file mode 100644 index 0000000..de78b85 --- /dev/null +++ b/Simulation/cursor.do @@ -0,0 +1,43 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate -group {reset and clock} /cursor_tb/reset +add wave -noupdate -group {reset and clock} /cursor_tb/clock +add wave -noupdate -group {reset and clock} /cursor_tb/testMode +add wave -noupdate -expand -group {Buttons and sensors} /cursor_tb/restart +add wave -noupdate -expand -group {Buttons and sensors} /cursor_tb/go1 +add wave -noupdate -expand -group {Buttons and sensors} /cursor_tb/go2 +add wave -noupdate -expand -group {Buttons and sensors} /cursor_tb/sensor1 +add wave -noupdate -expand -group {Buttons and sensors} /cursor_tb/sensor2 +add wave -noupdate -group Encoder /cursor_tb/encoderA +add wave -noupdate -group Encoder /cursor_tb/encoderB +add wave -noupdate -group Encoder /cursor_tb/encoderI +add wave -noupdate -expand -group Internals /cursor_tb/I_DUT/I_ctrl/current_state +add wave -noupdate -expand -group Internals -radix unsigned /cursor_tb/I_DUT/selectPosition +add wave -noupdate -expand -group Internals /cursor_tb/I_DUT/zeroSpeed +add wave -noupdate -expand -group Internals /cursor_tb/I_DUT/fullSpeed +add wave -noupdate -expand -group Internals /cursor_tb/I_DUT/rampEnable +add wave -noupdate -expand -group Internals /cursor_tb/I_DUT/endReached +add wave -noupdate -expand -group Internals -format Analog-Step -height 50 -max 255.0 -radix unsigned /cursor_tb/I_DUT/amplitude +add wave -noupdate -expand -group Internals -format Analog-Step -height 50 -max 14000.0 -radix unsigned /cursor_tb/I_DUT/position +add wave -noupdate -expand -group {Motor control} /cursor_tb/motorOn +add wave -noupdate -expand -group {Motor control} /cursor_tb/side1 +add wave -noupdate -expand -group {Motor control} /cursor_tb/side2 +add wave -noupdate /cursor_tb/I_DUT/testOut +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {18011924 ns} 0} +quietly wave cursor active 1 +configure wave -namecolwidth 240 +configure wave -valuecolwidth 71 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1000 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ms +update +WaveRestoreZoom {0 ns} {21 ms} diff --git a/Simulation/divider.do b/Simulation/divider.do new file mode 100644 index 0000000..426d4da --- /dev/null +++ b/Simulation/divider.do @@ -0,0 +1,21 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate -format Logic /divider_tb/reset +add wave -noupdate -format Logic /divider_tb/clock +add wave -noupdate -format Logic /divider_tb/testmode +add wave -noupdate -format Logic /divider_tb/enlow +add wave -noupdate -format Logic /divider_tb/enpwm +add wave -noupdate -format Logic /divider_tb/start +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {0 ps} +WaveRestoreZoom {0 ps} {5250 us} +configure wave -namecolwidth 150 +configure wave -valuecolwidth 100 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 + +run 10 ms diff --git a/Simulation/lcdFont.txt b/Simulation/lcdFont.txt new file mode 100644 index 0000000..2ab4422 --- /dev/null +++ b/Simulation/lcdFont.txt @@ -0,0 +1,128 @@ +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +000000000000000000000000000000000000000000000000 +000000000000000001001111000000000000000000000000 +000000000000011100000000000001110000000000000000 +000101000111111100010100011111110001010000000000 +001001000010101001111111001010100001001000000000 +001000110001001100001000011001000110001000000000 +001101100100100101010101001000100101000000000000 +000000000000010100000011000000000000000000000000 +000000000001110000100010010000010000000000000000 +000000000100000100100010000111000000000000000000 +000101000000100000111110000010000001010000000000 +000010000000100000111110000010000000100000000000 +000000000101000000110000000000000000000000000000 +000010000000100000001000000010000000100000000000 +000000000110000001100000000000000000000000000000 +001000000001000000001000000001000000001000000000 +001111100101000101001001010001010011111000000000 +000000000100001001111111010000000000000000000000 +010000100110000101010001010010010100011000000000 +001000010100000101000101010010110011000100000000 +000110000001010000010010011111110001000000000000 +001001110100010101000101010001010011100100000000 +001111000100101001001001010010010011000000000000 +000000010111000100001001000001010000001100000000 +001101100100100101001001010010010011011000000000 +000001100100100101001001001010010001111000000000 +000000000011011000110110000000000000000000000000 +000000000101011000110110000000000000000000000000 +000010000001010000100010010000010000000000000000 +000101000001010000010100000101000001010000000000 +000000000100000100100010000101000000100000000000 +000000100000000101010001000010010000011000000000 +001100100100100101111001010000010011111000000000 +011111100001000100010001000100010111111000000000 +011111110100100101001001010010010011011000000000 +001111100100000101000001010000010010001000000000 +011111110100000101000001001000100001110000000000 +011111110100100101001001010010010100000100000000 +011111110000100100001001000010010000000100000000 +001111100100000101001001010010010111101000000000 +011111110000100000001000000010000111111100000000 +000000000100000101111111010000010000000000000000 +001000000100000001000001001111110000000100000000 +011111110000100000010100001000100100000100000000 +011111110100000001000000010000000100000000000000 +011111110000001000001100000000100111111100000000 +011111110000010000001000000100000111111100000000 +001111100100000101000001010000010011111000000000 +011111110000100100001001000010010000011000000000 +001111100100000101010001001000010101111000000000 +011111110000100100011001001010010100011000000000 +010001100100100101001001010010010011000100000000 +000000010000000101111111000000010000000100000000 +001111110100000001000000010000000011111100000000 +000111110010000001000000001000000001111100000000 +001111110100000000111000010000000011111100000000 +011000110001010000001000000101000110001100000000 +000001110000100001110000000010000000011100000000 +011000010101000101001001010001010100001100000000 +000000000111111101000001010000010000000000000000 +000101010001011001111100000101100001010100000000 +000000000100000101000001011111110000000000000000 +000001000000001000000001000000100000010000000000 +010000000100000001000000010000000100000000000000 +000000000000000100000010000001000000000000000000 +001000000101010001010100010101000111100000000000 +011111110100100001000100010001000011100000000000 +001110000100010001000100010001000010000000000000 +001110000100010001000100010010000111111100000000 +001110000101010001010100010101000001100000000000 +000010000111111000001001000000010000001000000000 +000011000101001001010010010100100011111000000000 +011111110000100000000100000001000111100000000000 +000000000100010001111101010000000000000000000000 +001000000100000001000100001111010000000000000000 +011111110001000000101000010001000000000000000000 +000000000100000101111111010000000000000000000000 +011111000000010000011000000001000111100000000000 +011111000000100000000100000001000111100000000000 +001110000100010001000100010001000011100000000000 +011111000001010000010100000101000000100000000000 +000010000001010000010100000110000111110000000000 +011111000000100000000100000001000000100000000000 +010010000101010001010100010101000010000000000000 +000001000011111101000100010000000010000000000000 +001111000100000001000000001000000111110000000000 +000111000010000001000000001000000001110000000000 +001111000100000000110000010000000011110000000000 +010001000010100000010000001010000100010000000000 +000011000101000001010000010100000011110000000000 +010001000110010001010100010011000100010000000000 +000000000000100000110110010000010000000000000000 +000000000000000001111111000000000000000000000000 +000000000100000100110110000010000000000000000000 +000010000000100000101010000111000000100000000000 +000010000001110000101010000010000000100000000000 diff --git a/Simulation/positionCounter.do b/Simulation/positionCounter.do new file mode 100644 index 0000000..179e166 --- /dev/null +++ b/Simulation/positionCounter.do @@ -0,0 +1,30 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate -format Logic /positioncounter_tb/reset +add wave -noupdate -format Logic /positioncounter_tb/clock +add wave -noupdate -divider Controls +add wave -noupdate -format Logic /positioncounter_tb/clear +add wave -noupdate -divider Encoder +add wave -noupdate -format Logic /positioncounter_tb/i1/direction +add wave -noupdate -format Analog-Step -height 40 -radix unsigned -scale 0.20000000000000001 /positioncounter_tb/i1/stepcount +add wave -noupdate -format Logic /positioncounter_tb/encodera +add wave -noupdate -format Logic /positioncounter_tb/encoderb +add wave -noupdate -format Logic /positioncounter_tb/encoderi +add wave -noupdate -divider {Position counter} +add wave -noupdate -format Logic /positioncounter_tb/i0/risinga +add wave -noupdate -format Logic /positioncounter_tb/i0/fallinga +add wave -noupdate -format Logic /positioncounter_tb/i0/risingb +add wave -noupdate -format Logic /positioncounter_tb/i0/fallingb +add wave -noupdate -format Analog-Step -height 50 -radix unsigned -scale 0.10000000000000001 /positioncounter_tb/position +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {52123100 ps} +WaveRestoreZoom {0 ps} {210 us} +configure wave -namecolwidth 198 +configure wave -valuecolwidth 52 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +run 200 us \ No newline at end of file diff --git a/Simulation/pulseWidthModulator.do b/Simulation/pulseWidthModulator.do new file mode 100644 index 0000000..5f22850 --- /dev/null +++ b/Simulation/pulseWidthModulator.do @@ -0,0 +1,21 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate -format Logic /pulsewidthmodulator_tb/reset +add wave -noupdate -format Logic /pulsewidthmodulator_tb/clock +add wave -noupdate -format Literal -radix hexadecimal /pulsewidthmodulator_tb/amplitude +add wave -noupdate -format Logic /pulsewidthmodulator_tb/en +add wave -noupdate -format Analog-Step -height 40 -radix unsigned -scale 0.10000000000000001 /pulsewidthmodulator_tb/i0/counter +add wave -noupdate -format Logic /pulsewidthmodulator_tb/pwm +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {0 ps} +WaveRestoreZoom {0 ps} {2100 us} +configure wave -namecolwidth 200 +configure wave -valuecolwidth 50 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 + +run 1 ms diff --git a/Simulation/rampCounter.do b/Simulation/rampCounter.do new file mode 100644 index 0000000..3bf22bd --- /dev/null +++ b/Simulation/rampCounter.do @@ -0,0 +1,20 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate -format Logic /rampcounter_tb/reset +add wave -noupdate -format Logic /rampcounter_tb/clock +add wave -noupdate -format Logic /rampcounter_tb/en +add wave -noupdate -format Logic /rampcounter_tb/updown +add wave -noupdate -format Logic /rampcounter_tb/start +add wave -noupdate -format Analog-Step -height 40 -radix unsigned -scale 0.10000000000000001 /rampcounter_tb/ramp +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {76751300 ps} +WaveRestoreZoom {0 ps} {210 us} +configure wave -namecolwidth 195 +configure wave -valuecolwidth 55 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +run 200 us \ No newline at end of file diff --git a/doc/Board/cursor-chariot-assembly.pdf b/doc/Board/cursor-chariot-assembly.pdf new file mode 100644 index 0000000..4f71a59 --- /dev/null +++ b/doc/Board/cursor-chariot-assembly.pdf @@ -0,0 +1,11693 @@ +%PDF-1.4 % +6 0 obj <> endobj +xref +6 3035 +0000000016 00000 n +0000065083 00000 n +0000065159 00000 n +0000065350 00000 n +0000095503 00000 n +0000095549 00000 n +0000095596 00000 n +0000095642 00000 n +0000095688 00000 n +0000095735 00000 n +0000095781 00000 n +0000095828 00000 n +0000095875 00000 n +0000095921 00000 n +0000095968 00000 n +0000096015 00000 n +0000096061 00000 n +0000096107 00000 n +0000096154 00000 n +0000096201 00000 n +0000096248 00000 n +0000096293 00000 n +0000096340 00000 n +0000096387 00000 n +0000096434 00000 n +0000096480 00000 n +0000096527 00000 n +0000096574 00000 n +0000096620 00000 n +0000096666 00000 n +0000096713 00000 n +0000096759 00000 n +0000096804 00000 n +0000096850 00000 n +0000096896 00000 n +0000096943 00000 n +0000096989 00000 n +0000097036 00000 n +0000097082 00000 n +0000097128 00000 n +0000097174 00000 n +0000097219 00000 n +0000097266 00000 n +0000097311 00000 n +0000097358 00000 n +0000097403 00000 n +0000097448 00000 n +0000097495 00000 n +0000097541 00000 n +0000097587 00000 n +0000097633 00000 n +0000097679 00000 n +0000097724 00000 n +0000097770 00000 n +0000097816 00000 n +0000097862 00000 n +0000097908 00000 n +0000097954 00000 n +0000097999 00000 n +0000098045 00000 n +0000098091 00000 n +0000098137 00000 n +0000098183 00000 n +0000098228 00000 n +0000098274 00000 n +0000098320 00000 n +0000098366 00000 n +0000098412 00000 n +0000098458 00000 n +0000098503 00000 n +0000098549 00000 n +0000098595 00000 n +0000098641 00000 n +0000098687 00000 n +0000098733 00000 n +0000098779 00000 n +0000098826 00000 n +0000098871 00000 n +0000098918 00000 n +0000098964 00000 n +0000099010 00000 n +0000099056 00000 n +0000099101 00000 n +0000099147 00000 n +0000099193 00000 n +0000099239 00000 n +0000099285 00000 n +0000099330 00000 n +0000099376 00000 n +0000099421 00000 n +0000099467 00000 n +0000099513 00000 n +0000099560 00000 n +0000099606 00000 n +0000099652 00000 n +0000099698 00000 n +0000099746 00000 n +0000099793 00000 n +0000099840 00000 n +0000099887 00000 n +0000099934 00000 n +0000099981 00000 n +0000100029 00000 n +0000100076 00000 n +0000100124 00000 n +0000100172 00000 n +0000100219 00000 n +0000100267 00000 n +0000100314 00000 n +0000100361 00000 n +0000100407 00000 n +0000100455 00000 n +0000100502 00000 n +0000100549 00000 n +0000100596 00000 n +0000100643 00000 n +0000100690 00000 n +0000100737 00000 n +0000100784 00000 n +0000100831 00000 n +0000100878 00000 n +0000100925 00000 n +0000100972 00000 n +0000101019 00000 n +0000101067 00000 n +0000101114 00000 n +0000101161 00000 n +0000101208 00000 n +0000101255 00000 n +0000101302 00000 n +0000101348 00000 n +0000101395 00000 n +0000101442 00000 n +0000101489 00000 n +0000101536 00000 n +0000101583 00000 n +0000101631 00000 n +0000101679 00000 n +0000101727 00000 n +0000101775 00000 n +0000101823 00000 n +0000101869 00000 n +0000101916 00000 n +0000101964 00000 n +0000102012 00000 n +0000102060 00000 n +0000102107 00000 n +0000102155 00000 n +0000102202 00000 n +0000102250 00000 n +0000102297 00000 n +0000102344 00000 n +0000102391 00000 n +0000102438 00000 n +0000102484 00000 n +0000102532 00000 n +0000102579 00000 n +0000102626 00000 n +0000102673 00000 n +0000102720 00000 n +0000102767 00000 n +0000102815 00000 n +0000102863 00000 n +0000102911 00000 n +0000102959 00000 n +0000103005 00000 n +0000103053 00000 n +0000103101 00000 n +0000103149 00000 n +0000103197 00000 n +0000103245 00000 n +0000103293 00000 n +0000103341 00000 n +0000103387 00000 n +0000103435 00000 n +0000103483 00000 n +0000103531 00000 n +0000103579 00000 n +0000103626 00000 n +0000103673 00000 n +0000103721 00000 n +0000103769 00000 n +0000103817 00000 n +0000103865 00000 n +0000103913 00000 n +0000103961 00000 n +0000104009 00000 n +0000104056 00000 n +0000104103 00000 n +0000104151 00000 n +0000104199 00000 n +0000104246 00000 n +0000104294 00000 n +0000104342 00000 n +0000104390 00000 n +0000104438 00000 n +0000104486 00000 n +0000104534 00000 n +0000104582 00000 n +0000104630 00000 n +0000104677 00000 n +0000104724 00000 n +0000104771 00000 n +0000104817 00000 n +0000104865 00000 n +0000104913 00000 n +0000104961 00000 n +0000105009 00000 n +0000105057 00000 n +0000105105 00000 n +0000105153 00000 n +0000105201 00000 n +0000105249 00000 n +0000105297 00000 n +0000105344 00000 n +0000105390 00000 n +0000105437 00000 n +0000105484 00000 n +0000105531 00000 n +0000105578 00000 n +0000105625 00000 n +0000105672 00000 n +0000105719 00000 n +0000105766 00000 n +0000105814 00000 n +0000105862 00000 n +0000105910 00000 n +0000105958 00000 n +0000106005 00000 n +0000106052 00000 n +0000106098 00000 n +0000106145 00000 n +0000106192 00000 n +0000106238 00000 n +0000106285 00000 n +0000106333 00000 n +0000106380 00000 n +0000106428 00000 n +0000106475 00000 n +0000106522 00000 n +0000106570 00000 n +0000106617 00000 n +0000106665 00000 n +0000106711 00000 n +0000106757 00000 n +0000106804 00000 n +0000106851 00000 n +0000106897 00000 n +0000106943 00000 n +0000106990 00000 n +0000107037 00000 n +0000107083 00000 n +0000107130 00000 n +0000107177 00000 n +0000107225 00000 n +0000107273 00000 n +0000107320 00000 n +0000107368 00000 n +0000107415 00000 n +0000107462 00000 n +0000107510 00000 n +0000107557 00000 n +0000107604 00000 n +0000107651 00000 n +0000107698 00000 n +0000107746 00000 n +0000107792 00000 n +0000107839 00000 n +0000107886 00000 n +0000107933 00000 n +0000107980 00000 n +0000108027 00000 n +0000108074 00000 n +0000108121 00000 n +0000108168 00000 n +0000108215 00000 n +0000108262 00000 n +0000108309 00000 n +0000108356 00000 n +0000108403 00000 n +0000108450 00000 n +0000108497 00000 n +0000108544 00000 n +0000108591 00000 n +0000108639 00000 n +0000108686 00000 n +0000108733 00000 n +0000108781 00000 n +0000108828 00000 n +0000108875 00000 n +0000108921 00000 n +0000108968 00000 n +0000109015 00000 n +0000109062 00000 n +0000109109 00000 n +0000109155 00000 n +0000109202 00000 n +0000109248 00000 n +0000109295 00000 n +0000109342 00000 n +0000109389 00000 n +0000109435 00000 n +0000109482 00000 n +0000109529 00000 n +0000109576 00000 n +0000109623 00000 n +0000109670 00000 n +0000109717 00000 n +0000109764 00000 n +0000109810 00000 n +0000109857 00000 n +0000109904 00000 n +0000109950 00000 n +0000109997 00000 n +0000110044 00000 n +0000110091 00000 n +0000110137 00000 n +0000110184 00000 n +0000110230 00000 n +0000110277 00000 n +0000110324 00000 n +0000110372 00000 n +0000110419 00000 n +0000110467 00000 n +0000110514 00000 n +0000110562 00000 n +0000110608 00000 n +0000110656 00000 n +0000110703 00000 n +0000110750 00000 n +0000110797 00000 n +0000110844 00000 n +0000110891 00000 n +0000110938 00000 n +0000110985 00000 n +0000111032 00000 n +0000111079 00000 n +0000111126 00000 n +0000111173 00000 n +0000111220 00000 n +0000111267 00000 n +0000111313 00000 n +0000111360 00000 n +0000111407 00000 n +0000111454 00000 n +0000111501 00000 n +0000111549 00000 n +0000111596 00000 n +0000111643 00000 n +0000111691 00000 n +0000111738 00000 n +0000111785 00000 n +0000111832 00000 n +0000111879 00000 n +0000111926 00000 n +0000111974 00000 n +0000112021 00000 n +0000112068 00000 n +0000112114 00000 n +0000112162 00000 n +0000112209 00000 n +0000112256 00000 n +0000112303 00000 n +0000112350 00000 n +0000112396 00000 n +0000112442 00000 n +0000112488 00000 n +0000112536 00000 n +0000112583 00000 n +0000112631 00000 n +0000112678 00000 n +0000112726 00000 n +0000112773 00000 n +0000112821 00000 n +0000112869 00000 n +0000112916 00000 n +0000112964 00000 n +0000113010 00000 n +0000113057 00000 n +0000113103 00000 n +0000113149 00000 n +0000113195 00000 n +0000113242 00000 n +0000113289 00000 n +0000113336 00000 n +0000113382 00000 n +0000113429 00000 n +0000113477 00000 n +0000113524 00000 n +0000113571 00000 n +0000113618 00000 n +0000113666 00000 n +0000113714 00000 n +0000113762 00000 n +0000113810 00000 n +0000113857 00000 n +0000113905 00000 n +0000113953 00000 n +0000114001 00000 n +0000114049 00000 n +0000114097 00000 n +0000114144 00000 n +0000114192 00000 n +0000114239 00000 n +0000114287 00000 n +0000114334 00000 n +0000114381 00000 n +0000114428 00000 n +0000114476 00000 n +0000114523 00000 n +0000114571 00000 n +0000114618 00000 n +0000114665 00000 n +0000114712 00000 n +0000114760 00000 n +0000114807 00000 n +0000114855 00000 n +0000114902 00000 n +0000114949 00000 n +0000114996 00000 n +0000115043 00000 n +0000115090 00000 n +0000115137 00000 n +0000115185 00000 n +0000115233 00000 n +0000115280 00000 n +0000115327 00000 n +0000115374 00000 n +0000115421 00000 n +0000115468 00000 n +0000115515 00000 n +0000115563 00000 n +0000115611 00000 n +0000115658 00000 n +0000115705 00000 n +0000115752 00000 n +0000115799 00000 n +0000115846 00000 n +0000115894 00000 n +0000115941 00000 n +0000115988 00000 n +0000116035 00000 n +0000116083 00000 n +0000116130 00000 n +0000116178 00000 n +0000116226 00000 n +0000116273 00000 n +0000116318 00000 n +0000116366 00000 n +0000116414 00000 n +0000116462 00000 n +0000116510 00000 n +0000116557 00000 n +0000116605 00000 n +0000116653 00000 n +0000116700 00000 n +0000116747 00000 n +0000116793 00000 n +0000116840 00000 n +0000116887 00000 n +0000116934 00000 n +0000116981 00000 n +0000117027 00000 n +0000117074 00000 n +0000117121 00000 n +0000117169 00000 n +0000117216 00000 n +0000117263 00000 n +0000117311 00000 n +0000117358 00000 n +0000117406 00000 n +0000117453 00000 n +0000117501 00000 n +0000117548 00000 n +0000117596 00000 n +0000117643 00000 n +0000117691 00000 n +0000117738 00000 n +0000117785 00000 n +0000117831 00000 n +0000117878 00000 n +0000117925 00000 n +0000117972 00000 n +0000118019 00000 n +0000118065 00000 n +0000118112 00000 n +0000118159 00000 n +0000118206 00000 n +0000118253 00000 n +0000118299 00000 n +0000118346 00000 n +0000118393 00000 n +0000118440 00000 n +0000118486 00000 n +0000118533 00000 n +0000118580 00000 n +0000118627 00000 n +0000118674 00000 n +0000118721 00000 n +0000118767 00000 n +0000118814 00000 n +0000118861 00000 n +0000118908 00000 n +0000118955 00000 n +0000119002 00000 n +0000119049 00000 n +0000119097 00000 n +0000119144 00000 n +0000119191 00000 n +0000119238 00000 n +0000119286 00000 n +0000119334 00000 n +0000119382 00000 n +0000119429 00000 n +0000119476 00000 n +0000119524 00000 n +0000119572 00000 n +0000119620 00000 n +0000119668 00000 n +0000119716 00000 n +0000119764 00000 n +0000119811 00000 n +0000119859 00000 n +0000119907 00000 n +0000119954 00000 n +0000120000 00000 n +0000120047 00000 n +0000120094 00000 n +0000120141 00000 n +0000120187 00000 n +0000120234 00000 n +0000120281 00000 n +0000120329 00000 n +0000120376 00000 n +0000120422 00000 n +0000120468 00000 n +0000120515 00000 n +0000120562 00000 n +0000120608 00000 n +0000120655 00000 n +0000120702 00000 n +0000120749 00000 n +0000120796 00000 n +0000120844 00000 n +0000120892 00000 n +0000120940 00000 n +0000120988 00000 n +0000121036 00000 n +0000121084 00000 n +0000121132 00000 n +0000121179 00000 n +0000121227 00000 n +0000121274 00000 n +0000121322 00000 n +0000121369 00000 n +0000121417 00000 n +0000121464 00000 n +0000121511 00000 n +0000121558 00000 n +0000121606 00000 n +0000121653 00000 n +0000121701 00000 n +0000121748 00000 n +0000121795 00000 n +0000121842 00000 n +0000121889 00000 n +0000121936 00000 n +0000121982 00000 n +0000122018 00000 n +0000122065 00000 n +0000122112 00000 n +0000122159 00000 n +0000122205 00000 n +0000122252 00000 n +0000122298 00000 n +0000122345 00000 n +0000122392 00000 n +0000122438 00000 n +0000122486 00000 n +0000122534 00000 n +0000122582 00000 n +0000122630 00000 n +0000122677 00000 n +0000122725 00000 n +0000122771 00000 n +0000122818 00000 n +0000122866 00000 n +0000122913 00000 n +0000122959 00000 n +0000123007 00000 n +0000123055 00000 n +0000123103 00000 n +0000123151 00000 n +0000123199 00000 n +0000123245 00000 n +0000123293 00000 n +0000123340 00000 n +0000123387 00000 n +0000123435 00000 n +0000123483 00000 n +0000123531 00000 n +0000123579 00000 n +0000123627 00000 n +0000123675 00000 n +0000123723 00000 n +0000123771 00000 n +0000123818 00000 n +0000123866 00000 n +0000123914 00000 n +0000123961 00000 n +0000124009 00000 n +0000124056 00000 n +0000124104 00000 n +0000124152 00000 n +0000124199 00000 n +0000124247 00000 n +0000124294 00000 n +0000124341 00000 n +0000124389 00000 n +0000124437 00000 n +0000124484 00000 n +0000124531 00000 n +0000124577 00000 n +0000124624 00000 n +0000124671 00000 n +0000124718 00000 n +0000124766 00000 n +0000124814 00000 n +0000124862 00000 n +0000124910 00000 n +0000124958 00000 n +0000125006 00000 n +0000125054 00000 n +0000125101 00000 n +0000125148 00000 n +0000125195 00000 n +0000125242 00000 n +0000125290 00000 n +0000125337 00000 n +0000125385 00000 n +0000125433 00000 n +0000125480 00000 n +0000125528 00000 n +0000125576 00000 n +0000125624 00000 n +0000125672 00000 n +0000125719 00000 n +0000125766 00000 n +0000125813 00000 n +0000125860 00000 n +0000125908 00000 n +0000125955 00000 n +0000126003 00000 n +0000126050 00000 n +0000126098 00000 n +0000126145 00000 n +0000126191 00000 n +0000126239 00000 n +0000126286 00000 n +0000126333 00000 n +0000126381 00000 n +0000126428 00000 n +0000126476 00000 n +0000126523 00000 n +0000126570 00000 n +0000126617 00000 n +0000126664 00000 n +0000126710 00000 n +0000126757 00000 n +0000126805 00000 n +0000126852 00000 n +0000126899 00000 n +0000126946 00000 n +0000126993 00000 n +0000127040 00000 n +0000127088 00000 n +0000127136 00000 n +0000127184 00000 n +0000127232 00000 n +0000127280 00000 n +0000127328 00000 n +0000127376 00000 n +0000127424 00000 n +0000127472 00000 n +0000127520 00000 n +0000127568 00000 n +0000127614 00000 n +0000127661 00000 n +0000127708 00000 n +0000127755 00000 n +0000127802 00000 n +0000127849 00000 n +0000127897 00000 n +0000127944 00000 n +0000127991 00000 n +0000128038 00000 n +0000128085 00000 n +0000128132 00000 n +0000128180 00000 n +0000128227 00000 n +0000128274 00000 n +0000128321 00000 n +0000128368 00000 n +0000128415 00000 n +0000128463 00000 n +0000128510 00000 n +0000128557 00000 n +0000128604 00000 n +0000128651 00000 n +0000128698 00000 n +0000128746 00000 n +0000128794 00000 n +0000128842 00000 n +0000128889 00000 n +0000128937 00000 n +0000128984 00000 n +0000129031 00000 n +0000129078 00000 n +0000129125 00000 n +0000129172 00000 n +0000129218 00000 n +0000129265 00000 n +0000129312 00000 n +0000129359 00000 n +0000129406 00000 n +0000129453 00000 n +0000129500 00000 n +0000129548 00000 n +0000129595 00000 n +0000129643 00000 n +0000129690 00000 n +0000129738 00000 n +0000129785 00000 n +0000129832 00000 n +0000129879 00000 n +0000129927 00000 n +0000129974 00000 n +0000130021 00000 n +0000130068 00000 n +0000130115 00000 n +0000130162 00000 n +0000130209 00000 n +0000130256 00000 n +0000130303 00000 n +0000130350 00000 n +0000130397 00000 n +0000130444 00000 n +0000130491 00000 n +0000130538 00000 n +0000130585 00000 n +0000130632 00000 n +0000130679 00000 n +0000130727 00000 n +0000130773 00000 n +0000130820 00000 n +0000130867 00000 n +0000130914 00000 n +0000130961 00000 n +0000131008 00000 n +0000131055 00000 n +0000131102 00000 n +0000131149 00000 n +0000131196 00000 n +0000131243 00000 n +0000131290 00000 n +0000131337 00000 n +0000131384 00000 n +0000131431 00000 n +0000131478 00000 n +0000131524 00000 n +0000131572 00000 n +0000131619 00000 n +0000131666 00000 n +0000131713 00000 n +0000131760 00000 n +0000131808 00000 n +0000131856 00000 n +0000131903 00000 n +0000131950 00000 n +0000131997 00000 n +0000132044 00000 n +0000132092 00000 n +0000132139 00000 n +0000132186 00000 n +0000132234 00000 n +0000132281 00000 n +0000132328 00000 n +0000132374 00000 n +0000132422 00000 n +0000132469 00000 n +0000132517 00000 n +0000132564 00000 n +0000132611 00000 n +0000132657 00000 n +0000132704 00000 n +0000132752 00000 n +0000132800 00000 n +0000132847 00000 n +0000132894 00000 n +0000132940 00000 n +0000132987 00000 n +0000133034 00000 n +0000133081 00000 n +0000133128 00000 n +0000133175 00000 n +0000133222 00000 n +0000133269 00000 n +0000133317 00000 n +0000133364 00000 n +0000133411 00000 n +0000133458 00000 n +0000133506 00000 n +0000133554 00000 n +0000133601 00000 n +0000133648 00000 n +0000133695 00000 n +0000133743 00000 n +0000133791 00000 n +0000133838 00000 n +0000133886 00000 n +0000133933 00000 n +0000133980 00000 n +0000134027 00000 n +0000134075 00000 n +0000134122 00000 n +0000134169 00000 n +0000134217 00000 n +0000134264 00000 n +0000134311 00000 n +0000134359 00000 n +0000134406 00000 n +0000134453 00000 n +0000134501 00000 n +0000134548 00000 n +0000134595 00000 n +0000134641 00000 n +0000134688 00000 n +0000134735 00000 n +0000134782 00000 n +0000134829 00000 n +0000134876 00000 n +0000134922 00000 n +0000134968 00000 n +0000135014 00000 n +0000135060 00000 n +0000135105 00000 n +0000135151 00000 n +0000135199 00000 n +0000135247 00000 n +0000135294 00000 n +0000135342 00000 n +0000135389 00000 n +0000135436 00000 n +0000135483 00000 n +0000135531 00000 n +0000135578 00000 n +0000135624 00000 n +0000135672 00000 n +0000135719 00000 n +0000135766 00000 n +0000135814 00000 n +0000135861 00000 n +0000135908 00000 n +0000135956 00000 n +0000136004 00000 n +0000136051 00000 n +0000136099 00000 n +0000136146 00000 n +0000136192 00000 n +0000136240 00000 n +0000136288 00000 n +0000136335 00000 n +0000136383 00000 n +0000136430 00000 n +0000136477 00000 n +0000136524 00000 n +0000136571 00000 n +0000136617 00000 n +0000136663 00000 n +0000136709 00000 n +0000136756 00000 n +0000136803 00000 n +0000136850 00000 n +0000136897 00000 n +0000136945 00000 n +0000136991 00000 n +0000137038 00000 n +0000137085 00000 n +0000137133 00000 n +0000137181 00000 n +0000137229 00000 n +0000137277 00000 n +0000137323 00000 n +0000137371 00000 n +0000137418 00000 n +0000137465 00000 n +0000137511 00000 n +0000137559 00000 n +0000137606 00000 n +0000137654 00000 n +0000137701 00000 n +0000137749 00000 n +0000137796 00000 n +0000137843 00000 n +0000137890 00000 n +0000137937 00000 n +0000137984 00000 n +0000138032 00000 n +0000138080 00000 n +0000138127 00000 n +0000138175 00000 n +0000138221 00000 n +0000138267 00000 n +0000138314 00000 n +0000138361 00000 n +0000138408 00000 n +0000138455 00000 n +0000138502 00000 n +0000138548 00000 n +0000138595 00000 n +0000138642 00000 n +0000138690 00000 n +0000138737 00000 n +0000138784 00000 n +0000138831 00000 n +0000138878 00000 n +0000138925 00000 n +0000138972 00000 n +0000139019 00000 n +0000139066 00000 n +0000139113 00000 n +0000139160 00000 n +0000139208 00000 n +0000139255 00000 n +0000139302 00000 n +0000139350 00000 n +0000139398 00000 n +0000139445 00000 n +0000139492 00000 n +0000139539 00000 n +0000139586 00000 n +0000139632 00000 n +0000139679 00000 n +0000139726 00000 n +0000139773 00000 n +0000139820 00000 n +0000139867 00000 n +0000139914 00000 n +0000139960 00000 n +0000140006 00000 n +0000140052 00000 n +0000140100 00000 n +0000140147 00000 n +0000140194 00000 n +0000140242 00000 n +0000140289 00000 n +0000140336 00000 n +0000140384 00000 n +0000140432 00000 n +0000140480 00000 n +0000143701 00000 n +0000146793 00000 n +0000150003 00000 n +0000156113 00000 n +0000162575 00000 n +0000168856 00000 n +0000169079 00000 n +0000169763 00000 n +0000170150 00000 n +0000175590 00000 n +0000180573 00000 n +0000183243 00000 n +0000183826 00000 n +0000184264 00000 n +0000184535 00000 n +0000184841 00000 n +0000184955 00000 n +0000185189 00000 n +0000185376 00000 n +0000185631 00000 n +0000185926 00000 n +0000186216 00000 n +0000186560 00000 n +0000186903 00000 n +0000186966 00000 n +0000187147 00000 n +0000187203 00000 n +0000187376 00000 n +0000187644 00000 n +0000187932 00000 n +0000188195 00000 n +0000188494 00000 n +0000188699 00000 n +0000188934 00000 n +0000189051 00000 n +0000189268 00000 n +0000189329 00000 n +0000189564 00000 n +0000189953 00000 n +0000190289 00000 n +0000190873 00000 n +0000191335 00000 n +0000191579 00000 n +0000191883 00000 n +0000192324 00000 n +0000192381 00000 n +0000192608 00000 n +0000193401 00000 n +0000193638 00000 n +0000193928 00000 n +0000194479 00000 n +0000194869 00000 n +0000195309 00000 n +0000195801 00000 n +0000196262 00000 n +0000196650 00000 n +0000196850 00000 n +0000197076 00000 n +0000197589 00000 n +0000198120 00000 n +0000198572 00000 n +0000198980 00000 n +0000199209 00000 n +0000199929 00000 n +0000200466 00000 n +0000201179 00000 n +0000201663 00000 n +0000202073 00000 n +0000202420 00000 n +0000203121 00000 n +0000203659 00000 n +0000204126 00000 n +0000204504 00000 n +0000204568 00000 n +0000204803 00000 n +0000205531 00000 n +0000206076 00000 n +0000206200 00000 n +0000206408 00000 n +0000206469 00000 n +0000206705 00000 n +0000207480 00000 n +0000207583 00000 n +0000207780 00000 n +0000208542 00000 n +0000208862 00000 n +0000209223 00000 n +0000209477 00000 n +0000209758 00000 n +0000210035 00000 n +0000210333 00000 n +0000210598 00000 n +0000210898 00000 n +0000211161 00000 n +0000211460 00000 n +0000211666 00000 n +0000211908 00000 n +0000212260 00000 n +0000212622 00000 n +0000213024 00000 n +0000213424 00000 n +0000213485 00000 n +0000213662 00000 n +0000213964 00000 n +0000214272 00000 n +0000215027 00000 n +0000215564 00000 n +0000216301 00000 n +0000216838 00000 n +0000217198 00000 n +0000217587 00000 n +0000217830 00000 n +0000218058 00000 n +0000218681 00000 n +0000219226 00000 n +0000219455 00000 n +0000220205 00000 n +0000220443 00000 n +0000220555 00000 n +0000220768 00000 n +0000221005 00000 n +0000221290 00000 n +0000221511 00000 n +0000221843 00000 n +0000222188 00000 n +0000222261 00000 n +0000222449 00000 n +0000222684 00000 n +0000222906 00000 n +0000223161 00000 n +0000223401 00000 n +0000223669 00000 n +0000223730 00000 n +0000223979 00000 n +0000224076 00000 n +0000224268 00000 n +0000224335 00000 n +0000224517 00000 n +0000224574 00000 n +0000224803 00000 n +0000225003 00000 n +0000225246 00000 n +0000225542 00000 n +0000225842 00000 n +0000225906 00000 n +0000226145 00000 n +0000226442 00000 n +0000226750 00000 n +0000227057 00000 n +0000227374 00000 n +0000227603 00000 n +0000227829 00000 n +0000228086 00000 n +0000228177 00000 n +0000228372 00000 n +0000228481 00000 n +0000228682 00000 n +0000228746 00000 n +0000228964 00000 n +0000229212 00000 n +0000229519 00000 n +0000229824 00000 n +0000230156 00000 n +0000230556 00000 n +0000230977 00000 n +0000231038 00000 n +0000231216 00000 n +0000231515 00000 n +0000231829 00000 n +0000231896 00000 n +0000232078 00000 n +0000232272 00000 n +0000232512 00000 n +0000232603 00000 n +0000232802 00000 n +0000233137 00000 n +0000233499 00000 n +0000233789 00000 n +0000234084 00000 n +0000234306 00000 n +0000234569 00000 n +0000234834 00000 n +0000235121 00000 n +0000235357 00000 n +0000235606 00000 n +0000235964 00000 n +0000236345 00000 n +0000236570 00000 n +0000237199 00000 n +0000237682 00000 n +0000237928 00000 n +0000238049 00000 n +0000238262 00000 n +0000238564 00000 n +0000238900 00000 n +0000239134 00000 n +0000239604 00000 n +0000240040 00000 n +0000240387 00000 n +0000240737 00000 n +0000240963 00000 n +0000241695 00000 n +0000241931 00000 n +0000242191 00000 n +0000242432 00000 n +0000242786 00000 n +0000243185 00000 n +0000243261 00000 n +0000243477 00000 n +0000243707 00000 n +0000244213 00000 n +0000244717 00000 n +0000244962 00000 n +0000245262 00000 n +0000245494 00000 n +0000245707 00000 n +0000246026 00000 n +0000246105 00000 n +0000246293 00000 n +0000246643 00000 n +0000246971 00000 n +0000247344 00000 n +0000247726 00000 n +0000248049 00000 n +0000248374 00000 n +0000248601 00000 n +0000248897 00000 n +0000249201 00000 n +0000249528 00000 n +0000249628 00000 n +0000249822 00000 n +0000249913 00000 n +0000250110 00000 n +0000250325 00000 n +0000250577 00000 n +0000250653 00000 n +0000250839 00000 n +0000250951 00000 n +0000251199 00000 n +0000251399 00000 n +0000251669 00000 n +0000251972 00000 n +0000252260 00000 n +0000252602 00000 n +0000252945 00000 n +0000253297 00000 n +0000253650 00000 n +0000253928 00000 n +0000254214 00000 n +0000254344 00000 n +0000254607 00000 n +0000254802 00000 n +0000255083 00000 n +0000255307 00000 n +0000255534 00000 n +0000255896 00000 n +0000256246 00000 n +0000256490 00000 n +0000256560 00000 n +0000256844 00000 n +0000257068 00000 n +0000257280 00000 n +0000257589 00000 n +0000257897 00000 n +0000258222 00000 n +0000258461 00000 n +0000258531 00000 n +0000258816 00000 n +0000259070 00000 n +0000259356 00000 n +0000259589 00000 n +0000259909 00000 n +0000260275 00000 n +0000260457 00000 n +0000260679 00000 n +0000260908 00000 n +0000261264 00000 n +0000261617 00000 n +0000261805 00000 n +0000262042 00000 n +0000262273 00000 n +0000262596 00000 n +0000262929 00000 n +0000263162 00000 n +0000263440 00000 n +0000263667 00000 n +0000264260 00000 n +0000264728 00000 n +0000264980 00000 n +0000265266 00000 n +0000265339 00000 n +0000265574 00000 n +0000266391 00000 n +0000266912 00000 n +0000267106 00000 n +0000267339 00000 n +0000267887 00000 n +0000268302 00000 n +0000268662 00000 n +0000269037 00000 n +0000269514 00000 n +0000269932 00000 n +0000269993 00000 n +0000270166 00000 n +0000270257 00000 n +0000270453 00000 n +0000270695 00000 n +0000270974 00000 n +0000271228 00000 n +0000271512 00000 n +0000271566 00000 n +0000271737 00000 n +0000272120 00000 n +0000272461 00000 n +0000272632 00000 n +0000272884 00000 n +0000273172 00000 n +0000273564 00000 n +0000273919 00000 n +0000274104 00000 n +0000274334 00000 n +0000274993 00000 n +0000275538 00000 n +0000275821 00000 n +0000276127 00000 n +0000276607 00000 n +0000277152 00000 n +0000277410 00000 n +0000277703 00000 n +0000278166 00000 n +0000278636 00000 n +0000278813 00000 n +0000278904 00000 n +0000279107 00000 n +0000279345 00000 n +0000279625 00000 n +0000279822 00000 n +0000280062 00000 n +0000280346 00000 n +0000280636 00000 n +0000280965 00000 n +0000281318 00000 n +0000281854 00000 n +0000282238 00000 n +0000282432 00000 n +0000282654 00000 n +0000283115 00000 n +0000283476 00000 n +0000284006 00000 n +0000284403 00000 n +0000285161 00000 n +0000285527 00000 n +0000285848 00000 n +0000286449 00000 n +0000286975 00000 n +0000287295 00000 n +0000287625 00000 n +0000287918 00000 n +0000288259 00000 n +0000289063 00000 n +0000289863 00000 n +0000290400 00000 n +0000290566 00000 n +0000290780 00000 n +0000291115 00000 n +0000291417 00000 n +0000292106 00000 n +0000292643 00000 n +0000292946 00000 n +0000293282 00000 n +0000293361 00000 n +0000293549 00000 n +0000293909 00000 n +0000294286 00000 n +0000294594 00000 n +0000294922 00000 n +0000295195 00000 n +0000295577 00000 n +0000296107 00000 n +0000296546 00000 n +0000296818 00000 n +0000297116 00000 n +0000297778 00000 n +0000298265 00000 n +0000298326 00000 n +0000298501 00000 n +0000298628 00000 n +0000298844 00000 n +0000299180 00000 n +0000299605 00000 n +0000299922 00000 n +0000300261 00000 n +0000300803 00000 n +0000301182 00000 n +0000301279 00000 n +0000301483 00000 n +0000301821 00000 n +0000302167 00000 n +0000302448 00000 n +0000302724 00000 n +0000303002 00000 n +0000303305 00000 n +0000303574 00000 n +0000303850 00000 n +0000304191 00000 n +0000304547 00000 n +0000304951 00000 n +0000305397 00000 n +0000306167 00000 n +0000306697 00000 n +0000307230 00000 n +0000307521 00000 n +0000307834 00000 n +0000308558 00000 n +0000308819 00000 n +0000309118 00000 n +0000309323 00000 n +0000309771 00000 n +0000309956 00000 n +0000310186 00000 n +0000310424 00000 n +0000310880 00000 n +0000311182 00000 n +0000311481 00000 n +0000312167 00000 n +0000312704 00000 n +0000312761 00000 n +0000312937 00000 n +0000313257 00000 n +0000313594 00000 n +0000313655 00000 n +0000313835 00000 n +0000313941 00000 n +0000314183 00000 n +0000314371 00000 n +0000314613 00000 n +0000314941 00000 n +0000315249 00000 n +0000315545 00000 n +0000315848 00000 n +0000316312 00000 n +0000316779 00000 n +0000317093 00000 n +0000317428 00000 n +0000317687 00000 n +0000317963 00000 n +0000318279 00000 n +0000318621 00000 n +0000319298 00000 n +0000319825 00000 n +0000320049 00000 n +0000320312 00000 n +0000320594 00000 n +0000320893 00000 n +0000320954 00000 n +0000321129 00000 n +0000321353 00000 n +0000321603 00000 n +0000321733 00000 n +0000321945 00000 n +0000322277 00000 n +0000322623 00000 n +0000322882 00000 n +0000323172 00000 n +0000323500 00000 n +0000323834 00000 n +0000324091 00000 n +0000324386 00000 n +0000324462 00000 n +0000324683 00000 n +0000324993 00000 n +0000325306 00000 n +0000325497 00000 n +0000325725 00000 n +0000326011 00000 n +0000326320 00000 n +0000326444 00000 n +0000326654 00000 n +0000326839 00000 n +0000327075 00000 n +0000327335 00000 n +0000327636 00000 n +0000327889 00000 n +0000328172 00000 n +0000328447 00000 n +0000328750 00000 n +0000329078 00000 n +0000329403 00000 n +0000329482 00000 n +0000329673 00000 n +0000329993 00000 n +0000330328 00000 n +0000330684 00000 n +0000331041 00000 n +0000331523 00000 n +0000332060 00000 n +0000332257 00000 n +0000332510 00000 n +0000333049 00000 n +0000333583 00000 n +0000334321 00000 n +0000334538 00000 n +0000334980 00000 n +0000335175 00000 n +0000335616 00000 n +0000336334 00000 n +0000336879 00000 n +0000337213 00000 n +0000337547 00000 n +0000337882 00000 n +0000338376 00000 n +0000338714 00000 n +0000339075 00000 n +0000339288 00000 n +0000339726 00000 n +0000339980 00000 n +0000340246 00000 n +0000340474 00000 n +0000340938 00000 n +0000341038 00000 n +0000341270 00000 n +0000341491 00000 n +0000341921 00000 n +0000342127 00000 n +0000342409 00000 n +0000342482 00000 n +0000342668 00000 n +0000342959 00000 n +0000343257 00000 n +0000343583 00000 n +0000343911 00000 n +0000343990 00000 n +0000344176 00000 n +0000344258 00000 n +0000344449 00000 n +0000344506 00000 n +0000344678 00000 n +0000345129 00000 n +0000345521 00000 n +0000345770 00000 n +0000346055 00000 n +0000346230 00000 n +0000346303 00000 n +0000346526 00000 n +0000347261 00000 n +0000347798 00000 n +0000348076 00000 n +0000348390 00000 n +0000348472 00000 n +0000348681 00000 n +0000349046 00000 n +0000349426 00000 n +0000349747 00000 n +0000350087 00000 n +0000350353 00000 n +0000350671 00000 n +0000351243 00000 n +0000351718 00000 n +0000351998 00000 n +0000352300 00000 n +0000352539 00000 n +0000352812 00000 n +0000353012 00000 n +0000353252 00000 n +0000353587 00000 n +0000353937 00000 n +0000354004 00000 n +0000354186 00000 n +0000354271 00000 n +0000354498 00000 n +0000354628 00000 n +0000354838 00000 n +0000355059 00000 n +0000355316 00000 n +0000355434 00000 n +0000355648 00000 n +0000355718 00000 n +0000355936 00000 n +0000356224 00000 n +0000356532 00000 n +0000356849 00000 n +0000357187 00000 n +0000357462 00000 n +0000357785 00000 n +0000358009 00000 n +0000358262 00000 n +0000358497 00000 n +0000358762 00000 n +0000358844 00000 n +0000359030 00000 n +0000359390 00000 n +0000359738 00000 n +0000359926 00000 n +0000360366 00000 n +0000360638 00000 n +0000360944 00000 n +0000361074 00000 n +0000361293 00000 n +0000361567 00000 n +0000361855 00000 n +0000362158 00000 n +0000362497 00000 n +0000362907 00000 n +0000363218 00000 n +0000363394 00000 n +0000363622 00000 n +0000363793 00000 n +0000363969 00000 n +0000364042 00000 n +0000364223 00000 n +0000364519 00000 n +0000364804 00000 n +0000365360 00000 n +0000365781 00000 n +0000366062 00000 n +0000366368 00000 n +0000366909 00000 n +0000367377 00000 n +0000367652 00000 n +0000367972 00000 n +0000368069 00000 n +0000368319 00000 n +0000368422 00000 n +0000368670 00000 n +0000368916 00000 n +0000369217 00000 n +0000369393 00000 n +0000369627 00000 n +0000369700 00000 n +0000369940 00000 n +0000370125 00000 n +0000370353 00000 n +0000370568 00000 n +0000370811 00000 n +0000371104 00000 n +0000371389 00000 n +0000371675 00000 n +0000371980 00000 n +0000372181 00000 n +0000372432 00000 n +0000372950 00000 n +0000373371 00000 n +0000373646 00000 n +0000373953 00000 n +0000374050 00000 n +0000374255 00000 n +0000374535 00000 n +0000374928 00000 n +0000375037 00000 n +0000375238 00000 n +0000375531 00000 n +0000375840 00000 n +0000376252 00000 n +0000376654 00000 n +0000376904 00000 n +0000377205 00000 n +0000377513 00000 n +0000377862 00000 n +0000378203 00000 n +0000378580 00000 n +0000378901 00000 n +0000379232 00000 n +0000379299 00000 n +0000379511 00000 n +0000379720 00000 n +0000379973 00000 n +0000380264 00000 n +0000380574 00000 n +0000380692 00000 n +0000380905 00000 n +0000381026 00000 n +0000381233 00000 n +0000381550 00000 n +0000381886 00000 n +0000381956 00000 n +0000382176 00000 n +0000382511 00000 n +0000382862 00000 n +0000383125 00000 n +0000383439 00000 n +0000383715 00000 n +0000383999 00000 n +0000384320 00000 n +0000384669 00000 n +0000384863 00000 n +0000385099 00000 n +0000385359 00000 n +0000385642 00000 n +0000386104 00000 n +0000386556 00000 n +0000386974 00000 n +0000387452 00000 n +0000387641 00000 n +0000387879 00000 n +0000388460 00000 n +0000388850 00000 n +0000389084 00000 n +0000389332 00000 n +0000389435 00000 n +0000389637 00000 n +0000390361 00000 n +0000391135 00000 n +0000391663 00000 n +0000392204 00000 n +0000392749 00000 n +0000393581 00000 n +0000394126 00000 n +0000394909 00000 n +0000395454 00000 n +0000396213 00000 n +0000396758 00000 n +0000397378 00000 n +0000397771 00000 n +0000397877 00000 n +0000398081 00000 n +0000398819 00000 n +0000399364 00000 n +0000399485 00000 n +0000399737 00000 n +0000399913 00000 n +0000400215 00000 n +0000400522 00000 n +0000400854 00000 n +0000401213 00000 n +0000401544 00000 n +0000401868 00000 n +0000402062 00000 n +0000402298 00000 n +0000402602 00000 n +0000402930 00000 n +0000403256 00000 n +0000403591 00000 n +0000403924 00000 n +0000404279 00000 n +0000404495 00000 n +0000404766 00000 n +0000404948 00000 n +0000405171 00000 n +0000405244 00000 n +0000405472 00000 n +0000405539 00000 n +0000405755 00000 n +0000405980 00000 n +0000406229 00000 n +0000406504 00000 n +0000406825 00000 n +0000407133 00000 n +0000407465 00000 n +0000407592 00000 n +0000407801 00000 n +0000408129 00000 n +0000408452 00000 n +0000408737 00000 n +0000409027 00000 n +0000409200 00000 n +0000409415 00000 n +0000409591 00000 n +0000409809 00000 n +0000410173 00000 n +0000410564 00000 n +0000410910 00000 n +0000411331 00000 n +0000411814 00000 n +0000412359 00000 n +0000413036 00000 n +0000413581 00000 n +0000413793 00000 n +0000414229 00000 n +0000415023 00000 n +0000415394 00000 n +0000415896 00000 n +0000415993 00000 n +0000416188 00000 n +0000416357 00000 n +0000416685 00000 n +0000417107 00000 n +0000417437 00000 n +0000417601 00000 n +0000417926 00000 n +0000418294 00000 n +0000418691 00000 n +0000418935 00000 n +0000419334 00000 n +0000419672 00000 n +0000420038 00000 n +0000420277 00000 n +0000420527 00000 n +0000420799 00000 n +0000421060 00000 n +0000421166 00000 n +0000421370 00000 n +0000421702 00000 n +0000422018 00000 n +0000422617 00000 n +0000423025 00000 n +0000423092 00000 n +0000423294 00000 n +0000423475 00000 n +0000423751 00000 n +0000423975 00000 n +0000424246 00000 n +0000424313 00000 n +0000424530 00000 n +0000424751 00000 n +0000424997 00000 n +0000425301 00000 n +0000425624 00000 n +0000425890 00000 n +0000426158 00000 n +0000426552 00000 n +0000426917 00000 n +0000427211 00000 n +0000427549 00000 n +0000427752 00000 n +0000428114 00000 n +0000428330 00000 n +0000428574 00000 n +0000428695 00000 n +0000428904 00000 n +0000428968 00000 n +0000429144 00000 n +0000429437 00000 n +0000429767 00000 n +0000430086 00000 n +0000430511 00000 n +0000430870 00000 n +0000431275 00000 n +0000431448 00000 n +0000431782 00000 n +0000432076 00000 n +0000432485 00000 n +0000432703 00000 n +0000432959 00000 n +0000433180 00000 n +0000433463 00000 n +0000433734 00000 n +0000434050 00000 n +0000434165 00000 n +0000434389 00000 n +0000434586 00000 n +0000434821 00000 n +0000435279 00000 n +0000435816 00000 n +0000436113 00000 n +0000436439 00000 n +0000436719 00000 n +0000437233 00000 n +0000437564 00000 n +0000437937 00000 n +0000438308 00000 n +0000438823 00000 n +0000439342 00000 n +0000439815 00000 n +0000440278 00000 n +0000440815 00000 n +0000441539 00000 n +0000442281 00000 n +0000442586 00000 n +0000443119 00000 n +0000443594 00000 n +0000444139 00000 n +0000444827 00000 n +0000445364 00000 n +0000445671 00000 n +0000446153 00000 n +0000446875 00000 n +0000447621 00000 n +0000447973 00000 n +0000448505 00000 n +0000449243 00000 n +0000449788 00000 n +0000450612 00000 n +0000451157 00000 n +0000451651 00000 n +0000451990 00000 n +0000452072 00000 n +0000452261 00000 n +0000453084 00000 n +0000453621 00000 n +0000454416 00000 n +0000454953 00000 n +0000455754 00000 n +0000456521 00000 n +0000457066 00000 n +0000457800 00000 n +0000458337 00000 n +0000459076 00000 n +0000459613 00000 n +0000459846 00000 n +0000460085 00000 n +0000460741 00000 n +0000461165 00000 n +0000461392 00000 n +0000461681 00000 n +0000461907 00000 n +0000462149 00000 n +0000462379 00000 n +0000462631 00000 n +0000463427 00000 n +0000463964 00000 n +0000464749 00000 n +0000465006 00000 n +0000465302 00000 n +0000465586 00000 n +0000465911 00000 n +0000466186 00000 n +0000466496 00000 n +0000466617 00000 n +0000466835 00000 n +0000467593 00000 n +0000468138 00000 n +0000468422 00000 n +0000468720 00000 n +0000469520 00000 n +0000470065 00000 n +0000470296 00000 n +0000470811 00000 n +0000471258 00000 n +0000471480 00000 n +0000471974 00000 n +0000472406 00000 n +0000472470 00000 n +0000472717 00000 n +0000472920 00000 n +0000473162 00000 n +0000473662 00000 n +0000474048 00000 n +0000474362 00000 n +0000474688 00000 n +0000474969 00000 n +0000475232 00000 n +0000476038 00000 n +0000476583 00000 n +0000477302 00000 n +0000477847 00000 n +0000478201 00000 n +0000478585 00000 n +0000479121 00000 n +0000479570 00000 n +0000480375 00000 n +0000480920 00000 n +0000481671 00000 n +0000482216 00000 n +0000482938 00000 n +0000483383 00000 n +0000483580 00000 n +0000483864 00000 n +0000484584 00000 n +0000485129 00000 n +0000485940 00000 n +0000486174 00000 n +0000486395 00000 n +0000486643 00000 n +0000486867 00000 n +0000487226 00000 n +0000487565 00000 n +0000488092 00000 n +0000488496 00000 n +0000488611 00000 n +0000488873 00000 n +0000488991 00000 n +0000489238 00000 n +0000490011 00000 n +0000490548 00000 n +0000491036 00000 n +0000491420 00000 n +0000492239 00000 n +0000492776 00000 n +0000493069 00000 n +0000493331 00000 n +0000494035 00000 n +0000494580 00000 n +0000494808 00000 n +0000495500 00000 n +0000496045 00000 n +0000496371 00000 n +0000496701 00000 n +0000497171 00000 n +0000497505 00000 n +0000498053 00000 n +0000498452 00000 n +0000499274 00000 n +0000499806 00000 n +0000500078 00000 n +0000500326 00000 n +0000501003 00000 n +0000501548 00000 n +0000502315 00000 n +0000502860 00000 n +0000503659 00000 n +0000504204 00000 n +0000505037 00000 n +0000505582 00000 n +0000505810 00000 n +0000506073 00000 n +0000506383 00000 n +0000506444 00000 n +0000506677 00000 n +0000506976 00000 n +0000507304 00000 n +0000507545 00000 n +0000507765 00000 n +0000508502 00000 n +0000508958 00000 n +0000509197 00000 n +0000509486 00000 n +0000509710 00000 n +0000510018 00000 n +0000510335 00000 n +0000510628 00000 n +0000510944 00000 n +0000511255 00000 n +0000511590 00000 n +0000511811 00000 n +0000512485 00000 n +0000513030 00000 n +0000513266 00000 n +0000513988 00000 n +0000514533 00000 n +0000514762 00000 n +0000515517 00000 n +0000516062 00000 n +0000516306 00000 n +0000517057 00000 n +0000517602 00000 n +0000517814 00000 n +0000518050 00000 n +0000518862 00000 n +0000519407 00000 n +0000519598 00000 n +0000519880 00000 n +0000520181 00000 n +0000520506 00000 n +0000520814 00000 n +0000521124 00000 n +0000521218 00000 n +0000521412 00000 n +0000521488 00000 n +0000521681 00000 n +0000522013 00000 n +0000522342 00000 n +0000522638 00000 n +0000522957 00000 n +0000523084 00000 n +0000523347 00000 n +0000523613 00000 n +0000523894 00000 n +0000524621 00000 n +0000525158 00000 n +0000525997 00000 n +0000526534 00000 n +0000527274 00000 n +0000527818 00000 n +0000528591 00000 n +0000529136 00000 n +0000529924 00000 n +0000530461 00000 n +0000531232 00000 n +0000531769 00000 n +0000532481 00000 n +0000533026 00000 n +0000533818 00000 n +0000534363 00000 n +0000534582 00000 n +0000534809 00000 n +0000535042 00000 n +0000535262 00000 n +0000535332 00000 n +0000535513 00000 n +0000535758 00000 n +0000535840 00000 n +0000536029 00000 n +0000536263 00000 n +0000536580 00000 n +0000536912 00000 n +0000537123 00000 n +0000537416 00000 n +0000537726 00000 n +0000537974 00000 n +0000538053 00000 n +0000538241 00000 n +0000538472 00000 n +0000538551 00000 n +0000538740 00000 n +0000538973 00000 n +0000539269 00000 n +0000539592 00000 n +0000539893 00000 n +0000540199 00000 n +0000540269 00000 n +0000540449 00000 n +0000540549 00000 n +0000540751 00000 n +0000541103 00000 n +0000541470 00000 n +0000541889 00000 n +0000542304 00000 n +0000543101 00000 n +0000543646 00000 n +0000543918 00000 n +0000544215 00000 n +0000544979 00000 n +0000545524 00000 n +0000545884 00000 n +0000546252 00000 n +0000546683 00000 n +0000547096 00000 n +0000547818 00000 n +0000548355 00000 n +0000549146 00000 n +0000549929 00000 n +0000550474 00000 n +0000550698 00000 n +0000550926 00000 n +0000551147 00000 n +0000551386 00000 n +0000551686 00000 n +0000552018 00000 n +0000552238 00000 n +0000552545 00000 n +0000552853 00000 n +0000553099 00000 n +0000553160 00000 n +0000553337 00000 n +0000553573 00000 n +0000554025 00000 n +0000554351 00000 n +0000554590 00000 n +0000554660 00000 n +0000554842 00000 n +0000555060 00000 n +0000555773 00000 n +0000556301 00000 n +0000556528 00000 n +0000557318 00000 n +0000558097 00000 n +0000558642 00000 n +0000559471 00000 n +0000560016 00000 n +0000560077 00000 n +0000560257 00000 n +0000560977 00000 n +0000561522 00000 n +0000562310 00000 n +0000562428 00000 n +0000562632 00000 n +0000563391 00000 n +0000563936 00000 n +0000564733 00000 n +0000565486 00000 n +0000566031 00000 n +0000566851 00000 n +0000567396 00000 n +0000568157 00000 n +0000568702 00000 n +0000569490 00000 n +0000570035 00000 n +0000570823 00000 n +0000571368 00000 n +0000571596 00000 n +0000571946 00000 n +0000572294 00000 n +0000572527 00000 n +0000573321 00000 n +0000573653 00000 n +0000573975 00000 n +0000574274 00000 n +0000574577 00000 n +0000575019 00000 n +0000575512 00000 n +0000575730 00000 n +0000575797 00000 n +0000575977 00000 n +0000576213 00000 n +0000576596 00000 n +0000576914 00000 n +0000577140 00000 n +0000577556 00000 n +0000577947 00000 n +0000578184 00000 n +0000578693 00000 n +0000579116 00000 n +0000579908 00000 n +0000580114 00000 n +0000580344 00000 n +0000580772 00000 n +0000581117 00000 n +0000581235 00000 n +0000581517 00000 n +0000581623 00000 n +0000581829 00000 n +0000582545 00000 n +0000583090 00000 n +0000583832 00000 n +0000584377 00000 n +0000585203 00000 n +0000585748 00000 n +0000585815 00000 n +0000585999 00000 n +0000586277 00000 n +0000586585 00000 n +0000586905 00000 n +0000587253 00000 n +0000587542 00000 n +0000587846 00000 n +0000587919 00000 n +0000588103 00000 n +0000588349 00000 n +0000588629 00000 n +0000589431 00000 n +0000590212 00000 n +0000590993 00000 n +0000591801 00000 n +0000592098 00000 n +0000592430 00000 n +0000592867 00000 n +0000593236 00000 n +0000593521 00000 n +0000593823 00000 n +0000594240 00000 n +0000594699 00000 n +0000594760 00000 n +0000594937 00000 n +0000595650 00000 n +0000596125 00000 n +0000596255 00000 n +0000596471 00000 n +0000596715 00000 n +0000597059 00000 n +0000597404 00000 n +0000597623 00000 n +0000597844 00000 n +0000598090 00000 n +0000598530 00000 n +0000598937 00000 n +0000599176 00000 n +0000599443 00000 n +0000599742 00000 n +0000600034 00000 n +0000600267 00000 n +0000600526 00000 n +0000600747 00000 n +0000600988 00000 n +0000601159 00000 n +0000601418 00000 n +0000601527 00000 n +0000601773 00000 n +0000602068 00000 n +0000602355 00000 n +0000602671 00000 n +0000603013 00000 n +0000603348 00000 n +0000603692 00000 n +0000603979 00000 n +0000604289 00000 n +0000604471 00000 n +0000604740 00000 n +0000604906 00000 n +0000605173 00000 n +0000605420 00000 n +0000605710 00000 n +0000605889 00000 n +0000606117 00000 n +0000606503 00000 n +0000606864 00000 n +0000607099 00000 n +0000607319 00000 n +0000607544 00000 n +0000608146 00000 n +0000608600 00000 n +0000608825 00000 n +0000609381 00000 n +0000609856 00000 n +0000610044 00000 n +0000610278 00000 n +0000610475 00000 n +0000610701 00000 n +0000611042 00000 n +0000611346 00000 n +0000611698 00000 n +0000612021 00000 n +0000612254 00000 n +0000612614 00000 n +0000612944 00000 n +0000613333 00000 n +0000613688 00000 n +0000613900 00000 n +0000614207 00000 n +0000614437 00000 n +0000614763 00000 n +0000615131 00000 n +0000615598 00000 n +0000616016 00000 n +0000616212 00000 n +0000616446 00000 n +0000616676 00000 n +0000616976 00000 n +0000617314 00000 n +0000617674 00000 n +0000618330 00000 n +0000618770 00000 n +0000619059 00000 n +0000619358 00000 n +0000619527 00000 n +0000619752 00000 n +0000619876 00000 n +0000620094 00000 n +0000620158 00000 n +0000620334 00000 n +0000620651 00000 n +0000620986 00000 n +0000621095 00000 n +0000621302 00000 n +0000621578 00000 n +0000621870 00000 n +0000622170 00000 n +0000622479 00000 n +0000622543 00000 n +0000622719 00000 n +0000622979 00000 n +0000623279 00000 n +0000623489 00000 n +0000623726 00000 n +0000623901 00000 n +0000624132 00000 n +0000624406 00000 n +0000624711 00000 n +0000625057 00000 n +0000625341 00000 n +0000625649 00000 n +0000625725 00000 n +0000625911 00000 n +0000626184 00000 n +0000626488 00000 n +0000626715 00000 n +0000626946 00000 n +0000627182 00000 n +0000627508 00000 n +0000627842 00000 n +0000628206 00000 n +0000628528 00000 n +0000628774 00000 n +0000629572 00000 n +0000629798 00000 n +0000629928 00000 n +0000630147 00000 n +0000630677 00000 n +0000631055 00000 n +0000631224 00000 n +0000631441 00000 n +0000631698 00000 n +0000631943 00000 n +0000632188 00000 n +0000632460 00000 n +0000632692 00000 n +0000633009 00000 n +0000633354 00000 n +0000633722 00000 n +0000634073 00000 n +0000634351 00000 n +0000634660 00000 n +0000634908 00000 n +0000635204 00000 n +0000635325 00000 n +0000635542 00000 n +0000635742 00000 n +0000636020 00000 n +0000636108 00000 n +0000636316 00000 n +0000636522 00000 n +0000636775 00000 n +0000637056 00000 n +0000637332 00000 n +0000637668 00000 n +0000638000 00000 n +0000638121 00000 n +0000638351 00000 n +0000638524 00000 n +0000638783 00000 n +0000639067 00000 n +0000639352 00000 n +0000639674 00000 n +0000640019 00000 n +0000640354 00000 n +0000640705 00000 n +0000640998 00000 n +0000641280 00000 n +0000641518 00000 n +0000641754 00000 n +0000641989 00000 n +0000642218 00000 n +0000642438 00000 n +0000642676 00000 n +0000642902 00000 n +0000643147 00000 n +0000643653 00000 n +0000644022 00000 n +0000644261 00000 n +0000644494 00000 n +0000644600 00000 n +0000644813 00000 n +0000644943 00000 n +0000645153 00000 n +0000645412 00000 n +0000645698 00000 n +0000645825 00000 n +0000646036 00000 n +0000646387 00000 n +0000646714 00000 n +0000647044 00000 n +0000647372 00000 n +0000647626 00000 n +0000647931 00000 n +0000648104 00000 n +0000648331 00000 n +0000648398 00000 n +0000648612 00000 n +0000648914 00000 n +0000649247 00000 n +0000649556 00000 n +0000649891 00000 n +0000650123 00000 n +0000650405 00000 n +0000650719 00000 n +0000651056 00000 n +0000651413 00000 n +0000651746 00000 n +0000651828 00000 n +0000652049 00000 n +0000652339 00000 n +0000652638 00000 n +0000652823 00000 n +0000653045 00000 n +0000653381 00000 n +0000653725 00000 n +0000653825 00000 n +0000654024 00000 n +0000654689 00000 n +0000655130 00000 n +0000655765 00000 n +0000656194 00000 n +0000656511 00000 n +0000656862 00000 n +0000657319 00000 n +0000657712 00000 n +0000657939 00000 n +0000658210 00000 n +0000658322 00000 n +0000658566 00000 n +0000658623 00000 n +0000658797 00000 n +0000659091 00000 n +0000659397 00000 n +0000659615 00000 n +0000659856 00000 n +0000659959 00000 n +0000660157 00000 n +0000660491 00000 n +0000660828 00000 n +0000661039 00000 n +0000661281 00000 n +0000661592 00000 n +0000661916 00000 n +0000662381 00000 n +0000662740 00000 n +0000663180 00000 n +0000663528 00000 n +0000663905 00000 n +0000664248 00000 n +0000664442 00000 n +0000664680 00000 n +0000665404 00000 n +0000665936 00000 n +0000666452 00000 n +0000666869 00000 n +0000667123 00000 n +0000667431 00000 n +0000667751 00000 n +0000668103 00000 n +0000668580 00000 n +0000668979 00000 n +0000669421 00000 n +0000669827 00000 n +0000670116 00000 n +0000670603 00000 n +0000670996 00000 n +0000671496 00000 n +0000671891 00000 n +0000672429 00000 n +0000672735 00000 n +0000673251 00000 n +0000673740 00000 n +0000674277 00000 n +0000674344 00000 n +0000674526 00000 n +0000674898 00000 n +0000675421 00000 n +0000675745 00000 n +0000676059 00000 n +0000676347 00000 n +0000676832 00000 n +0000676893 00000 n +0000677069 00000 n +0000677443 00000 n +0000677785 00000 n +0000677954 00000 n +0000678173 00000 n +0000678698 00000 n +0000679150 00000 n +0000679387 00000 n +0000679632 00000 n +0000679888 00000 n +0000680177 00000 n +0000680510 00000 n +0000680838 00000 n +0000680938 00000 n +0000681135 00000 n +0000681205 00000 n +0000681393 00000 n +0000681700 00000 n +0000682022 00000 n +0000682381 00000 n +0000682677 00000 n +0000683060 00000 n +0000683421 00000 n +0000684188 00000 n +0000684499 00000 n +0000684837 00000 n +0000684934 00000 n +0000685130 00000 n +0000685569 00000 n +0000685954 00000 n +0000686218 00000 n +0000686516 00000 n +0000686917 00000 n +0000687298 00000 n +0000687365 00000 n +0000687577 00000 n +0000687759 00000 n +0000688011 00000 n +0000688251 00000 n +0000688534 00000 n +0000688743 00000 n +0000688990 00000 n +0000689102 00000 n +0000689313 00000 n +0000689945 00000 n +0000690482 00000 n +0000690570 00000 n +0000690762 00000 n +0000691165 00000 n +0000691510 00000 n +0000691830 00000 n +0000692155 00000 n +0000692676 00000 n +0000693213 00000 n +0000693289 00000 n +0000693478 00000 n +0000693746 00000 n +0000694012 00000 n +0000694320 00000 n +0000694647 00000 n +0000695175 00000 n +0000695645 00000 n +0000696306 00000 n +0000696784 00000 n +0000697151 00000 n +0000697601 00000 n +0000698229 00000 n +0000698735 00000 n +0000699182 00000 n +0000699548 00000 n +0000699908 00000 n +0000700278 00000 n +0000701055 00000 n +0000701463 00000 n +0000701865 00000 n +0000702059 00000 n +0000702295 00000 n +0000702615 00000 n +0000702945 00000 n +0000703280 00000 n +0000703625 00000 n +0000703900 00000 n +0000704209 00000 n +0000704436 00000 n +0000704720 00000 n +0000704790 00000 n +0000705008 00000 n +0000705247 00000 n +0000705499 00000 n +0000705835 00000 n +0000706163 00000 n +0000706233 00000 n +0000706448 00000 n +0000706795 00000 n +0000707131 00000 n +0000707518 00000 n +0000708023 00000 n +0000708699 00000 n +0000709244 00000 n +0000709734 00000 n +0000710279 00000 n +0000710929 00000 n +0000711409 00000 n +0000711901 00000 n +0000712323 00000 n +0000712432 00000 n +0000712634 00000 n +0000712957 00000 n +0000713291 00000 n +0000713554 00000 n +0000713823 00000 n +0000714062 00000 n +0000714498 00000 n +0000715300 00000 n +0000715837 00000 n +0000716019 00000 n +0000716244 00000 n +0000716961 00000 n +0000717482 00000 n +0000717785 00000 n +0000718212 00000 n +0000719024 00000 n +0000719569 00000 n +0000720285 00000 n +0000720830 00000 n +0000721574 00000 n +0000722111 00000 n +0000722912 00000 n +0000723449 00000 n +0000724185 00000 n +0000724730 00000 n +0000724942 00000 n +0000725233 00000 n +0000725336 00000 n +0000725567 00000 n +0000725649 00000 n +0000725839 00000 n +0000726180 00000 n +0000726503 00000 n +0000726573 00000 n +0000726757 00000 n +0000727086 00000 n +0000727423 00000 n +0000727499 00000 n +0000727687 00000 n +0000728034 00000 n +0000728372 00000 n +0000728602 00000 n +0000728854 00000 n +0000729050 00000 n +0000729300 00000 n +0000730046 00000 n +0000730591 00000 n +0000730901 00000 n +0000731339 00000 n +0000731492 00000 n +0000731844 00000 n +0000732048 00000 n +0000732407 00000 n +0000732653 00000 n +0000733067 00000 n +0000733877 00000 n +0000734422 00000 n +0000734586 00000 n +0000734932 00000 n +0000735288 00000 n +0000735665 00000 n +0000736369 00000 n +0000736798 00000 n +0000737214 00000 n +0000737479 00000 n +0000737907 00000 n +0000738722 00000 n +0000739259 00000 n +0000739549 00000 n +0000740009 00000 n +0000740293 00000 n +0000740602 00000 n +0000740775 00000 n +0000741115 00000 n +0000741879 00000 n +0000742415 00000 n +0000742762 00000 n +0000743134 00000 n +0000743568 00000 n +0000743987 00000 n +0000744776 00000 n +0000745580 00000 n +0000745668 00000 n +0000745889 00000 n +0000746147 00000 n +0000746421 00000 n +0000746754 00000 n +0000747095 00000 n +0000747421 00000 n +0000747770 00000 n +0000748087 00000 n +0000748405 00000 n +0000748595 00000 n +0000748847 00000 n +0000748959 00000 n +0000749215 00000 n +0000749470 00000 n +0000749745 00000 n +0000750063 00000 n +0000750405 00000 n +0000751217 00000 n +0000751738 00000 n +0000752006 00000 n +0000752411 00000 n +0000752696 00000 n +0000753121 00000 n +0000753331 00000 n +0000753700 00000 n +0000753942 00000 n +0000754302 00000 n +0000754508 00000 n +0000754836 00000 n +0000755658 00000 n +0000755930 00000 n +0000756299 00000 n +0000756973 00000 n +0000757443 00000 n +0000758234 00000 n +0000758382 00000 n +0000758735 00000 n +0000759016 00000 n +0000759309 00000 n +0000759549 00000 n +0000759858 00000 n +0000760668 00000 n +0000761046 00000 n +0000761436 00000 n +0000761607 00000 n +0000761851 00000 n +0000761978 00000 n +0000762185 00000 n +0000762894 00000 n +0000763429 00000 n +0000764016 00000 n +0000764477 00000 n +0000765097 00000 n +0000765549 00000 n +0000765616 00000 n +0000765867 00000 n +0000766623 00000 n +0000767135 00000 n +0000767506 00000 n +0000768282 00000 n +0000769057 00000 n +0000769594 00000 n +0000770091 00000 n +0000770505 00000 n +0000771293 00000 n +0000771776 00000 n +0000772188 00000 n +0000772655 00000 n +0000773075 00000 n +0000773248 00000 n +0000773473 00000 n +0000773819 00000 n +0000774195 00000 n +0000774911 00000 n +0000775448 00000 n +0000775730 00000 n +0000776014 00000 n +0000776312 00000 n +0000776745 00000 n +0000777180 00000 n +0000777580 00000 n +0000777885 00000 n +0000778299 00000 n +0000778943 00000 n +0000779428 00000 n +0000779984 00000 n +0000780388 00000 n +0000780455 00000 n +0000780661 00000 n +0000781266 00000 n +0000781725 00000 n +0000782126 00000 n +0000782500 00000 n +0000782570 00000 n +0000782751 00000 n +0000782818 00000 n +0000783040 00000 n +0000783568 00000 n +0000783956 00000 n +0000784026 00000 n +0000784213 00000 n +0000784391 00000 n +0000784726 00000 n +0000785157 00000 n +0000785517 00000 n +0000785745 00000 n +0000786009 00000 n +0000786287 00000 n +0000786562 00000 n +0000786668 00000 n +0000786868 00000 n +0000787163 00000 n +0000787472 00000 n +0000787687 00000 n +0000787976 00000 n +0000788361 00000 n +0000788810 00000 n +0000788880 00000 n +0000789114 00000 n +0000789286 00000 n +0000790028 00000 n +0000790522 00000 n +0000790986 00000 n +0000791374 00000 n +0000791923 00000 n +0000792468 00000 n +0000792716 00000 n +0000793026 00000 n +0000793248 00000 n +0000793894 00000 n +0000794439 00000 n +0000794786 00000 n +0000795241 00000 n +0000795595 00000 n +0000796063 00000 n +0000796256 00000 n +0000796644 00000 n +0000796818 00000 n +0000797172 00000 n +0000797362 00000 n +0000797732 00000 n +0000797984 00000 n +0000798267 00000 n +0000798453 00000 n +0000798822 00000 n +0000799056 00000 n +0000799328 00000 n +0000799506 00000 n +0000799842 00000 n +0000800096 00000 n +0000800355 00000 n +0000800482 00000 n +0000800764 00000 n +0000801141 00000 n +0000801464 00000 n +0000801624 00000 n +0000801979 00000 n +0000802425 00000 n +0000802816 00000 n +0000803229 00000 n +0000803607 00000 n +0000803674 00000 n +0000803929 00000 n +0000804500 00000 n +0000804947 00000 n +0000805277 00000 n +0000805652 00000 n +0000806025 00000 n +0000806379 00000 n +0000806485 00000 n +0000806715 00000 n +0000807338 00000 n +0000807816 00000 n +0000808224 00000 n +0000808602 00000 n +0000809133 00000 n +0000809663 00000 n +0000810058 00000 n +0000810458 00000 n +0000810903 00000 n +0000811303 00000 n +0000811910 00000 n +0000812447 00000 n +0000812994 00000 n +0000813531 00000 n +0000814099 00000 n +0000814630 00000 n +0000815200 00000 n +0000815745 00000 n +0000815984 00000 n +0000816272 00000 n +0000816526 00000 n +0000816821 00000 n +0000817018 00000 n +0000817432 00000 n +0000817629 00000 n +0000818006 00000 n +0000818124 00000 n +0000818412 00000 n +0000818643 00000 n +0000819037 00000 n +0000819429 00000 n +0000819791 00000 n +0000820060 00000 n +0000820431 00000 n +0000820666 00000 n +0000820978 00000 n +0000821500 00000 n +0000821962 00000 n +0000822390 00000 n +0000822833 00000 n +0000823114 00000 n +0000823453 00000 n +0000823863 00000 n +0000824293 00000 n +0000824951 00000 n +0000825496 00000 n +0000825723 00000 n +0000826041 00000 n +0000826370 00000 n +0000826664 00000 n +0000827193 00000 n +0000827654 00000 n +0000827899 00000 n +0000828190 00000 n +0000828508 00000 n +0000828869 00000 n +0000828933 00000 n +0000829162 00000 n +0000829596 00000 n +0000829988 00000 n +0000830247 00000 n +0000830553 00000 n +0000830650 00000 n +0000830851 00000 n +0000830921 00000 n +0000831135 00000 n +0000831371 00000 n +0000831669 00000 n +0000831739 00000 n +0000831959 00000 n +0000832130 00000 n +0000832369 00000 n +0000832656 00000 n +0000832937 00000 n +0000833267 00000 n +0000833506 00000 n +0000833778 00000 n +0000834154 00000 n +0000834557 00000 n +0000834979 00000 n +0000835319 00000 n +0000835801 00000 n +0000836272 00000 n +0000836787 00000 n +0000837263 00000 n +0000837667 00000 n +0000838057 00000 n +0000838709 00000 n +0000839254 00000 n +0000839515 00000 n +0000839835 00000 n +0000840038 00000 n +0000840343 00000 n +0000840555 00000 n +0000840808 00000 n +0000841349 00000 n +0000841779 00000 n +0000842156 00000 n +0000842528 00000 n +0000843267 00000 n +0000843361 00000 n +0000843560 00000 n +0000844348 00000 n +0000845028 00000 n +0000845485 00000 n +0000846251 00000 n +0000846771 00000 n +0000847572 00000 n +0000848109 00000 n +0000848843 00000 n +0000849388 00000 n +0000850139 00000 n +0000850684 00000 n +0000851202 00000 n +0000851553 00000 n +0000851632 00000 n +0000851820 00000 n +0000852188 00000 n +0000852590 00000 n +0000852958 00000 n +0000853337 00000 n +0000853636 00000 n +0000853962 00000 n +0000854189 00000 n +0000854475 00000 n +0000854837 00000 n +0000855195 00000 n +0000855920 00000 n +0000856457 00000 n +0000856789 00000 n +0000857150 00000 n +0000857533 00000 n +0000857926 00000 n +0000858120 00000 n +0000858366 00000 n +0000858644 00000 n +0000858941 00000 n +0000859728 00000 n +0000860068 00000 n +0000860419 00000 n +0000860672 00000 n +0000860942 00000 n +0000860996 00000 n +0000861167 00000 n +0000861362 00000 n +0000861601 00000 n +0000861876 00000 n +0000862144 00000 n +0000862779 00000 n +0000863200 00000 n +0000863499 00000 n +0000863759 00000 n +0000864506 00000 n +0000865027 00000 n +0000865840 00000 n +0000866377 00000 n +0000867162 00000 n +0000867707 00000 n +0000868359 00000 n +0000868885 00000 n +0000869313 00000 n +0000869790 00000 n +0000870107 00000 n +0000870572 00000 n +0000871100 00000 n +0000871624 00000 n +0000872177 00000 n +0000872710 00000 n +0000873082 00000 n +0000873466 00000 n +0000873807 00000 n +0000874171 00000 n +0000874274 00000 n +0000874483 00000 n +0000874806 00000 n +0000875139 00000 n +0000875221 00000 n +0000875452 00000 n +0000875898 00000 n +0000876285 00000 n +0000876598 00000 n +0000876907 00000 n +0000877500 00000 n +0000877982 00000 n +0000878293 00000 n +0000878627 00000 n +0000878968 00000 n +0000879353 00000 n +0000879679 00000 n +0000880032 00000 n +0000880478 00000 n +0000880900 00000 n +0000881121 00000 n +0000881368 00000 n +0000881832 00000 n +0000882333 00000 n +0000882418 00000 n +0000882654 00000 n +0000882967 00000 n +0000883283 00000 n +0000883522 00000 n +0000883813 00000 n +0000884240 00000 n +0000884736 00000 n +0000885302 00000 n +0000885714 00000 n +0000886053 00000 n +0000886538 00000 n +0000886767 00000 n +0000892916 00000 n +0000893192 00000 n +0000893415 00000 n +0000060996 00000 n +trailer +<<4189B3AA51FBE741AA664FD8AE20860C>]>> +startxref +0 +%%EOF + +3040 0 obj<>stream +xX}pTu?ҮVJ|쓐"d ,X:aVl.Dvk"MIۄ9c' vTJӍM:똸 +ƃ^IHZ;ɛy#ݏ;s-WzG8}992}f>"sz5<~W4:aUcꄃ׳0eX4JMf3ԄI\pO+6IlL +z`N0'%XMޔ 0`*tyIM&ԛ EN|#|扔92hLzS +]&l^CU䝝kO(r{ũ|" gqª4' &xeٍFy1`|*R!ӡWRt7 ˋC% O9mU(_p0u3S #7ߜg4]' +'?yMUTVMPŮobI$TVN_zVhd_N2m?y2a#Ezo S΋p'|ZU*o J AhU@~ ~YQ[ߓf׳.*3jkal'ME l,N/bg#O6 +n.Q\EVzRJ`K%geCڋ" d`Pϖ&O;6R'Nk'&e6Y'BysaY96K"Z(&iZr`PC^z%|) Gi/8 WRe1;ЏGr)N*s=vz?S +^n=IjI:ŢK \8-\&yYX͋b{̶[2=\ؽ8Ip>Wi|egXybnl +9 vaHjӲ܀k:]Xjŭ6iŘ4YhB ?Q+w!T%T-e\#ao0]6\r6,5q3n(E{[?rK1Sxb>#`_(j# GiT//0$ujXf iHOuEFLve|ٳK v57aVSiw%K!mīz** 7˚I7 g8+cVQK"gWFrLg)(9%PuX[äEh7N#>C*aMvWV+yA(h|GPz>a}>mM߀z8 }IWqB/Êf:WE rs:؃?!ga@΄\p$@ŕ㴚U Ś-|^ݟ,|HpE2lCCzW5]IAmdӁ?gvmXoF佮Ʌa>ۃYVjvLBh ^C'E*+΃%UMVjB|Vun^o7kJ/] 9Яt +į +fxJ>Z +(eVV"ɖٷ#V&-8+X|q%Z.Q@=߲ބ}SYEFirBpo]x Ih܍UZ{a퓻P˸<&5fan&_%k,( A4;֣$(끒d/-8.&𐱐E>n[g9N zޗ&7A~#=] d󈵙A;4^f.+R[hk/o|O+ũhv. 9 q#͒8+,1\Ei'=(f +.iV1(=.JhRmR#r2,\LuM9])fw]̄h)@{%.b[kRT+<Ieps]O6?{.A5]ͬa/ ̕ EOψNZDfG,ٰ̰ShMj7uc6Y>հz)rcBPPG1^PhKdnĬ +9&.r L2|4JM]4ʝZF38]=532--is1&]9f܍ul@{ /i`V? ;Qc,gb8! U;5V#.65 r\P+c.kMo@޸uZn:Zx"u{K"]sH[-LXV_6Y{?ɟ^1ɴ/H.s086REYNh#ԔDwr=2+.&Ss,byU#Əjl-lzT}ѼE9Gqs~OI^1^Nv>;`0)GYIR]%s%DuvFHcQ4,vj#Bh@Z{0]m4q66|._h +p_&%b\38Ki0׾!ވ;f܂/*+sZh?Bvw޷)zrq`۬%mh"43 e${]sbLrs\<DŽԝ*\IҢh|zNu}m$*3KVx|([~']ғrS~'!<?甴;^,ŘޢيӇQzU2;?3p;Fx؉߁wL1_Ũ+c#Z1o\ʫ@,{u"4=O|ٶ#vB2zl|(KǞ D;юǿqYn8͸GiwGUdVZ:ꬃD>r•+5o{f35m_n/ie\jfDe:vB r;]ԉobZD9ueSۼOLLø{3QgiN~@a+'oB +W_n +endstream endobj 7 0 obj<> endobj 8 0 obj<> endobj 9 0 obj<>/ColorSpace<>/Font<>/ProcSet[/PDF/Text/ImageC/ImageI]/ExtGState<>>> endobj 10 0 obj[/Indexed 573 0 R 24 2370 0 R] endobj 11 0 obj[/Indexed 573 0 R 194 1318 0 R] endobj 12 0 obj[/Indexed 573 0 R 86 1329 0 R] endobj 13 0 obj[/Indexed 573 0 R 65 1341 0 R] endobj 14 0 obj[/Indexed 573 0 R 194 1347 0 R] endobj 15 0 obj[/Indexed 573 0 R 88 1353 0 R] endobj 16 0 obj[/Indexed 573 0 R 151 1357 0 R] endobj 17 0 obj[/Indexed 573 0 R 225 2647 0 R] endobj 18 0 obj[/Indexed 573 0 R 87 2649 0 R] endobj 19 0 obj[/Indexed 573 0 R 116 2651 0 R] endobj 20 0 obj[/Indexed 573 0 R 195 2688 0 R] endobj 21 0 obj[/Indexed 573 0 R 64 2693 0 R] endobj 22 0 obj[/Indexed 573 0 R 28 2700 0 R] endobj 23 0 obj[/Indexed 573 0 R 210 2704 0 R] endobj 24 0 obj[/Indexed 573 0 R 166 2706 0 R] endobj 25 0 obj[/Indexed 573 0 R 177 2708 0 R] endobj 26 0 obj[/Indexed 573 0 R 4 2710 0 R] endobj 27 0 obj[/Indexed 573 0 R 152 2438 0 R] endobj 28 0 obj[/Indexed 573 0 R 145 2444 0 R] endobj 29 0 obj[/Indexed 573 0 R 121 2446 0 R] endobj 30 0 obj[/Indexed 573 0 R 90 2486 0 R] endobj 31 0 obj[/Indexed 573 0 R 135 1405 0 R] endobj 32 0 obj[/Indexed 573 0 R 100 2488 0 R] endobj 33 0 obj[/Indexed 573 0 R 60 1409 0 R] endobj 34 0 obj[/Indexed 573 0 R 14 2493 0 R] endobj 35 0 obj[/Indexed 573 0 R 196 1413 0 R] endobj 36 0 obj[/Indexed 573 0 R 74 2491 0 R] endobj 37 0 obj[/Indexed 573 0 R 2 1419 0 R] endobj 38 0 obj[/Indexed 573 0 R 59 2497 0 R] endobj 39 0 obj[/Indexed 573 0 R 60 1431 0 R] endobj 40 0 obj[/Indexed 573 0 R 107 2499 0 R] endobj 41 0 obj[/Indexed 573 0 R 81 1425 0 R] endobj 42 0 obj[/Indexed 573 0 R 119 2495 0 R] endobj 43 0 obj[/Indexed 573 0 R 34 1437 0 R] endobj 44 0 obj[/Indexed 573 0 R 32 1443 0 R] endobj 45 0 obj[/Indexed 573 0 R 66 1449 0 R] endobj 46 0 obj[/Indexed 573 0 R 8 1453 0 R] endobj 47 0 obj[/Indexed 573 0 R 185 2739 0 R] endobj 48 0 obj[/Indexed 573 0 R 4 2743 0 R] endobj 49 0 obj[/Indexed 573 0 R 104 2747 0 R] endobj 50 0 obj[/Indexed 573 0 R 4 2751 0 R] endobj 51 0 obj[/Indexed 573 0 R 5 2755 0 R] endobj 52 0 obj[/Indexed 573 0 R 114 2759 0 R] endobj 53 0 obj[/Indexed 573 0 R 63 2763 0 R] endobj 54 0 obj[/Indexed 573 0 R 49 2761 0 R] endobj 55 0 obj[/Indexed 573 0 R 17 2765 0 R] endobj 56 0 obj[/Indexed 573 0 R 75 2767 0 R] endobj 57 0 obj[/Indexed 573 0 R 4 2501 0 R] endobj 58 0 obj[/Indexed 573 0 R 31 2503 0 R] endobj 59 0 obj[/Indexed 573 0 R 35 2542 0 R] endobj 60 0 obj[/Indexed 573 0 R 77 2544 0 R] endobj 61 0 obj[/Indexed 573 0 R 57 1504 0 R] endobj 62 0 obj[/Indexed 573 0 R 82 2546 0 R] endobj 63 0 obj[/Indexed 573 0 R 6 1507 0 R] endobj 64 0 obj[/Indexed 573 0 R 62 2548 0 R] endobj 65 0 obj[/Indexed 573 0 R 63 1511 0 R] endobj 66 0 obj[/Indexed 573 0 R 49 2550 0 R] endobj 67 0 obj[/Indexed 573 0 R 83 1517 0 R] endobj 68 0 obj[/Indexed 573 0 R 5 2552 0 R] endobj 69 0 obj[/Indexed 573 0 R 67 1523 0 R] endobj 70 0 obj[/Indexed 573 0 R 51 2554 0 R] endobj 71 0 obj[/Indexed 573 0 R 82 1529 0 R] endobj 72 0 obj[/Indexed 573 0 R 86 2556 0 R] endobj 73 0 obj[/Indexed 573 0 R 25 1535 0 R] endobj 74 0 obj[/Indexed 573 0 R 5 1541 0 R] endobj 75 0 obj[/Indexed 573 0 R 63 1547 0 R] endobj 76 0 obj[/Indexed 573 0 R 51 1551 0 R] endobj 77 0 obj[/Indexed 573 0 R 59 2797 0 R] endobj 78 0 obj[/Indexed 573 0 R 55 2805 0 R] endobj 79 0 obj[/Indexed 573 0 R 52 2801 0 R] endobj 80 0 obj[/Indexed 573 0 R 96 2809 0 R] endobj 81 0 obj[/Indexed 573 0 R 119 2813 0 R] endobj 82 0 obj[/Indexed 573 0 R 4 2817 0 R] endobj 83 0 obj[/Indexed 573 0 R 168 2819 0 R] endobj 84 0 obj[/Indexed 573 0 R 88 2821 0 R] endobj 85 0 obj[/Indexed 573 0 R 96 2823 0 R] endobj 86 0 obj[/Indexed 573 0 R 17 2825 0 R] endobj 87 0 obj[/Indexed 573 0 R 5 2558 0 R] endobj 88 0 obj[/Indexed 573 0 R 86 2560 0 R] endobj 89 0 obj[/Indexed 573 0 R 45 2598 0 R] endobj 90 0 obj[/Indexed 573 0 R 16 2600 0 R] endobj 91 0 obj[/Indexed 573 0 R 32 1591 0 R] endobj 92 0 obj[/Indexed 573 0 R 9 2602 0 R] endobj 93 0 obj[/Indexed 573 0 R 32 1595 0 R] endobj 94 0 obj[/Indexed 573 0 R 5 2606 0 R] endobj 95 0 obj[/Indexed 573 0 R 71 1601 0 R] endobj 96 0 obj[/Indexed 573 0 R 81 2608 0 R] endobj 97 0 obj[/Indexed 573 0 R 143 1605 0 R] endobj 98 0 obj[/Indexed 573 0 R 84 2604 0 R] endobj 99 0 obj[/Indexed 573 0 R 77 1611 0 R] endobj 100 0 obj[/Indexed 573 0 R 7 2610 0 R] endobj 101 0 obj[/Indexed 573 0 R 113 1617 0 R] endobj 102 0 obj[/Indexed 573 0 R 48 2614 0 R] endobj 103 0 obj[/Indexed 573 0 R 84 1623 0 R] endobj 104 0 obj[/Indexed 573 0 R 41 1629 0 R] endobj 105 0 obj[/Indexed 573 0 R 21 1633 0 R] endobj 106 0 obj[/Indexed 573 0 R 76 1637 0 R] endobj 107 0 obj[/Indexed 573 0 R 101 2857 0 R] endobj 108 0 obj[/Indexed 573 0 R 50 2861 0 R] endobj 109 0 obj[/Indexed 573 0 R 126 2865 0 R] endobj 110 0 obj[/Indexed 573 0 R 116 2869 0 R] endobj 111 0 obj[/Indexed 573 0 R 49 2873 0 R] endobj 112 0 obj[/Indexed 573 0 R 155 2877 0 R] endobj 113 0 obj[/Indexed 573 0 R 52 2879 0 R] endobj 114 0 obj[/Indexed 573 0 R 84 2881 0 R] endobj 115 0 obj[/Indexed 573 0 R 3 2883 0 R] endobj 116 0 obj[/Indexed 573 0 R 115 2885 0 R] endobj 117 0 obj[/Indexed 573 0 R 91 2612 0 R] endobj 118 0 obj[/Indexed 573 0 R 37 2616 0 R] endobj 119 0 obj[/Indexed 573 0 R 11 2655 0 R] endobj 120 0 obj[/Indexed 573 0 R 58 2657 0 R] endobj 121 0 obj[/Indexed 573 0 R 17 2364 0 R] endobj 122 0 obj[/Indexed 573 0 R 84 2659 0 R] endobj 123 0 obj[/Indexed 573 0 R 25 2366 0 R] endobj 124 0 obj[/Indexed 573 0 R 79 2661 0 R] endobj 125 0 obj[/Indexed 573 0 R 58 2368 0 R] endobj 126 0 obj[/Indexed 573 0 R 76 2663 0 R] endobj 127 0 obj[/Indexed 573 0 R 91 2372 0 R] endobj 128 0 obj[/Indexed 573 0 R 38 2665 0 R] endobj 129 0 obj[/Indexed 573 0 R 240 1904 0 R] endobj 130 0 obj[/Indexed 573 0 R 19 2667 0 R] endobj 131 0 obj[/Indexed 573 0 R 85 2374 0 R] endobj 132 0 obj[/Indexed 573 0 R 57 2669 0 R] endobj 133 0 obj[/Indexed 573 0 R 57 2376 0 R] endobj 134 0 obj[/Indexed 573 0 R 28 2378 0 R] endobj 135 0 obj[/Indexed 573 0 R 4 2380 0 R] endobj 136 0 obj[/Indexed 573 0 R 71 2382 0 R] endobj 137 0 obj[/Indexed 573 0 R 60 2916 0 R] endobj 138 0 obj[/Indexed 573 0 R 41 2920 0 R] endobj 139 0 obj[/Indexed 573 0 R 98 2924 0 R] endobj 140 0 obj[/Indexed 573 0 R 13 2927 0 R] endobj 141 0 obj[/Indexed 573 0 R 197 2930 0 R] endobj 142 0 obj[/Indexed 573 0 R 238 2934 0 R] endobj 143 0 obj[/Indexed 573 0 R 216 2936 0 R] endobj 144 0 obj[/Indexed 573 0 R 223 2938 0 R] endobj 145 0 obj[/Indexed 573 0 R 143 2940 0 R] endobj 146 0 obj[/Indexed 573 0 R 8 2942 0 R] endobj 147 0 obj[/Indexed 573 0 R 81 2671 0 R] endobj 148 0 obj[/Indexed 573 0 R 250 2673 0 R] endobj 149 0 obj[/Indexed 573 0 R 141 2713 0 R] endobj 150 0 obj[/Indexed 573 0 R 251 2716 0 R] endobj 151 0 obj[/Indexed 573 0 R 77 2384 0 R] endobj 152 0 obj[/Indexed 573 0 R 154 2718 0 R] endobj 153 0 obj[/Indexed 573 0 R 52 2386 0 R] endobj 154 0 obj[/Indexed 573 0 R 135 2721 0 R] endobj 155 0 obj[/Indexed 573 0 R 75 2388 0 R] endobj 156 0 obj[/Indexed 573 0 R 28 2725 0 R] endobj 157 0 obj[/Indexed 573 0 R 95 2390 0 R] endobj 158 0 obj[/Indexed 573 0 R 93 2727 0 R] endobj 159 0 obj[/Indexed 573 0 R 9 2392 0 R] endobj 160 0 obj[/Indexed 573 0 R 130 2723 0 R] endobj 161 0 obj[/Indexed 573 0 R 69 2394 0 R] endobj 162 0 obj[/Indexed 573 0 R 42 2769 0 R] endobj 163 0 obj[/Indexed 573 0 R 32 2396 0 R] endobj 164 0 obj[/Indexed 573 0 R 87 2398 0 R] endobj 165 0 obj[/Indexed 573 0 R 15 2400 0 R] endobj 166 0 obj[/Indexed 573 0 R 192 2402 0 R] endobj 167 0 obj[/Indexed 573 0 R 218 1834 0 R] endobj 168 0 obj[/Indexed 573 0 R 247 1836 0 R] endobj 169 0 obj[/Indexed 573 0 R 135 1838 0 R] endobj 170 0 obj[/Indexed 573 0 R 9 1840 0 R] endobj 171 0 obj[/Indexed 573 0 R 250 1842 0 R] endobj 172 0 obj[/Indexed 573 0 R 239 1844 0 R] endobj 173 0 obj[/Indexed 573 0 R 226 1847 0 R] endobj 174 0 obj[/Indexed 573 0 R 215 1849 0 R] endobj 175 0 obj[/Indexed 573 0 R 218 1851 0 R] endobj 176 0 obj[/Indexed 573 0 R 229 1874 0 R] endobj 177 0 obj[/Indexed 573 0 R 106 2771 0 R] endobj 178 0 obj[/Indexed 573 0 R 5 2773 0 R] endobj 179 0 obj[/Indexed 573 0 R 220 2776 0 R] endobj 180 0 obj[/Indexed 573 0 R 132 2778 0 R] endobj 181 0 obj[/Indexed 573 0 R 175 2780 0 R] endobj 182 0 obj[/Indexed 573 0 R 118 2430 0 R] endobj 183 0 obj[/Indexed 573 0 R 53 2782 0 R] endobj 184 0 obj[/Indexed 573 0 R 35 2434 0 R] endobj 185 0 obj[/Indexed 573 0 R 193 2785 0 R] endobj 186 0 obj[/Indexed 573 0 R 184 2827 0 R] endobj 187 0 obj[/Indexed 573 0 R 122 2829 0 R] endobj 188 0 obj[/Indexed 573 0 R 168 2831 0 R] endobj 189 0 obj[/Indexed 573 0 R 239 1878 0 R] endobj 190 0 obj[/Indexed 573 0 R 142 1881 0 R] endobj 191 0 obj[/Indexed 573 0 R 135 1884 0 R] endobj 192 0 obj[/Indexed 573 0 R 38 1888 0 R] endobj 193 0 obj[/Indexed 573 0 R 75 1892 0 R] endobj 194 0 obj[/Indexed 573 0 R 239 1896 0 R] endobj 195 0 obj[/Indexed 573 0 R 210 1898 0 R] endobj 196 0 obj[/Indexed 573 0 R 90 1900 0 R] endobj 197 0 obj[/Indexed 573 0 R 149 1902 0 R] endobj 198 0 obj[/Indexed 573 0 R 231 1927 0 R] endobj 199 0 obj[/Indexed 573 0 R 107 2833 0 R] endobj 200 0 obj[/Indexed 573 0 R 122 2835 0 R] endobj 201 0 obj[/Indexed 573 0 R 188 2837 0 R] endobj 202 0 obj[/Indexed 573 0 R 172 2839 0 R] endobj 203 0 obj[/Indexed 573 0 R 172 2841 0 R] endobj 204 0 obj[/Indexed 573 0 R 181 2843 0 R] endobj 205 0 obj[/Indexed 573 0 R 61 2887 0 R] endobj 206 0 obj[/Indexed 573 0 R 14 2889 0 R] endobj 207 0 obj[/Indexed 573 0 R 50 2845 0 R] endobj 208 0 obj[/Indexed 573 0 R 5 2891 0 R] endobj 209 0 obj[/Indexed 573 0 R 246 1931 0 R] endobj 210 0 obj[/Indexed 573 0 R 205 1935 0 R] endobj 211 0 obj[/Indexed 573 0 R 201 1938 0 R] endobj 212 0 obj[/Indexed 573 0 R 127 1942 0 R] endobj 213 0 obj[/Indexed 573 0 R 196 1950 0 R] endobj 214 0 obj[/Indexed 573 0 R 245 1946 0 R] endobj 215 0 obj[/Indexed 573 0 R 231 1952 0 R] endobj 216 0 obj[/Indexed 573 0 R 238 1954 0 R] endobj 217 0 obj[/Indexed 573 0 R 248 1956 0 R] endobj 218 0 obj[/Indexed 573 0 R 195 1979 0 R] endobj 219 0 obj[/Indexed 573 0 R 49 2893 0 R] endobj 220 0 obj[/Indexed 573 0 R 5 2895 0 R] endobj 221 0 obj[/Indexed 573 0 R 64 2900 0 R] endobj 222 0 obj[/Indexed 573 0 R 50 2898 0 R] endobj 223 0 obj[/Indexed 573 0 R 50 2902 0 R] endobj 224 0 obj[/Indexed 573 0 R 99 2904 0 R] endobj 225 0 obj[/Indexed 573 0 R 97 2944 0 R] endobj 226 0 obj[/Indexed 573 0 R 96 2946 0 R] endobj 227 0 obj[/Indexed 573 0 R 70 2948 0 R] endobj 228 0 obj[/Indexed 573 0 R 46 2950 0 R] endobj 229 0 obj[/Indexed 573 0 R 211 1982 0 R] endobj 230 0 obj[/Indexed 573 0 R 224 1985 0 R] endobj 231 0 obj[/Indexed 573 0 R 225 1988 0 R] endobj 232 0 obj[/Indexed 573 0 R 242 1992 0 R] endobj 233 0 obj[/Indexed 573 0 R 84 1996 0 R] endobj 234 0 obj[/Indexed 573 0 R 13 2000 0 R] endobj 235 0 obj[/Indexed 573 0 R 7 2002 0 R] endobj 236 0 obj[/Indexed 573 0 R 95 2004 0 R] endobj 237 0 obj[/Indexed 573 0 R 82 2006 0 R] endobj 238 0 obj[/Indexed 573 0 R 5 2032 0 R] endobj 239 0 obj[/Indexed 573 0 R 93 2952 0 R] endobj 240 0 obj[/Indexed 573 0 R 212 2954 0 R] endobj 241 0 obj[/Indexed 573 0 R 81 2956 0 R] endobj 242 0 obj[/Indexed 573 0 R 100 2958 0 R] endobj 243 0 obj[/Indexed 573 0 R 35 2960 0 R] endobj 244 0 obj[/Indexed 573 0 R 63 2962 0 R] endobj 245 0 obj[/Indexed 573 0 R 189 1855 0 R] endobj 246 0 obj[/Indexed 573 0 R 46 1857 0 R] endobj 247 0 obj[/Indexed 573 0 R 240 1863 0 R] endobj 248 0 obj[/Indexed 573 0 R 8 1335 0 R] endobj 249 0 obj[/Indexed 573 0 R 9 2035 0 R] endobj 250 0 obj[/Indexed 573 0 R 89 2038 0 R] endobj 251 0 obj[/Indexed 573 0 R 81 2041 0 R] endobj 252 0 obj[/Indexed 573 0 R 8 2044 0 R] endobj 253 0 obj[/Indexed 573 0 R 8 2047 0 R] endobj 254 0 obj[/Indexed 573 0 R 82 2050 0 R] endobj 255 0 obj[/Indexed 573 0 R 84 2052 0 R] endobj 256 0 obj[/Indexed 573 0 R 5 2054 0 R] endobj 257 0 obj[/Indexed 573 0 R 15 2056 0 R] endobj 258 0 obj[/Indexed 573 0 R 82 2081 0 R] endobj 259 0 obj[/Indexed 573 0 R 223 1906 0 R] endobj 260 0 obj[/Indexed 573 0 R 211 1908 0 R] endobj 261 0 obj[/Indexed 573 0 R 36 1910 0 R] endobj 262 0 obj[/Indexed 573 0 R 212 1912 0 R] endobj 263 0 obj[/Indexed 573 0 R 58 1959 0 R] endobj 264 0 obj[/Indexed 573 0 R 70 1963 0 R] endobj 265 0 obj[/Indexed 573 0 R 216 1967 0 R] endobj 266 0 obj[/Indexed 573 0 R 50 1969 0 R] endobj 267 0 obj[/Indexed 573 0 R 73 1972 0 R] endobj 268 0 obj[/Indexed 573 0 R 24 2008 0 R] endobj 269 0 obj[/Indexed 573 0 R 92 1337 0 R] endobj 270 0 obj[/Indexed 573 0 R 147 1343 0 R] endobj 271 0 obj[/Indexed 573 0 R 2 1349 0 R] endobj 272 0 obj[/Indexed 573 0 R 14 1359 0 R] endobj 273 0 obj[/Indexed 573 0 R 76 1355 0 R] endobj 274 0 obj[/Indexed 573 0 R 69 1365 0 R] endobj 275 0 obj[/Indexed 573 0 R 83 1361 0 R] endobj 276 0 obj[/Indexed 573 0 R 45 1415 0 R] endobj 277 0 obj[/Indexed 573 0 R 45 1421 0 R] endobj 278 0 obj[/Indexed 573 0 R 61 1427 0 R] endobj 279 0 obj[/Indexed 573 0 R 63 1212 0 R] endobj 280 0 obj[/Indexed 573 0 R 25 1214 0 R] endobj 281 0 obj[/Indexed 573 0 R 36 1216 0 R] endobj 282 0 obj[/Indexed 573 0 R 57 1280 0 R] endobj 283 0 obj[/Indexed 573 0 R 32 1284 0 R] endobj 284 0 obj[/Indexed 573 0 R 69 1288 0 R] endobj 285 0 obj[/Indexed 573 0 R 61 1292 0 R] endobj 286 0 obj[/Indexed 573 0 R 53 1299 0 R] endobj 287 0 obj[/Indexed 573 0 R 12 1297 0 R] endobj 288 0 obj[/Indexed 573 0 R 36 1301 0 R] endobj 289 0 obj[/Indexed 573 0 R 133 1929 0 R] endobj 290 0 obj[/Indexed 573 0 R 68 1933 0 R] endobj 291 0 obj[/Indexed 573 0 R 79 1940 0 R] endobj 292 0 obj[/Indexed 573 0 R 153 1944 0 R] endobj 293 0 obj[/Indexed 573 0 R 70 1439 0 R] endobj 294 0 obj[/Indexed 573 0 R 61 1948 0 R] endobj 295 0 obj[/Indexed 573 0 R 7 1433 0 R] endobj 296 0 obj[/Indexed 573 0 R 68 1974 0 R] endobj 297 0 obj[/Indexed 573 0 R 57 1445 0 R] endobj 298 0 obj[/Indexed 573 0 R 74 1976 0 R] endobj 299 0 obj[/Indexed 573 0 R 84 1451 0 R] endobj 300 0 obj[/Indexed 573 0 R 1 1103 0 R] endobj 301 0 obj[/Indexed 573 0 R 82 1455 0 R] endobj 302 0 obj[/Indexed 573 0 R 2 1044 0 R] endobj 303 0 obj[/Indexed 573 0 R 89 1457 0 R] endobj 304 0 obj[/Indexed 573 0 R 41 1990 0 R] endobj 305 0 obj[/Indexed 573 0 R 36 1461 0 R] endobj 306 0 obj[/Indexed 573 0 R 9 1513 0 R] endobj 307 0 obj[/Indexed 573 0 R 59 1519 0 R] endobj 308 0 obj[/Indexed 573 0 R 53 1525 0 R] endobj 309 0 obj[/Indexed 573 0 R 80 1305 0 R] endobj 310 0 obj[/Indexed 573 0 R 65 1303 0 R] endobj 311 0 obj[/Indexed 573 0 R 72 1376 0 R] endobj 312 0 obj[/Indexed 573 0 R 63 1379 0 R] endobj 313 0 obj[/Indexed 573 0 R 32 1383 0 R] endobj 314 0 obj[/Indexed 573 0 R 1 1391 0 R] endobj 315 0 obj[/Indexed 573 0 R 73 1387 0 R] endobj 316 0 obj[/Indexed 573 0 R 81 1393 0 R] endobj 317 0 obj[/Indexed 573 0 R 2 1395 0 R] endobj 318 0 obj[/Indexed 573 0 R 17 1397 0 R] endobj 319 0 obj[/Indexed 573 0 R 34 1994 0 R] endobj 320 0 obj[/Indexed 573 0 R 73 1998 0 R] endobj 321 0 obj[/Indexed 573 0 R 2 1961 0 R] endobj 322 0 obj[/Indexed 573 0 R 87 2125 0 R] endobj 323 0 obj[/Indexed 573 0 R 4 1531 0 R] endobj 324 0 obj[/Indexed 573 0 R 70 2131 0 R] endobj 325 0 obj[/Indexed 573 0 R 44 1537 0 R] endobj 326 0 obj[/Indexed 573 0 R 116 2180 0 R] endobj 327 0 obj[/Indexed 573 0 R 71 1543 0 R] endobj 328 0 obj[/Indexed 573 0 R 116 2184 0 R] endobj 329 0 obj[/Indexed 573 0 R 45 1549 0 R] endobj 330 0 obj[/Indexed 573 0 R 208 2188 0 R] endobj 331 0 obj[/Indexed 573 0 R 9 1553 0 R] endobj 332 0 obj[/Indexed 573 0 R 117 2198 0 R] endobj 333 0 obj[/Indexed 573 0 R 66 1559 0 R] endobj 334 0 obj[/Indexed 573 0 R 99 2228 0 R] endobj 335 0 obj[/Indexed 573 0 R 91 1555 0 R] endobj 336 0 obj[/Indexed 573 0 R 42 1597 0 R] endobj 337 0 obj[/Indexed 573 0 R 39 1603 0 R] endobj 338 0 obj[/Indexed 573 0 R 67 1607 0 R] endobj 339 0 obj[/Indexed 573 0 R 33 1399 0 R] endobj 340 0 obj[/Indexed 573 0 R 82 1401 0 R] endobj 341 0 obj[/Indexed 573 0 R 86 1472 0 R] endobj 342 0 obj[/Indexed 573 0 R 83 1476 0 R] endobj 343 0 obj[/Indexed 573 0 R 55 1480 0 R] endobj 344 0 obj[/Indexed 573 0 R 15 1484 0 R] endobj 345 0 obj[/Indexed 573 0 R 39 1488 0 R] endobj 346 0 obj[/Indexed 573 0 R 6 1490 0 R] endobj 347 0 obj[/Indexed 573 0 R 70 1492 0 R] endobj 348 0 obj[/Indexed 573 0 R 82 1494 0 R] endobj 349 0 obj[/Indexed 573 0 R 33 2238 0 R] endobj 350 0 obj[/Indexed 573 0 R 84 2242 0 R] endobj 351 0 obj[/Indexed 573 0 R 100 2249 0 R] endobj 352 0 obj[/Indexed 573 0 R 79 2302 0 R] endobj 353 0 obj[/Indexed 573 0 R 58 1619 0 R] endobj 354 0 obj[/Indexed 573 0 R 147 2311 0 R] endobj 355 0 obj[/Indexed 573 0 R 18 1613 0 R] endobj 356 0 obj[/Indexed 573 0 R 56 2315 0 R] endobj 357 0 obj[/Indexed 573 0 R 82 1625 0 R] endobj 358 0 obj[/Indexed 573 0 R 93 2322 0 R] endobj 359 0 obj[/Indexed 573 0 R 71 1631 0 R] endobj 360 0 obj[/Indexed 573 0 R 139 2360 0 R] endobj 361 0 obj[/Indexed 573 0 R 22 1635 0 R] endobj 362 0 obj[/Indexed 573 0 R 50 2362 0 R] endobj 363 0 obj[/Indexed 573 0 R 5 1639 0 R] endobj 364 0 obj[/Indexed 573 0 R 100 1003 0 R] endobj 365 0 obj[/Indexed 573 0 R 58 1643 0 R] endobj 366 0 obj[/Indexed 573 0 R 85 1689 0 R] endobj 367 0 obj[/Indexed 573 0 R 77 1693 0 R] endobj 368 0 obj[/Indexed 573 0 R 82 1697 0 R] endobj 369 0 obj[/Indexed 573 0 R 8 1496 0 R] endobj 370 0 obj[/Indexed 573 0 R 9 1498 0 R] endobj 371 0 obj[/Indexed 573 0 R 6 1573 0 R] endobj 372 0 obj[/Indexed 573 0 R 107 1567 0 R] endobj 373 0 obj[/Indexed 573 0 R 69 1575 0 R] endobj 374 0 obj[/Indexed 573 0 R 166 1577 0 R] endobj 375 0 obj[/Indexed 573 0 R 64 1579 0 R] endobj 376 0 obj[/Indexed 573 0 R 167 1581 0 R] endobj 377 0 obj[/Indexed 573 0 R 62 1583 0 R] endobj 378 0 obj[/Indexed 573 0 R 141 1655 0 R] endobj 379 0 obj[/Indexed 573 0 R 154 1015 0 R] endobj 380 0 obj[/Indexed 573 0 R 37 1021 0 R] endobj 381 0 obj[/Indexed 573 0 R 107 1032 0 R] endobj 382 0 obj[/Indexed 573 0 R 3 1038 0 R] endobj 383 0 obj[/Indexed 573 0 R 31 1701 0 R] endobj 384 0 obj[/Indexed 573 0 R 2 1097 0 R] endobj 385 0 obj[/Indexed 573 0 R 4 1705 0 R] endobj 386 0 obj[/Indexed 573 0 R 3 1109 0 R] endobj 387 0 obj[/Indexed 573 0 R 62 1709 0 R] endobj 388 0 obj[/Indexed 573 0 R 87 1184 0 R] endobj 389 0 obj[/Indexed 573 0 R 24 1713 0 R] endobj 390 0 obj[/Indexed 573 0 R 6 1255 0 R] endobj 391 0 obj[/Indexed 573 0 R 84 1715 0 R] endobj 392 0 obj[/Indexed 573 0 R 149 1307 0 R] endobj 393 0 obj[/Indexed 573 0 R 69 1717 0 R] endobj 394 0 obj[/Indexed 573 0 R 35 1309 0 R] endobj 395 0 obj[/Indexed 573 0 R 29 1721 0 R] endobj 396 0 obj[/Indexed 573 0 R 225 2979 0 R] endobj 397 0 obj[/Indexed 573 0 R 253 2981 0 R] endobj 398 0 obj[/Indexed 573 0 R 232 2983 0 R] endobj 399 0 obj[/Indexed 573 0 R 164 1659 0 R] endobj 400 0 obj[/Indexed 573 0 R 16 1663 0 R] endobj 401 0 obj[/Indexed 573 0 R 231 1666 0 R] endobj 402 0 obj[/Indexed 573 0 R 249 1670 0 R] endobj 403 0 obj[/Indexed 573 0 R 233 1672 0 R] endobj 404 0 obj[/Indexed 573 0 R 227 1674 0 R] endobj 405 0 obj[/Indexed 573 0 R 177 1676 0 R] endobj 406 0 obj[/Indexed 573 0 R 17 1678 0 R] endobj 407 0 obj[/Indexed 573 0 R 218 1680 0 R] endobj 408 0 obj[/Indexed 573 0 R 14 1736 0 R] endobj 409 0 obj[/Indexed 573 0 R 147 1313 0 R] endobj 410 0 obj[/Indexed 573 0 R 97 1316 0 R] endobj 411 0 obj[/Indexed 573 0 R 68 1322 0 R] endobj 412 0 obj[/Indexed 573 0 R 85 1333 0 R] endobj 413 0 obj[/Indexed 573 0 R 193 2985 0 R] endobj 414 0 obj[/Indexed 573 0 R 29 1327 0 R] endobj 415 0 obj[/Indexed 573 0 R 130 2987 0 R] endobj 416 0 obj[/Indexed 573 0 R 72 1345 0 R] endobj 417 0 obj[/Indexed 573 0 R 99 2989 0 R] endobj 418 0 obj[/Indexed 573 0 R 73 1339 0 R] endobj 419 0 obj[/Indexed 573 0 R 164 2991 0 R] endobj 420 0 obj[/Indexed 573 0 R 69 1403 0 R] endobj 421 0 obj[/Indexed 573 0 R 171 2993 0 R] endobj 422 0 obj[/Indexed 573 0 R 89 1407 0 R] endobj 423 0 obj[/Indexed 573 0 R 97 2995 0 R] endobj 424 0 obj[/Indexed 573 0 R 24 1351 0 R] endobj 425 0 obj[/Indexed 573 0 R 84 2997 0 R] endobj 426 0 obj[/Indexed 573 0 R 16 2999 0 R] endobj 427 0 obj[/Indexed 573 0 R 78 3001 0 R] endobj 428 0 obj[/Indexed 573 0 R 122 3005 0 R] endobj 429 0 obj[/Indexed 573 0 R 111 1740 0 R] endobj 430 0 obj[/Indexed 573 0 R 93 1744 0 R] endobj 431 0 obj[/Indexed 573 0 R 83 1748 0 R] endobj 432 0 obj[/Indexed 573 0 R 50 1750 0 R] endobj 433 0 obj[/Indexed 573 0 R 61 1752 0 R] endobj 434 0 obj[/Indexed 573 0 R 17 1754 0 R] endobj 435 0 obj[/Indexed 573 0 R 81 1756 0 R] endobj 436 0 obj[/Indexed 573 0 R 170 1758 0 R] endobj 437 0 obj[/Indexed 573 0 R 182 2404 0 R] endobj 438 0 obj[/Indexed 573 0 R 76 2406 0 R] endobj 439 0 obj[/Indexed 573 0 R 75 1417 0 R] endobj 440 0 obj[/Indexed 573 0 R 89 1411 0 R] endobj 441 0 obj[/Indexed 573 0 R 25 1423 0 R] endobj 442 0 obj[/Indexed 573 0 R 87 1435 0 R] endobj 443 0 obj[/Indexed 573 0 R 172 3009 0 R] endobj 444 0 obj[/Indexed 573 0 R 95 1429 0 R] endobj 445 0 obj[/Indexed 573 0 R 85 3013 0 R] endobj 446 0 obj[/Indexed 573 0 R 23 1441 0 R] endobj 447 0 obj[/Indexed 573 0 R 119 3017 0 R] endobj 448 0 obj[/Indexed 573 0 R 62 1447 0 R] endobj 449 0 obj[/Indexed 573 0 R 154 3021 0 R] endobj 450 0 obj[/Indexed 573 0 R 125 1502 0 R] endobj 451 0 obj[/Indexed 573 0 R 88 3025 0 R] endobj 452 0 obj[/Indexed 573 0 R 1 991 0 R] endobj 453 0 obj[/Indexed 573 0 R 130 3029 0 R] endobj 454 0 obj[/Indexed 573 0 R 226 1509 0 R] endobj 455 0 obj[/Indexed 573 0 R 108 3033 0 R] endobj 456 0 obj[/Indexed 573 0 R 165 1005 0 R] endobj 457 0 obj[/Indexed 573 0 R 81 1008 0 R] endobj 458 0 obj[/Indexed 573 0 R 117 1017 0 R] endobj 459 0 obj[/Indexed 573 0 R 128 2408 0 R] endobj 460 0 obj[/Indexed 573 0 R 46 2410 0 R] endobj 461 0 obj[/Indexed 573 0 R 19 2412 0 R] endobj 462 0 obj[/Indexed 573 0 R 1 2414 0 R] endobj 463 0 obj[/Indexed 573 0 R 81 2416 0 R] endobj 464 0 obj[/Indexed 573 0 R 43 2418 0 R] endobj 465 0 obj[/Indexed 573 0 R 97 2422 0 R] endobj 466 0 obj[/Indexed 573 0 R 16 2420 0 R] endobj 467 0 obj[/Indexed 573 0 R 4 2458 0 R] endobj 468 0 obj[/Indexed 573 0 R 93 2462 0 R] endobj 469 0 obj[/Indexed 573 0 R 94 1515 0 R] endobj 470 0 obj[/Indexed 573 0 R 165 1521 0 R] endobj 471 0 obj[/Indexed 573 0 R 37 1527 0 R] endobj 472 0 obj[/Indexed 573 0 R 10 1533 0 R] endobj 473 0 obj[/Indexed 573 0 R 156 1023 0 R] endobj 474 0 obj[/Indexed 573 0 R 21 1539 0 R] endobj 475 0 obj[/Indexed 573 0 R 228 1028 0 R] endobj 476 0 obj[/Indexed 573 0 R 80 1545 0 R] endobj 477 0 obj[/Indexed 573 0 R 204 1034 0 R] endobj 478 0 obj[/Indexed 573 0 R 14 1585 0 R] endobj 479 0 obj[/Indexed 573 0 R 225 1040 0 R] endobj 480 0 obj[/Indexed 573 0 R 16 1587 0 R] endobj 481 0 obj[/Indexed 573 0 R 205 1078 0 R] endobj 482 0 obj[/Indexed 573 0 R 56 1589 0 R] endobj 483 0 obj[/Indexed 573 0 R 19 1083 0 R] endobj 484 0 obj[/Indexed 573 0 R 6 1593 0 R] endobj 485 0 obj[/Indexed 573 0 R 81 1088 0 R] endobj 486 0 obj[/Indexed 573 0 R 46 1093 0 R] endobj 487 0 obj[/Indexed 573 0 R 14 1099 0 R] endobj 488 0 obj[/Indexed 573 0 R 37 1105 0 R] endobj 489 0 obj[/Indexed 573 0 R 2 2466 0 R] endobj 490 0 obj[/Indexed 573 0 R 51 2474 0 R] endobj 491 0 obj[/Indexed 573 0 R 27 2470 0 R] endobj 492 0 obj[/Indexed 573 0 R 96 2478 0 R] endobj 493 0 obj[/Indexed 573 0 R 15 2480 0 R] endobj 494 0 obj[/Indexed 573 0 R 5 2482 0 R] endobj 495 0 obj[/Indexed 573 0 R 86 2484 0 R] endobj 496 0 obj[/Indexed 573 0 R 91 2517 0 R] endobj 497 0 obj[/Indexed 573 0 R 11 2513 0 R] endobj 498 0 obj[/Indexed 573 0 R 7 2521 0 R] endobj 499 0 obj[/Indexed 573 0 R 68 1599 0 R] endobj 500 0 obj[/Indexed 573 0 R 14 1609 0 R] endobj 501 0 obj[/Indexed 573 0 R 73 1621 0 R] endobj 502 0 obj[/Indexed 573 0 R 68 1615 0 R] endobj 503 0 obj[/Indexed 573 0 R 75 1111 0 R] endobj 504 0 obj[/Indexed 573 0 R 4 1627 0 R] endobj 505 0 obj[/Indexed 573 0 R 48 1116 0 R] endobj 506 0 obj[/Indexed 573 0 R 22 1682 0 R] endobj 507 0 obj[/Indexed 573 0 R 18 1120 0 R] endobj 508 0 obj[/Indexed 573 0 R 81 1687 0 R] endobj 509 0 obj[/Indexed 573 0 R 91 1150 0 R] endobj 510 0 obj[/Indexed 573 0 R 71 1685 0 R] endobj 511 0 obj[/Indexed 573 0 R 180 1153 0 R] endobj 512 0 obj[/Indexed 573 0 R 35 1691 0 R] endobj 513 0 obj[/Indexed 573 0 R 22 1156 0 R] endobj 514 0 obj[/Indexed 573 0 R 83 1695 0 R] endobj 515 0 obj[/Indexed 573 0 R 127 1161 0 R] endobj 516 0 obj[/Indexed 573 0 R 104 1170 0 R] endobj 517 0 obj[/Indexed 573 0 R 159 1175 0 R] endobj 518 0 obj[/Indexed 573 0 R 42 1180 0 R] endobj 519 0 obj[/Indexed 573 0 R 88 2525 0 R] endobj 520 0 obj[/Indexed 573 0 R 206 2529 0 R] endobj 521 0 obj[/Indexed 573 0 R 190 2533 0 R] endobj 522 0 obj[/Indexed 573 0 R 130 2535 0 R] endobj 523 0 obj[/Indexed 573 0 R 100 2537 0 R] endobj 524 0 obj[/Indexed 573 0 R 110 2540 0 R] endobj 525 0 obj[/Indexed 573 0 R 142 2570 0 R] endobj 526 0 obj[/Indexed 573 0 R 83 2574 0 R] endobj 527 0 obj[/Indexed 573 0 R 213 2584 0 R] endobj 528 0 obj[/Indexed 573 0 R 240 2580 0 R] endobj 529 0 obj[/Indexed 573 0 R 45 1699 0 R] endobj 530 0 obj[/Indexed 573 0 R 6 1703 0 R] endobj 531 0 obj[/Indexed 573 0 R 46 1707 0 R] endobj 532 0 obj[/Indexed 573 0 R 76 1711 0 R] endobj 533 0 obj[/Indexed 573 0 R 46 1190 0 R] endobj 534 0 obj[/Indexed 573 0 R 4 1760 0 R] endobj 535 0 obj[/Indexed 573 0 R 94 1220 0 R] endobj 536 0 obj[/Indexed 573 0 R 33 1762 0 R] endobj 537 0 obj[/Indexed 573 0 R 101 1186 0 R] endobj 538 0 obj[/Indexed 573 0 R 48 1764 0 R] endobj 539 0 obj[/Indexed 573 0 R 5 1223 0 R] endobj 540 0 obj[/Indexed 573 0 R 4 1766 0 R] endobj 541 0 obj[/Indexed 573 0 R 42 1226 0 R] endobj 542 0 obj[/Indexed 573 0 R 44 1768 0 R] endobj 543 0 obj[/Indexed 573 0 R 5 1231 0 R] endobj 544 0 obj[/Indexed 573 0 R 76 1770 0 R] endobj 545 0 obj[/Indexed 573 0 R 77 1236 0 R] endobj 546 0 obj[/Indexed 573 0 R 89 1241 0 R] endobj 547 0 obj[/Indexed 573 0 R 78 1246 0 R] endobj 548 0 obj[/Indexed 573 0 R 170 1251 0 R] endobj 549 0 obj[/Indexed 573 0 R 241 2588 0 R] endobj 550 0 obj[/Indexed 573 0 R 209 2590 0 R] endobj 551 0 obj[/Indexed 573 0 R 219 2592 0 R] endobj 552 0 obj[/Indexed 573 0 R 239 2594 0 R] endobj 553 0 obj[/Indexed 573 0 R 222 2596 0 R] endobj 554 0 obj[/Indexed 573 0 R 243 2628 0 R] endobj 555 0 obj[/Indexed 573 0 R 91 2632 0 R] endobj 556 0 obj[/Indexed 573 0 R 118 2635 0 R] endobj 557 0 obj[/Indexed 573 0 R 65 2643 0 R] endobj 558 0 obj[/Indexed 573 0 R 242 2639 0 R] endobj 559 0 obj[/Indexed 573 0 R 59 1772 0 R] endobj 560 0 obj[/Indexed 573 0 R 103 1774 0 R] endobj 561 0 obj[/Indexed 573 0 R 72 1776 0 R] endobj 562 0 obj[/Indexed 573 0 R 74 2426 0 R] endobj 563 0 obj[/Indexed 573 0 R 42 2424 0 R] endobj 564 0 obj[/Indexed 573 0 R 130 2428 0 R] endobj 565 0 obj[/Indexed 573 0 R 96 2432 0 R] endobj 566 0 obj[/Indexed 573 0 R 224 2436 0 R] endobj 567 0 obj[/Indexed 573 0 R 55 2440 0 R] endobj 568 0 obj[/Indexed 573 0 R 77 2442 0 R] endobj 569 0 obj[/Indexed 573 0 R 78 2266 0 R] endobj 570 0 obj[/Indexed 573 0 R 28 2268 0 R] endobj 571 0 obj[/Indexed 573 0 R 23 2270 0 R] endobj 572 0 obj[/Indexed 573 0 R 3 2272 0 R] endobj 573 0 obj[/ICCBased 976 0 R] endobj 574 0 obj[/Indexed 573 0 R 90 2274 0 R] endobj 575 0 obj[/Indexed 573 0 R 73 2278 0 R] endobj 576 0 obj[/Indexed 573 0 R 38 2258 0 R] endobj 577 0 obj[/Indexed 573 0 R 3 2282 0 R] endobj 578 0 obj[/Indexed 573 0 R 99 2262 0 R] endobj 579 0 obj[/Indexed 573 0 R 2 1267 0 R] endobj 580 0 obj[/Indexed 573 0 R 58 1271 0 R] endobj 581 0 obj[/Indexed 573 0 R 63 1273 0 R] endobj 582 0 obj[/Indexed 573 0 R 0 1275 0 R] endobj 583 0 obj[/Indexed 573 0 R 130 1282 0 R] endobj 584 0 obj[/Indexed 573 0 R 112 1277 0 R] endobj 585 0 obj[/Indexed 573 0 R 242 1286 0 R] endobj 586 0 obj[/Indexed 573 0 R 187 1290 0 R] endobj 587 0 obj[/Indexed 573 0 R 86 2084 0 R] endobj 588 0 obj[/Indexed 573 0 R 168 1294 0 R] endobj 589 0 obj[/Indexed 573 0 R 2 2087 0 R] endobj 590 0 obj[/Indexed 573 0 R 78 1363 0 R] endobj 591 0 obj[/Indexed 573 0 R 121 2090 0 R] endobj 592 0 obj[/Indexed 573 0 R 67 1367 0 R] endobj 593 0 obj[/Indexed 573 0 R 5 2093 0 R] endobj 594 0 obj[/Indexed 573 0 R 102 1369 0 R] endobj 595 0 obj[/Indexed 573 0 R 208 2096 0 R] endobj 596 0 obj[/Indexed 573 0 R 131 1371 0 R] endobj 597 0 obj[/Indexed 573 0 R 232 2100 0 R] endobj 598 0 obj[/Indexed 573 0 R 252 2102 0 R] endobj 599 0 obj[/Indexed 573 0 R 2 2104 0 R] endobj 600 0 obj[/Indexed 573 0 R 145 2133 0 R] endobj 601 0 obj[/Indexed 573 0 R 96 2129 0 R] endobj 602 0 obj[/Indexed 573 0 R 59 2010 0 R] endobj 603 0 obj[/Indexed 573 0 R 218 2012 0 R] endobj 604 0 obj[/Indexed 573 0 R 254 2014 0 R] endobj 605 0 obj[/Indexed 573 0 R 217 2016 0 R] endobj 606 0 obj[/Indexed 573 0 R 228 2018 0 R] endobj 607 0 obj[/Indexed 573 0 R 234 2020 0 R] endobj 608 0 obj[/Indexed 573 0 R 229 2022 0 R] endobj 609 0 obj[/Indexed 573 0 R 213 2024 0 R] endobj 610 0 obj[/Indexed 573 0 R 236 2026 0 R] endobj 611 0 obj[/Indexed 573 0 R 89 2058 0 R] endobj 612 0 obj[/Indexed 573 0 R 171 1374 0 R] endobj 613 0 obj[/Indexed 573 0 R 113 2464 0 R] endobj 614 0 obj[/Indexed 573 0 R 61 1381 0 R] endobj 615 0 obj[/Indexed 573 0 R 105 2468 0 R] endobj 616 0 obj[/Indexed 573 0 R 78 1385 0 R] endobj 617 0 obj[/Indexed 573 0 R 181 2472 0 R] endobj 618 0 obj[/Indexed 573 0 R 245 1389 0 R] endobj 619 0 obj[/Indexed 573 0 R 64 2476 0 R] endobj 620 0 obj[/Indexed 573 0 R 185 1459 0 R] endobj 621 0 obj[/Indexed 573 0 R 98 2139 0 R] endobj 622 0 obj[/Indexed 573 0 R 43 2507 0 R] endobj 623 0 obj[/Indexed 573 0 R 174 1463 0 R] endobj 624 0 obj[/Indexed 573 0 R 109 2142 0 R] endobj 625 0 obj[/Indexed 573 0 R 59 2505 0 R] endobj 626 0 obj[/Indexed 573 0 R 65 1466 0 R] endobj 627 0 obj[/Indexed 573 0 R 4 2136 0 R] endobj 628 0 obj[/Indexed 573 0 R 19 2509 0 R] endobj 629 0 obj[/Indexed 573 0 R 60 1468 0 R] endobj 630 0 obj[/Indexed 573 0 R 39 2148 0 R] endobj 631 0 obj[/Indexed 573 0 R 108 2515 0 R] endobj 632 0 obj[/Indexed 573 0 R 241 1470 0 R] endobj 633 0 obj[/Indexed 573 0 R 140 2145 0 R] endobj 634 0 obj[/Indexed 573 0 R 242 2511 0 R] endobj 635 0 obj[/Indexed 573 0 R 109 1474 0 R] endobj 636 0 obj[/Indexed 573 0 R 113 2150 0 R] endobj 637 0 obj[/Indexed 573 0 R 201 2519 0 R] endobj 638 0 obj[/Indexed 573 0 R 21 2152 0 R] endobj 639 0 obj[/Indexed 573 0 R 17 2154 0 R] endobj 640 0 obj[/Indexed 573 0 R 81 2178 0 R] endobj 641 0 obj[/Indexed 573 0 R 77 2182 0 R] endobj 642 0 obj[/Indexed 573 0 R 113 2060 0 R] endobj 643 0 obj[/Indexed 573 0 R 61 2064 0 R] endobj 644 0 obj[/Indexed 573 0 R 236 2062 0 R] endobj 645 0 obj[/Indexed 573 0 R 225 2066 0 R] endobj 646 0 obj[/Indexed 573 0 R 92 2068 0 R] endobj 647 0 obj[/Indexed 573 0 R 120 2070 0 R] endobj 648 0 obj[/Indexed 573 0 R 211 2072 0 R] endobj 649 0 obj[/Indexed 573 0 R 232 2075 0 R] endobj 650 0 obj[/Indexed 573 0 R 211 2106 0 R] endobj 651 0 obj[/Indexed 573 0 R 21 2109 0 R] endobj 652 0 obj[/Indexed 573 0 R 64 1478 0 R] endobj 653 0 obj[/Indexed 573 0 R 65 2523 0 R] endobj 654 0 obj[/Indexed 573 0 R 75 1482 0 R] endobj 655 0 obj[/Indexed 573 0 R 181 2527 0 R] endobj 656 0 obj[/Indexed 573 0 R 67 1486 0 R] endobj 657 0 obj[/Indexed 573 0 R 116 2531 0 R] endobj 658 0 obj[/Indexed 573 0 R 55 1557 0 R] endobj 659 0 obj[/Indexed 573 0 R 146 2562 0 R] endobj 660 0 obj[/Indexed 573 0 R 25 1561 0 R] endobj 661 0 obj[/Indexed 573 0 R 2 2186 0 R] endobj 662 0 obj[/Indexed 573 0 R 253 2564 0 R] endobj 663 0 obj[/Indexed 573 0 R 85 1565 0 R] endobj 664 0 obj[/Indexed 573 0 R 25 2190 0 R] endobj 665 0 obj[/Indexed 573 0 R 185 2566 0 R] endobj 666 0 obj[/Indexed 573 0 R 71 1563 0 R] endobj 667 0 obj[/Indexed 573 0 R 102 2193 0 R] endobj 668 0 obj[/Indexed 573 0 R 18 2572 0 R] endobj 669 0 obj[/Indexed 573 0 R 31 1569 0 R] endobj 670 0 obj[/Indexed 573 0 R 51 2200 0 R] endobj 671 0 obj[/Indexed 573 0 R 62 2576 0 R] endobj 672 0 obj[/Indexed 573 0 R 1 1500 0 R] endobj 673 0 obj[/Indexed 573 0 R 50 2196 0 R] endobj 674 0 obj[/Indexed 573 0 R 209 2568 0 R] endobj 675 0 obj[/Indexed 573 0 R 99 1641 0 R] endobj 676 0 obj[/Indexed 573 0 R 71 2202 0 R] endobj 677 0 obj[/Indexed 573 0 R 31 2582 0 R] endobj 678 0 obj[/Indexed 573 0 R 50 2204 0 R] endobj 679 0 obj[/Indexed 573 0 R 44 2206 0 R] endobj 680 0 obj[/Indexed 573 0 R 164 2236 0 R] endobj 681 0 obj[/Indexed 573 0 R 179 2233 0 R] endobj 682 0 obj[/Indexed 573 0 R 227 2111 0 R] endobj 683 0 obj[/Indexed 573 0 R 227 2114 0 R] endobj 684 0 obj[/Indexed 573 0 R 247 2116 0 R] endobj 685 0 obj[/Indexed 573 0 R 224 2118 0 R] endobj 686 0 obj[/Indexed 573 0 R 233 2120 0 R] endobj 687 0 obj[/Indexed 573 0 R 234 2122 0 R] endobj 688 0 obj[/Indexed 573 0 R 209 2156 0 R] endobj 689 0 obj[/Indexed 573 0 R 224 2158 0 R] endobj 690 0 obj[/Indexed 573 0 R 250 2160 0 R] endobj 691 0 obj[/Indexed 573 0 R 4 2162 0 R] endobj 692 0 obj[/Indexed 573 0 R 73 1645 0 R] endobj 693 0 obj[/Indexed 573 0 R 72 2578 0 R] endobj 694 0 obj[/Indexed 573 0 R 38 1649 0 R] endobj 695 0 obj[/Indexed 573 0 R 98 2586 0 R] endobj 696 0 obj[/Indexed 573 0 R 91 1647 0 R] endobj 697 0 obj[/Indexed 573 0 R 251 2618 0 R] endobj 698 0 obj[/Indexed 573 0 R 66 1651 0 R] endobj 699 0 obj[/Indexed 573 0 R 95 2620 0 R] endobj 700 0 obj[/Indexed 573 0 R 36 1657 0 R] endobj 701 0 obj[/Indexed 573 0 R 93 2244 0 R] endobj 702 0 obj[/Indexed 573 0 R 30 2622 0 R] endobj 703 0 obj[/Indexed 573 0 R 170 1653 0 R] endobj 704 0 obj[/Indexed 573 0 R 36 2240 0 R] endobj 705 0 obj[/Indexed 573 0 R 50 2624 0 R] endobj 706 0 obj[/Indexed 573 0 R 57 1661 0 R] endobj 707 0 obj[/Indexed 573 0 R 97 2247 0 R] endobj 708 0 obj[/Indexed 573 0 R 69 2626 0 R] endobj 709 0 obj[/Indexed 573 0 R 216 1668 0 R] endobj 710 0 obj[/Indexed 573 0 R 41 2251 0 R] endobj 711 0 obj[/Indexed 573 0 R 35 2630 0 R] endobj 712 0 obj[/Indexed 573 0 R 29 1719 0 R] endobj 713 0 obj[/Indexed 573 0 R 84 2254 0 R] endobj 714 0 obj[/Indexed 573 0 R 77 2637 0 R] endobj 715 0 obj[/Indexed 573 0 R 103 1725 0 R] endobj 716 0 obj[/Indexed 573 0 R 126 2256 0 R] endobj 717 0 obj[/Indexed 573 0 R 106 2641 0 R] endobj 718 0 obj[/Indexed 573 0 R 47 2260 0 R] endobj 719 0 obj[/Indexed 573 0 R 195 2264 0 R] endobj 720 0 obj[/Indexed 573 0 R 98 2304 0 R] endobj 721 0 obj[/Indexed 573 0 R 25 2309 0 R] endobj 722 0 obj[/Indexed 573 0 R 77 2166 0 R] endobj 723 0 obj[/Indexed 573 0 R 63 2164 0 R] endobj 724 0 obj[/Indexed 573 0 R 71 2168 0 R] endobj 725 0 obj[/Indexed 573 0 R 6 2170 0 R] endobj 726 0 obj[/Indexed 573 0 R 55 2172 0 R] endobj 727 0 obj[/Indexed 573 0 R 31 2208 0 R] endobj 728 0 obj[/Indexed 573 0 R 18 2210 0 R] endobj 729 0 obj[/Indexed 573 0 R 70 2212 0 R] endobj 730 0 obj[/Indexed 573 0 R 78 2214 0 R] endobj 731 0 obj[/Indexed 573 0 R 83 2216 0 R] endobj 732 0 obj[/Indexed 573 0 R 106 1723 0 R] endobj 733 0 obj[/Indexed 573 0 R 40 2645 0 R] endobj 734 0 obj[/Indexed 573 0 R 164 1727 0 R] endobj 735 0 obj[/Indexed 573 0 R 76 2675 0 R] endobj 736 0 obj[/Indexed 573 0 R 252 1729 0 R] endobj 737 0 obj[/Indexed 573 0 R 82 2677 0 R] endobj 738 0 obj[/Indexed 573 0 R 66 1731 0 R] endobj 739 0 obj[/Indexed 573 0 R 55 2679 0 R] endobj 740 0 obj[/Indexed 573 0 R 142 1734 0 R] endobj 741 0 obj[/Indexed 573 0 R 27 2313 0 R] endobj 742 0 obj[/Indexed 573 0 R 66 2681 0 R] endobj 743 0 obj[/Indexed 573 0 R 39 1738 0 R] endobj 744 0 obj[/Indexed 573 0 R 76 2320 0 R] endobj 745 0 obj[/Indexed 573 0 R 49 2683 0 R] endobj 746 0 obj[/Indexed 573 0 R 38 1742 0 R] endobj 747 0 obj[/Indexed 573 0 R 52 2317 0 R] endobj 748 0 obj[/Indexed 573 0 R 75 2686 0 R] endobj 749 0 obj[/Indexed 573 0 R 67 1746 0 R] endobj 750 0 obj[/Indexed 573 0 R 67 2324 0 R] endobj 751 0 obj[/Indexed 573 0 R 30 2691 0 R] endobj 752 0 obj[/Indexed 573 0 R 51 1778 0 R] endobj 753 0 obj[/Indexed 573 0 R 56 2326 0 R] endobj 754 0 obj[/Indexed 573 0 R 63 2695 0 R] endobj 755 0 obj[/Indexed 573 0 R 44 1780 0 R] endobj 756 0 obj[/Indexed 573 0 R 22 2328 0 R] endobj 757 0 obj[/Indexed 573 0 R 107 2698 0 R] endobj 758 0 obj[/Indexed 573 0 R 9 3003 0 R] endobj 759 0 obj[/Indexed 573 0 R 37 2330 0 R] endobj 760 0 obj[/Indexed 573 0 R 78 3007 0 R] endobj 761 0 obj[/Indexed 573 0 R 77 3011 0 R] endobj 762 0 obj[/Indexed 573 0 R 66 2218 0 R] endobj 763 0 obj[/Indexed 573 0 R 32 2220 0 R] endobj 764 0 obj[/Indexed 573 0 R 26 2222 0 R] endobj 765 0 obj[/Indexed 573 0 R 56 2224 0 R] endobj 766 0 obj[/Indexed 573 0 R 30 2226 0 R] endobj 767 0 obj[/Indexed 573 0 R 18 2276 0 R] endobj 768 0 obj[/Indexed 573 0 R 74 2280 0 R] endobj 769 0 obj[/Indexed 573 0 R 62 2284 0 R] endobj 770 0 obj[/Indexed 573 0 R 41 2286 0 R] endobj 771 0 obj[/Indexed 573 0 R 49 2289 0 R] endobj 772 0 obj[/Indexed 573 0 R 22 1782 0 R] endobj 773 0 obj[/Indexed 573 0 R 24 2702 0 R] endobj 774 0 obj[/Indexed 573 0 R 3 1784 0 R] endobj 775 0 obj[/Indexed 573 0 R 225 2729 0 R] endobj 776 0 obj[/Indexed 573 0 R 70 1786 0 R] endobj 777 0 obj[/Indexed 573 0 R 66 2731 0 R] endobj 778 0 obj[/Indexed 573 0 R 91 1788 0 R] endobj 779 0 obj[/Indexed 573 0 R 95 2733 0 R] endobj 780 0 obj[/Indexed 573 0 R 129 2735 0 R] endobj 781 0 obj[/Indexed 573 0 R 106 1790 0 R] endobj 782 0 obj[/Indexed 573 0 R 80 3015 0 R] endobj 783 0 obj[/Indexed 573 0 R 94 2737 0 R] endobj 784 0 obj[/Indexed 573 0 R 44 3019 0 R] endobj 785 0 obj[/Indexed 573 0 R 39 1792 0 R] endobj 786 0 obj[/Indexed 573 0 R 165 2741 0 R] endobj 787 0 obj[/Indexed 573 0 R 10 3023 0 R] endobj 788 0 obj[/Indexed 573 0 R 82 1794 0 R] endobj 789 0 obj[/Indexed 573 0 R 180 2745 0 R] endobj 790 0 obj[/Indexed 573 0 R 50 3027 0 R] endobj 791 0 obj[/Indexed 573 0 R 43 1796 0 R] endobj 792 0 obj[/Indexed 573 0 R 5 2749 0 R] endobj 793 0 obj[/Indexed 573 0 R 159 3031 0 R] endobj 794 0 obj[/Indexed 573 0 R 44 1798 0 R] endobj 795 0 obj[/Indexed 573 0 R 151 2753 0 R] endobj 796 0 obj[/Indexed 573 0 R 165 977 0 R] endobj 797 0 obj[/Indexed 573 0 R 63 1800 0 R] endobj 798 0 obj[/Indexed 573 0 R 66 979 0 R] endobj 799 0 obj[/Indexed 573 0 R 52 1013 0 R] endobj 800 0 obj[/Indexed 573 0 R 126 1019 0 R] endobj 801 0 obj[/Indexed 573 0 R 121 1025 0 R] endobj 802 0 obj[/Indexed 573 0 R 72 2291 0 R] endobj 803 0 obj[/Indexed 573 0 R 65 2293 0 R] endobj 804 0 obj[/Indexed 573 0 R 7 2295 0 R] endobj 805 0 obj[/Indexed 573 0 R 66 2297 0 R] endobj 806 0 obj[/Indexed 573 0 R 11 2332 0 R] endobj 807 0 obj[/Indexed 573 0 R 39 2334 0 R] endobj 808 0 obj[/Indexed 573 0 R 65 2336 0 R] endobj 809 0 obj[/Indexed 573 0 R 88 2338 0 R] endobj 810 0 obj[/Indexed 573 0 R 22 2340 0 R] endobj 811 0 obj[/Indexed 573 0 R 28 2342 0 R] endobj 812 0 obj[/Indexed 573 0 R 117 2787 0 R] endobj 813 0 obj[/Indexed 573 0 R 20 1802 0 R] endobj 814 0 obj[/Indexed 573 0 R 42 2757 0 R] endobj 815 0 obj[/Indexed 573 0 R 41 1804 0 R] endobj 816 0 obj[/Indexed 573 0 R 103 2789 0 R] endobj 817 0 obj[/Indexed 573 0 R 190 1806 0 R] endobj 818 0 obj[/Indexed 573 0 R 53 2791 0 R] endobj 819 0 obj[/Indexed 573 0 R 88 1808 0 R] endobj 820 0 obj[/Indexed 573 0 R 43 2793 0 R] endobj 821 0 obj[/Indexed 573 0 R 208 1030 0 R] endobj 822 0 obj[/Indexed 573 0 R 118 1810 0 R] endobj 823 0 obj[/Indexed 573 0 R 50 2795 0 R] endobj 824 0 obj[/Indexed 573 0 R 126 1036 0 R] endobj 825 0 obj[/Indexed 573 0 R 98 1812 0 R] endobj 826 0 obj[/Indexed 573 0 R 49 2799 0 R] endobj 827 0 obj[/Indexed 573 0 R 23 1042 0 R] endobj 828 0 obj[/Indexed 573 0 R 141 1814 0 R] endobj 829 0 obj[/Indexed 573 0 R 46 2803 0 R] endobj 830 0 obj[/Indexed 573 0 R 16 1047 0 R] endobj 831 0 obj[/Indexed 573 0 R 186 1816 0 R] endobj 832 0 obj[/Indexed 573 0 R 24 2807 0 R] endobj 833 0 obj[/Indexed 573 0 R 77 1050 0 R] endobj 834 0 obj[/Indexed 573 0 R 179 1818 0 R] endobj 835 0 obj[/Indexed 573 0 R 37 2811 0 R] endobj 836 0 obj[/Indexed 573 0 R 55 1052 0 R] endobj 837 0 obj[/Indexed 573 0 R 126 1822 0 R] endobj 838 0 obj[/Indexed 573 0 R 67 1054 0 R] endobj 839 0 obj[/Indexed 573 0 R 52 1085 0 R] endobj 840 0 obj[/Indexed 573 0 R 6 1090 0 R] endobj 841 0 obj[/Indexed 573 0 R 54 1095 0 R] endobj 842 0 obj[/Indexed 573 0 R 67 2344 0 R] endobj 843 0 obj[/Indexed 573 0 R 80 2346 0 R] endobj 844 0 obj[/Indexed 573 0 R 82 2348 0 R] endobj 845 0 obj[/Indexed 573 0 R 68 2350 0 R] endobj 846 0 obj[/Indexed 573 0 R 20 981 0 R] endobj 847 0 obj[/Indexed 573 0 R 33 983 0 R] endobj 848 0 obj[/Indexed 573 0 R 69 985 0 R] endobj 849 0 obj[/Indexed 573 0 R 89 987 0 R] endobj 850 0 obj[/Indexed 573 0 R 3 989 0 R] endobj 851 0 obj[/Indexed 573 0 R 60 993 0 R] endobj 852 0 obj[/Indexed 573 0 R 108 2815 0 R] endobj 853 0 obj[/Indexed 573 0 R 193 1824 0 R] endobj 854 0 obj[/Indexed 573 0 R 56 2847 0 R] endobj 855 0 obj[/Indexed 573 0 R 226 1826 0 R] endobj 856 0 obj[/Indexed 573 0 R 56 2849 0 R] endobj 857 0 obj[/Indexed 573 0 R 98 1828 0 R] endobj 858 0 obj[/Indexed 573 0 R 56 2851 0 R] endobj 859 0 obj[/Indexed 573 0 R 130 1832 0 R] endobj 860 0 obj[/Indexed 573 0 R 21 2853 0 R] endobj 861 0 obj[/Indexed 573 0 R 4 1101 0 R] endobj 862 0 obj[/Indexed 573 0 R 120 2448 0 R] endobj 863 0 obj[/Indexed 573 0 R 70 2855 0 R] endobj 864 0 obj[/Indexed 573 0 R 72 1107 0 R] endobj 865 0 obj[/Indexed 573 0 R 135 2450 0 R] endobj 866 0 obj[/Indexed 573 0 R 71 2859 0 R] endobj 867 0 obj[/Indexed 573 0 R 76 1113 0 R] endobj 868 0 obj[/Indexed 573 0 R 152 2452 0 R] endobj 869 0 obj[/Indexed 573 0 R 158 2863 0 R] endobj 870 0 obj[/Indexed 573 0 R 12 1118 0 R] endobj 871 0 obj[/Indexed 573 0 R 123 2454 0 R] endobj 872 0 obj[/Indexed 573 0 R 72 2867 0 R] endobj 873 0 obj[/Indexed 573 0 R 3 1122 0 R] endobj 874 0 obj[/Indexed 573 0 R 192 2456 0 R] endobj 875 0 obj[/Indexed 573 0 R 216 2871 0 R] endobj 876 0 obj[/Indexed 573 0 R 53 1124 0 R] endobj 877 0 obj[/Indexed 573 0 R 145 2460 0 R] endobj 878 0 obj[/Indexed 573 0 R 78 1126 0 R] endobj 879 0 obj[/Indexed 573 0 R 75 1158 0 R] endobj 880 0 obj[/Indexed 573 0 R 86 1163 0 R] endobj 881 0 obj[/Indexed 573 0 R 49 1167 0 R] endobj 882 0 obj[/Indexed 573 0 R 63 995 0 R] endobj 883 0 obj[/Indexed 573 0 R 39 997 0 R] endobj 884 0 obj[/Indexed 573 0 R 21 999 0 R] endobj 885 0 obj[/Indexed 573 0 R 63 1056 0 R] endobj 886 0 obj[/Indexed 573 0 R 63 1058 0 R] endobj 887 0 obj[/Indexed 573 0 R 39 1060 0 R] endobj 888 0 obj[/Indexed 573 0 R 89 1062 0 R] endobj 889 0 obj[/Indexed 573 0 R 109 1064 0 R] endobj 890 0 obj[/Indexed 573 0 R 2 1066 0 R] endobj 891 0 obj[/Indexed 573 0 R 71 1068 0 R] endobj 892 0 obj[/Indexed 573 0 R 80 2875 0 R] endobj 893 0 obj[/Indexed 573 0 R 123 2906 0 R] endobj 894 0 obj[/Indexed 573 0 R 155 2908 0 R] endobj 895 0 obj[/Indexed 573 0 R 158 2910 0 R] endobj 896 0 obj[/Indexed 573 0 R 121 2912 0 R] endobj 897 0 obj[/Indexed 573 0 R 7 1172 0 R] endobj 898 0 obj[/Indexed 573 0 R 208 2914 0 R] endobj 899 0 obj[/Indexed 573 0 R 52 1177 0 R] endobj 900 0 obj[/Indexed 573 0 R 43 2918 0 R] endobj 901 0 obj[/Indexed 573 0 R 8 1182 0 R] endobj 902 0 obj[/Indexed 573 0 R 155 2922 0 R] endobj 903 0 obj[/Indexed 573 0 R 83 1188 0 R] endobj 904 0 obj[/Indexed 573 0 R 237 2932 0 R] endobj 905 0 obj[/Indexed 573 0 R 76 1192 0 R] endobj 906 0 obj[/Indexed 573 0 R 100 2965 0 R] endobj 907 0 obj[/Indexed 573 0 R 15 1194 0 R] endobj 908 0 obj[/Indexed 573 0 R 12 1196 0 R] endobj 909 0 obj[/Indexed 573 0 R 73 1228 0 R] endobj 910 0 obj[/Indexed 573 0 R 58 1233 0 R] endobj 911 0 obj[/Indexed 573 0 R 31 1238 0 R] endobj 912 0 obj[/Indexed 573 0 R 222 1070 0 R] endobj 913 0 obj[/Indexed 573 0 R 216 1072 0 R] endobj 914 0 obj[/Indexed 573 0 R 92 1074 0 R] endobj 915 0 obj[/Indexed 573 0 R 107 1128 0 R] endobj 916 0 obj[/Indexed 573 0 R 2 1130 0 R] endobj 917 0 obj[/Indexed 573 0 R 4 1134 0 R] endobj 918 0 obj[/Indexed 573 0 R 70 1132 0 R] endobj 919 0 obj[/Indexed 573 0 R 35 1136 0 R] endobj 920 0 obj[/Indexed 573 0 R 12 1138 0 R] endobj 921 0 obj[/Indexed 573 0 R 82 1140 0 R] endobj 922 0 obj[/Indexed 573 0 R 63 2967 0 R] endobj 923 0 obj[/Indexed 573 0 R 0 2969 0 R] endobj 924 0 obj[/Indexed 573 0 R 39 2971 0 R] endobj 925 0 obj[/Indexed 573 0 R 62 2973 0 R] endobj 926 0 obj[/Indexed 573 0 R 182 2975 0 R] endobj 927 0 obj[/Indexed 573 0 R 33 1243 0 R] endobj 928 0 obj[/Indexed 573 0 R 70 2977 0 R] endobj 929 0 obj[/Indexed 573 0 R 50 1248 0 R] endobj 930 0 obj[/Indexed 573 0 R 56 1866 0 R] endobj 931 0 obj[/Indexed 573 0 R 57 1253 0 R] endobj 932 0 obj[/Indexed 573 0 R 65 1868 0 R] endobj 933 0 obj[/Indexed 573 0 R 35 1259 0 R] endobj 934 0 obj[/Indexed 573 0 R 22 1872 0 R] endobj 935 0 obj[/Indexed 573 0 R 92 1263 0 R] endobj 936 0 obj[/Indexed 573 0 R 65 1876 0 R] endobj 937 0 obj[/Indexed 573 0 R 130 1265 0 R] endobj 938 0 obj[/Indexed 573 0 R 12 1269 0 R] endobj 939 0 obj[/Indexed 573 0 R 77 1320 0 R] endobj 940 0 obj[/Indexed 573 0 R 237 1325 0 R] endobj 941 0 obj[/Indexed 573 0 R 200 1331 0 R] endobj 942 0 obj[/Indexed 573 0 R 67 1142 0 R] endobj 943 0 obj[/Indexed 573 0 R 47 1144 0 R] endobj 944 0 obj[/Indexed 573 0 R 63 1146 0 R] endobj 945 0 obj[/Indexed 573 0 R 42 1198 0 R] endobj 946 0 obj[/Indexed 573 0 R 7 1200 0 R] endobj 947 0 obj[/Indexed 573 0 R 19 1202 0 R] endobj 948 0 obj[/Indexed 573 0 R 37 1204 0 R] endobj 949 0 obj[/Indexed 573 0 R 75 1206 0 R] endobj 950 0 obj[/Indexed 573 0 R 90 1208 0 R] endobj 951 0 obj[/Indexed 573 0 R 89 1210 0 R] endobj 952 0 obj[/Indexed 573 0 R 62 1870 0 R] endobj 953 0 obj[/Indexed 573 0 R 2 1001 0 R] endobj 954 0 obj[/Indexed 573 0 R 1 1010 0 R] endobj 955 0 obj[/Indexed 573 0 R 3 1886 0 R] endobj 956 0 obj[/Indexed 573 0 R 137 1890 0 R] endobj 957 0 obj[/Indexed 573 0 R 64 1894 0 R] endobj 958 0 obj[/Indexed 573 0 R 90 1919 0 R] endobj 959 0 obj[/Indexed 573 0 R 146 1921 0 R] endobj 960 0 obj[/Indexed 573 0 R 20 1923 0 R] endobj 961 0 obj[/Indexed 573 0 R 21 1925 0 R] endobj 962 0 obj[/Indexed 573 0 R 250 1257 0 R] endobj 963 0 obj[/Indexed 573 0 R 160 1261 0 R] endobj 964 0 obj[/Indexed 573 0 R 124 1311 0 R] endobj 965 0 obj<>stream +HWK$ )֓(7`xi^x`?`eMU%IQ C ~_R +_Zhy?04$F,~?g~m%ŃtRߨ?)78c,޸|ٵjNjr>z{\ceJKϏ +6*no6cśϏ9 *TۍnkH^J퉔H|.(ڄ<ޗ&}o8)alAwk%C|aǷn&B1Ƅ+H2Bz48?"yXѫ6LEB7k-6p(pCa Fo4d+ͶR&N3o%8Zv@[-p/RsCkyjAz=aJ;v5Vzb;rf(mc| ýS|A@N5?qP֝ APGZ˽qI8E(WOlŜ%z9gVi}"XDhDqDכ)фS,y +wR3*h஋ſ ]eXhN{X + PbWJN^Rsdr]D[˚VcO"ҽev ʺw5P{N9bWN\6.639݉)ʵ:i@}_N Hn/iA/@עZ־0R#՜v@'-.)y#1.{"Ss!P@nRB+sAޫԫxp>joK!zaCQ %}:?m,X@r?},5bm9[`fwѹ;"GsBҷ.jⶃH" +[/ +]P^`uw}1Rc3Ob6h{A4A+9o@yN{}*ObwQ^R;]#5ﴍeѶX]@jw-(wƓ\][ƖH$fAhnAeNn"kv[b}G}nXbTڝ[727oH Vc .bK@!/z K oRfwwF6_i?Q=U= # -t ZmtnmY_de1." SP S߰/ƪb 7rg(NݰӆQF;;}}7ްz߹7J{sFesʂ\:X]/BobJq5synugҦ]Rr1oŚUx C%D~1I(uxhj[[$PS!o֬_E:kk]TL1imVEV6.&Iݭi\aygL}Dp i8LR&M}O$d +>yCjs^:8d_2@r)+NӶ@2jH@Kz7 spqJ4i#s) 3zmp${Q;-ޮb FU]sݰݜ=-8 I(flEYa-s&s9իUXRs^9 }&KfF˻+Ad楓mWr&msA#X A;Zd]^"+YP Zn(hP6:-~C3L*{)xêkzK;cعӒ˘ /^;eO @[i׮yfy:uGZ`-%Xw<Ⓖju!閫:zvl5OG}ǎ {֬~5iΣ 9K޿;8E2_^`7ޕPYD/QBhNtÔӟ=OK>DGo?1W rV;gN$pV~{{_T֛@J+6z5I_0r;9n^@p2n;F-8䋣Xu߶ ?(p sq`6m +endstream endobj 966 0 obj<>stream +HWA$')&@fs +j"2WʩH_ԯE,$䫶9~ׯT +ZrԦP*uh )c +J_1Ucǖl n,+SrޒPdMTrJ/hWˌH̦~W*tLXUs'_ޏUH+%]Q++Go]tW\mcykYF V!T6a@؞+$(ܶm@`'g/Go䆂6E3ܺk-\"~+ xIg$6o1k7EC"7& 1HИI #vDVUu:-dOqN6ѥ60SZ +VP zmVBP& RH$m\Qc6OZ9n[Xl{nlefrAeޏPU04BX&n,$]{Y@^ȼ2Ǩi|нr>?{WY5 +ݜHй짧K<#;xw[b]kE+imi-|Q -42,.X3K-w. J|W—|38hNj'j߹*Ae{ + ZƵѝ?1]"k~aU4(-AabдE#kHe3%[lΆbbPFreܻH^7UN 0 rSm\ R =8lZʖ5tA卡$^o`Kڂi9[EU +w멄hZ6XiP +߶]jЮL'kc]mKFF4lHZ{!݃,10A.C'T_1-dEN8b.K6. +cc\Bu {,XޜOBZtE";?́Ĉ|һ|һH9&cRh'iNV]HP+ L^[gvW "' +`Kk*^ -AALH6"d0@mVu2uȓ=8Zl{w)Re9yej 4.N +bzH %Eaa84/2Ӂ|nHgve:)O GEgk髽YAWg rN$蛗IS[Cw2If"mEB咑Rh'W18/kFҵo(-T +.kzuy:j(nz\yYO0Œe9_ḰRFKqԓS};i!V_XC^$FDC>-LXe} )eZWלUzPVϕxŗ\{-+/4YB3@gyV)LKGj,Hl2gQpmHn7 vR:GAd+.۔F[nҕ#t-J疶~K[@ȁ>Z}6 z'=CC + leO7UO kX4+ +i;n_dTxZU| + hU髐(,jg3:^~ +vٺ8o}nb3 +]8_m.nKUp`ooӘV]L?[ڒ_KZ;p0%[rc!";KЮ'"뉡QfQ#C#-H:(yB٭V;tIÇPMC ҒF2֪y_7ƪkto&bhUSPdFbZW|ft 'p!n:u;=Z^r@n3(],LkLn{%7Ej>a5Z`l?_̔`-Kc+$C#_ʽH +endstream endobj 967 0 obj<>stream +HW=9} +]`{d>9~BF$hG\"X,E?#ƣ5vD#Pb~_? -K/t{!叏RQ{H"ki)Yc7K9(GI(7Say(JN_d5[SoZQ#Wኮ5=s8-u.yh(Ρ-95sfo#g2ULܮn,덫sw7-+'v&*ch |D"A&~̶1eDޚ.6/[nT9:U.+F \W4 Gz&> {Ya=C*抹$C<#5pj^= f9ņVzlv +~=aWsB隳-˙>=KruJ+VδHi"k9KiF=.-={Us;ӵ3g-eI.aK,|y*ثMoJrc#?uNK\ց}PًXŰXnziڽ5PM|TPd9^tFi97ڸ Đeļ|neCfK˶I($c}Ψu̙ + MY+Ή-H +|}-mB{0i%惇"kRn-7#}>+嘖2;#kPavNG E>z8L_l_ +JG7C`N+3k4/K+/ibQAk*3;3/cK-j!T{.Q)eY=m!xkY[L9#W2[R{¾.D:oR⚔rR2V=WK7ЅfKl4mУkVܾf]\sɕzӲ-i kRwonx"HeWz.41ق{lagF9z@i?Hc\Su=n P蜣 eXh(5fa=J#k_島%$eO QkG"rpgm%wxA'֪y'%Tyl8;nN퉤l`ʜAEi W5dhf QS8j:} 4\>nJAF<}Hvj=s ibGSP˺yb-uiK1^0 Z2RYn +gE`s>2S+WPeƂ|䊼)-G 䰯@Wms&BZ3;Ӳ1h%޽j5ECAeG r*y(mTB޺SiKWiKn*}\H㚔v犑o\_# y."C 35qAq#3B|-K\ArYu@I/_6(2$ /%KWe.5^by +d3~,/Tj?U;($p7=#AoPEoɶ! s ^oZ%v ˴Rܽd]]򽟁g,n{BW; ʼnDNN^8Ϣi?5vЏ(Ta+GᰋX e Z~E9O o+]!uw$DʀgPd].J-t+yRxM*-Hkֲvތe ڐW7{ +Qʝr0-w6ٽ&^-rՇxCZ$fՀf8͍>ƊEu`DvbѝQrg(Y*vv L|U㴣w}xj:%/ڳ>\v>G@C{FR7[WP4lnY < h-‡ᣱ CBV-tRȽܲ7}3=`eti8IO7à~<(v%nHڜz+܃W.v?`ڂbfǟ{}GrAYNՔbVPjG|Bg4r(h?X$ȗ|RP*v{"$^xDJ/tZ46y-Ir)n7<%P)XdrlY(_$V|VpCwC-ݖEB+ud +ҍe^w$-Uc;Q&m^g#k:Xcp +@b[OsQ +<`N4x1Gk,y9jO"5XkR>bTX(w +:׀=͕1R^E!!}Ҽ%s8Hi~n`4-i繥Tэ[yrRPd5eajsQK ^( +^GV+dz!܎j.\R{=L/ +}nK37?=k7KսXZKjN;ĝVAm;y!R ;gg$=pzƦdzw.EpBM'ЕꝠ'R)QBt b|B4zj#xG[eq˶DZnl9R~Z/;(vQmcܠg$tBtAY#&tp5 +!Y{HjxFlٌGB,sYv#51(4ΩXsl`sn` lPNNnwC_<ʣHK^{#kq+iZ +q:W'S'ߚV9&[*E7V]w&-:XvDA3>r;K->-/CkRX656x6֘Z ¢,j{``)B)SEFX4V斖ӌVUf^P<],t x:\R=s#&)9,Q/f9e}}ԭ,NM2ZrkǐJ7e1!̲\7̄ii{;o +;emExZQ_h0RnCmK$Z-$͘A֪Fr:MH:MIIffh-MC^pvs^s2Y +endstream endobj 968 0 obj<>stream +HWĸ W/$@`EI`#j?i]o5cG)}/v5G[_[*/n9׊fM=˄M8沙hollm3m9R[&tby٧>/xCKC IWByM~i-j~c,>JX'O ES*'_ɽ~ C6-G3[[?mzyJ LA/'8$2=-n)69 ."싗>D2"^œ^m|T2%IQո~A/ickv:>ڧ;\ {U&*+?BhƯe4`j|A|mM|5lQ;C|i[H:?"'Gy}e狀E$orG__N:]M*w.lN%)wNr{/ O}^!NiVTaӇ9NR`!Du]N=܂&C\-/cܧ"|z\;Ϸ"^lv ]_p秅p?im*E *eQ4?oLkKUG*_VVhw'=cR׆l |%eC6 O|-R!Ä- +/B]]Pw{6m.yoY3v9~NXtϋX3^{^精> 橬>=vWh }Lnnm+7cѾ/^8k^x1O}ښkkS/'FX@7\F=k} їꃏԗS?3>Qn$/=]H >eQ@ʧTtvDIXԑXQ`dI9GA vӡ0y+= Xb(]NOuo}~g5BWH 5.]]#I2|4f%qy)P{VIҠl6l?+Ӳ]§B:-dWnA0m_6}ƁjΙcLm Fس}j@E2Փ`pƵ kġIi jC*.@, JO%p!~\d`>A7d56fum_5g +h(5sTDq(RZQsSG 24eEn'JBVt^".i·E7~\=:=,bۢ2Bަ[6|¹4$%Dw +(8.>?k;)Ș.=Bq+(y,isf,E2?X P%H &߇^ȭ#(4>_xoRVXW>_t8I&DWʫC#` qC#XOQnH ŝ, +2~D +qhR + +WY*um4^M_rDIU|;FʛCcC[I4ᛔDj])7gDO9` +C P{R_]CJrt\[΁]6T`CFvV$di كh< !|`=/ ٵcP<\\8ծHJ=FTBXЬ?_7KdF3PkdvCBv 9+- c A%ϔa#YtqH'Z?ʯC#`>୐Fُ°g7$sTld1Ax8%so]MI+vBiHzBdAw<Ϧo<ȠS%{7.HصO_ ~0o&MOe.EjkFk f⍃u4?dאCR ]Eg{jl=O YZzd ?.J უo3#Pi[+o|YNXz]BnJI^ tz;Qm5P_R-TZAb~f3bW^@dp7yzs= #GN%\@k9_Itb4 +\> do3豒(Nu%RRl($Fr$bNzG&j(YteIgUf=/ kuʭk6"rfW"(.`M8F9f|MG&n.$v+M +i b`Tek°|#NgC Ծa<\ 4e-(Lu e3&߄"LjS>-͕䕲` #=dL6Hڅ;G@u1”y9N6Yi1AK%:5~ւJwdk~ Wuv8` c^Ww1a`%(CQh=pKW( {Z 4G7뗧#_ݕ)ZPO%^MdzGزH!Ӑ"-jnoN!9^mK\MK +B%IHv9):]m_/w|%3U;WIj%qI5SF(;bL?wੑf*”_kA"l͞<@8E{~[#^΀ (蔱Dh: 6KV'pyTJ^wH"맵fmc=™,~Rǣ9##Kd& t<|g˖V s=7FȀ#Ka]R_7 h"VY;{#@i1,E==ɫRު-"@F'oe gR;_ +ʈ압36@Jy C:|r#1T? (4+xE6{|bƨָ~|S,G1zcc~T2D q$C.6eȻyuʐwI縻<ޔhC:8Ph M"5~(\?y?~nb\-Bsd$@}%sd\`1A=9c!ZnZxcXh2D>Xor*$hlyʨ:%/n2F>lʸe|-3~_&l [?;T3;@N Xk]fpn<pu(MsU x +%Ãж5`v@wpXPxhv`$x qk#`x/\)U64QjH-[+l-3~?w$^g؀zbl<Xmp27kC*uGcfF~<~Rr(EYÚ ()-(hhv.hU +9nXڀ?\EY9~hk ӛ@* >TD9PDEpX&)D #* Pn"* HsMx +endstream endobj 969 0 obj<>stream +H|W˕8 O4.AP2S=(YSzWOz5W5}PJNE W_@@Ѯ܄W~U>3b^ѯn@"ɩ"P _xO*ia/WTǰgѤAX5eߊ vH@!E,:bʗv1`؇jY =N惀aY8HP\?[C0A\wt]J<**}y5AbRk>K(i/Hߴ{L`Kpmߏ#艪8e =]Sbِ71V"~4)%ǖ!g1W9(*`N[cVvH@1j:zZnJ'[%|2JPKIDaEռ%L1Mo5 }ia﬋JH3$?TLU h~@EK=?DHqzVl#\L]C$AŴ +MbM1N̩eƚ$x̞YZ_M>7Z`Vvt>G0+{b}q9wzV֢Ѹ2nYJѾpe` sŴ'. +HrcCL09Hk_p-_]sxJHEOX *$&@ج (>o`U8("P.2 QlA.tPܖK^H"V\(P4} >v-%Pd:DpPY}%PV" +D_g<ʝF ABаGGU} a2X9a۔(D_RTcLJGKqa$hE.r#sEgWW5\7bf pi2~D& R/zcgk$ʳsQ}؋XFIjdf*4By?:%)}U&mUGPѸdKnd +xUHKv[whKBSFpir)>>So)rK~!w>v JX9=5FVB!5 +YϿwBi)J_ץgLpl9=hM#*ڰUWF-W}4sOGnv|I}.|{Ƶ+vewIGE#Ž6g3<@5mu@+,l u%X?K}eݭv9r֭[b[lXn%o;"\G5XM/Pg@ S%P m7ϞI#a%cVl z(*&Duk#7rEg^6*HPPpDX*x$-(v6/+y_#5^oZԇusH.PU5k`үfTWYm Ebl݉>sDZD Z- +?A0)1)})ō~8 4 $>b<(@Pqy)EC=kD>1@aI@"0cozDL{nJPbxcXvZ8*b#c~Gr1g2L3F)EYx[8UT M Vc;2KmviX0۸T /#EpDTS^ڱ#艙.AEj${4E x͍WfGXŒ#XyR @)Ԧ@ b7Ӷ`[yI X*I68eX=v-p8š*ggx 1H@O"k7a (27~$TdD#A?[;>J@>GA7HУAЧ.ay@p=28CV h7T)hxya'5Gݔ~7$Z>vO}'+n￘K$;~iϻN?X=%P csAPW,Gv58SzB}|UcA#mD(ah1ݻ~mc %UgIL'a뜈Q./X@Kai_3 `}^h| ֦> +B]l%[zw9dY:ɨi[o;/ ю浾y!,^+@#Rx4YxPwb`9Q~u?I."ۅcVK5p @ >T\h?!"-W"&|jFk4Ƀ*h)-yZwBp78t =z\_R/>__Y.kpUo7 A8=1w|$ ϳC]>}$`{){ c1 Hm`: +DŽxR `"sz${`-+G9T,ejDzm<dVכ}ꡖ d})v]z_Ҷxܥzg5s] +q8Gj{vdH6xXH1 TTP*sjR0/z .d + &q%WG*cyօ`A 1eUH#ƪ';>;Q> $F'JU +4:cg;Ψ6 4{m>Gg뭺"jf\\gEzE9'jqF +9yXo^˓ +JZhTXy 6M;Ʊ['1+be2uk6ׁđԟWzc,J5q5[w ww$x|' 0"J^mQ}meT96+İh'kėmfnk :nmM,f +>flrD :z Cew=Px%Gg԰ox9;$yC'}Bǜe? :CkF +x/ W0\]L#^LN`XR~*x/=\FWF`cp&K BKfa#OAKbL'ǥQN O ́#|L%2^I +lև'p> f^&qiCw + +bmpqf@VxQ=+cGߑ=&bG\=氶}#vU1o[쩑]tC;(*`^lya^_^dYedYEYdxBTbSTiV-+_Ti mi*.xaaAZL P,_%oOH=To ͎T0 7kk5j?Yˏ3 Ѓ6~ B702`R0h/Jq)K[W] 8VhhYvXe ϲx|݌ɪk'}hIa#u& Ʒl|wFEdvDFC?sqi;>"1#6o/"jח-ĪH^:}Rحė96U}7G&캂\؝|;$@R @_PVHŰ$;_7+qr^C v8s9_=b1% +fZ=OK _fhL5sa*J,vWLRi* *Zm>9n鵫,6lpy[= ?*UA~R{0^f 0JWc3 )I~bhtŌc`<(J ߼P3Xq~1!_о١GNgNg@^x % ̳t~#+O|'r͗`eݏ90d"M6߹6'Ig1(`s lElƺ̨t?̨iöq\m{u2bC3F?>stream +HWɵ, ++uRdGq$VUy<֧i3c +1)85"^]#C_,̚X㯛z'"6TeQATpOI؅"8S8V/RM@bFїi8 Dk85']gF) y%u$1}!UWpۃ,0 QNha)KI*\v"!Ht3ݶVɶ/ B4Jr&%MϺ,DK1բ~VӞ)XT>VKGi{Lҁp) +Z2A5bF Gf a +vit\^W [R "I1^ɶMKBȶ틭iԅu$u1"D9H $q1nx p_󔧂HZU)20 G|ŹO'#mGd;NkM|ɒܱt@@c [Wr?do$*R"Q@1Ew[1BZT|0sYcI]E@n?\riury## +Fa@@c^9 4ĹR/N:[{ _變-yCHGh 13k XuԳu@@ <2 GDr)_ ; *(a ܋E&%A^&`a5<( [>J#k,xhv8ȵMD|A(=q0pCGf8xPtRKb777DvuL % F/J`lGFI@׸C޺{#Af'"\$MQE}DTh WD hk + /]٥k1A]X+LEHv}vLo(zNx0G&?ZJ]i(мC0Y r.jD̽#XCm! nDhlҎ#`l GEw1$0:`_6]`inI]_u0xȓHRtBAF]bh=e8}qA>b#7]z ͲbmOZ5WyƭL >O]Y o& /[O-ϕ ~ *Le{DHRQ/XJ!-WNNڤ:)85r>`ȹ8`n0\"x Я RޟfH@ +k|CXy+ 9SOb \$Xcщ@Brߥ.`S[`7=fyibo9cCz5`%@͛Ìln#xez SA,/B@C06]Z0"m"4#+HV#GC@pz';XOp#=PP,7B𫅬i$1A$⺝Kt8W^d(q8sLqgւ0K&+F(n<1oGhYeY yB֩%#y8W+ ##Ӻ4M'Ƭ`Y6:,{E$Ui +SjF +ѵJ,2z +L#f U4✀(qJʳEO%4Q3$?+ F-*ŕ#zNxɭ,<еafY:rO1ziY+sB$L<`H93#X#dZG5mXud ܔ&Tŀ! h^iC+vE!_eo.mb†@@#iZel8nJrIgG#5`t!}4~FCD27Hȭfp0|.FB.d5H>F. S^e(Fڗs5^w v̗#b܅y4GQRet| TFn7qɶoS|*B Tnibd]I4@0ۇ$vj/SMӀ M}l&"!oHb=Pp#$t,:tm>iIoaz`pE.އHzZ N=``;byaw"kr7%}5nޡBvGKk"ϝ[,Pd<#kad0rٓO;:ф(U}w`I}~t0y| v(&qB? i/q_R=4(26]gGGڰA&a'VyM}m`|&}>b?_ϥWQ)-e3*b,~wa[M`=|{P1(CgHH42{x֬_D\~ VtÞj;M8쁿ЎE^+N|U5:U#z9RM(6ŏOn7FX>ejRu\96y^c9&p+XYGE2b' Y"ƼF,xg\O噪X;+1gV$0ۇ\"&9PLaX9 >DaYt>RW7/9 b?:jpA"@n qͨ.O+ʣmG 3C޷vnNN%Vh< +=Mš6`OlRd:](@f&7x2P!& ͋BSmİ"X欈}aPb|ƙdv=qlY=(\[)h,ɛ5?0'g-gC n_#8c<GBv +;Efİ!M ( z7#MT^/7CِUZٻ՞eT4~$IA{ ;O RzحG +Aol#[ů\Y"MaE&p>$}'~%S[rGYU0DmO(aeRߍA+G4A)'w~ pk\A1Mt淀>d"?e@hx蕢tu"D/~0]&KlG_b|0+fl[I仢@BɦHb!a`N6RD +ĈS.%B4t_^ɂ^~Iժ>LP1෽}?|UR'/ًw=Ig^]ݏ"nlԨD "g L$e^)Uo<'O ++/=I6}m/+A2%a\Gڈ-8>z(#V6g`p3) O5EvЌ t7<(9cu[L+Z8Os3.JW=P3Vq"|rkb>+u;IzggXA:;90x + u0_yѺ117a|%Fٶ>1]o@V{]w!zrcf_Gz@ٕ]oUQ+BYfttPc̳,M8F}ái)pFOMM`3g +endstream endobj 971 0 obj<> endobj 972 0 obj<> endobj 973 0 obj<> endobj 974 0 obj<>stream +HID絊ZAf6*?}@ 5oWa F9dopt#DuG+ CWjPxM Tp@! #r_2ф^)ÅL~f*+mi}]꙾.9Z:e,P=9J%SZrN'bH'{G`>_~(q4t5x>5uh;nƛ##B2K(q`a#Gzڷ3H1#N_j8qQGxy+FjшӦF<ӡc`ᕢ5hep)ѩ +p@UjnI>_hCYm0YʾqH#jxs7ulj)U1oNI%-ܖA^ 74\G_Liy=."=#Y_gtu\v|8nr|ݰDzӫI ec:c#t{0}#=B̙9dt'F1ڷKj%^0O~Ёu-ՏIuL!QJQM +O6!=Ҟ0GK0 c$F)NP5 @&*B& H7tSѨq>F$}訥Sȶ@KT1{oͻ/ڴF?$(:t;}HcN{?ʊ#Y}}O+13g+srH0XZj-prp~] /&BG5~#_Ի aFr my6@X/G`>^A_wƘ+b@/p H+ :[-ubjGG. TXGx-bBH@T! #`R^g Iǻ%S90(hr4a$DYPto9 GΗ#gH#dȏ9~o啀: +^숷#3Žpr8:ͦ p:1~9Nv!`6E~uy_1Ol8Ц5=~F8"5 Xu*`G 20-< -6GI#t!GKK3#]A#XglV'0`w1|$jq$/ H`:+v~XuLq^Unu߼9n?e 3t8V*RS.G f/qfR?eOxDA[rqrЫـDRgڳEvȶ|T5 F6Z=4 +uPN[-v<$h/D}\:}FNG<ݛ?z pfQ.!Pg gS~Sﵑ 1^ڮ fv=\zZgo]g 1r0uBb%~ug8inXotzP4}N?Nhw@FAuRtzБIpe@+8 V! qkJg2O Q8@ +6R`C'kV]:p 1kf Ku 'ڤ3O@҃O\K l#)3])f +OtK +'|ҚwVܝ6p +P* bL7[`R ?N +E;+bә =9kҜN^Z'z\8]ـ{7PKwQY:Qż,cI@~WعJXNj9ZXkq)G&⶯± !1?/=g`҄ +޹$YPT|"˘\.}eNhaV&⶯ (IR46xE/rmC8f(vML}vӫ/u.F{9yj(7J>҃ X؃K/9b{-Cy@W"Ҕ)SE;+d 28sst5P1FN A9s3{z `eRmAgt64= Ea) +HQ(-0S{M@AWsR$Ζ4N;@K (nК* ]bbFdҹTr,sGt0OtϠ0 +J\ xPT?Nr8p핣);r#^AgR@1`YHc ]Ӄ8U2GEX5X09ł +!l(팥:itlX]Ǻ p>Syvw.zN~7 +h6n03>Qo(8)M|dq +nt]ױ $sWpL-2p4iMo6xa) +^>S.͹sVNGPˈnlۯ)xz pS CFLxŨMn!7((8?0[^UW#=/ .mE(WW1^9I+HѿUp_uHp?;@ѧ;@1R0Rzgщ:R@{lS錛#'4Ӈ9EբiUH"EE + +ԑEdjiS-PpBŶ=ߞ{lf:x.Z7z8Ƕ=U.F Q`G.9(8X>b؀ 0`rɀM= xm҄bIFg>'L:QӅRr0 +endstream endobj 975 0 obj<>stream +HWK) )|5D +կ߳=:SY4HBo>#;Չ|G)H(Mځ`< +A<ʰ^bĥgn*7@}eq% (7g&.I("c!1se ^(5b)Җ^"EE4 G/ +x#J&|0 +dT{G-;q2'}4}{3ߟ3hW 6\ 6!>v Ñ Y\FA6Њ`ZjP` +o9 E qoQq˨"XEP5@*!N*Q?ʼnMuRA|Rе6+Bt+!:TBhJS瑼DTqCc;^CB1+!/Nc"((ưAhG[+hس$M"HQDžĬ0 E)k<5 Pj} ;1ֈO(fTޙZ8[[CP;sR9i:(WM@PĬژ"x"~%,(mVPz: Gj@u; ĕΫ8*U;,˦]KJP_4ކ!HQ1v:ѪY_$b1X[&%R@u?EFL)"? Q{Xb7)!N'Ώo^7M"`U4!+51 ]MG[[vzt—))KJPx<+h'BfƷL8 +hz~fmVVCZIأ(厫@(g*9aآ* +~sS\>E-* +9z(g[s~JD'?D.- .Bƻq2<,q*Z!@-<$ 6&C-mVORPSHH_0bگO8?z'$>M>(ߊwAfzQKBWfZ/?( pEԒyFDnx@[vI?>^ DuP[pCFD*}oow)֯ur혜,gbo.ē/ -G90SYNO:Qr#F~P}ph N)!EDeG^ +׃Rz°{cЂ (NeZ +AM +Ǩ0{Ơ2^܉`j+QBzqrN(Z~p J!2p\v}c;Q!c  `-mx Ƭ Lܼ >{܆z# L3nwi;Eu +>jc!1n`M@—\+49FƂHhEAS^>%ל@ qGwiPdjL'C\H95 }2 \ai?ĥ677tk?/`c|}bngu@E@A  + w%4Qygz%*w{3BۿxRdD&" 8焧"6S _:°+| 9R +#h\) +^Ds6Vĵg#FPxy*>pm@PD-MBU"s)z9&O֟~ +Fs=g2"*,m*A +7f-~Kh0 zOm-{?N0|KiSPÞx!(0j[?' +_ +;g +^MRm~^u;A> 72W gM!Nky%xnK_$\5~ 4|? ejww.["u#8$qG_ >ʖKŏ%K8-u .6n¢}/5M3ژ^KOnow^^Dm +g"Lxj:G}|H~x߄G*~xMPK1qaB[[,G@8R'ZclGW~Nch0gaw~<gU"H!XGGS@M@\6 Ǖvs +ƈ8G:|I.(Bxƚy]vA옧 ъZiaɇ|_^ߗJGOMm(ov\0ĥ6mS̋_h<8^5T^h5\t~\Y ezF^P F~ǔx.SOQ9 Ȧ&ADr=4~@N_7לYwl3vBOMA68`O~ k%` )ffG~|d{.:/hp,g n4׼i0M?Ӗsm[p)8Iv BӸMMHy7$'|Z9,`72dq&f6Z4 pR62[dmy)Fk$$HY)rDLSX0z !ldPïGJ&4Q_CRqT2v"o8F6XЙC 26]I_L4.LHr9dE1k -_U>`J>SL:.5^e"6$ 0H'!*y=R\e^rmf-c4M-A)/u6(j}#=R^83Gy>(\Oa.V̫rl= 5:_OF|qLOS.jxLT l8BJj9 mNyd6@;$tj@'m4@Ґ^ +|.gj  @t3428k5~"oBZzl4 6SHS!G#4Fh n&UM@ᝥOrYnB1B` Qt7:B!`zl\j9o~D]7tJu-m_ե~ g"^] +&K7?8> Мh|}́&s<ꗰ]Um!xʭ9~q=e%hc47xmN|XӒ>F? GunKq㛻 <mym}]Mw.xc:[ͳ;0ߩ`uOrD?;x}Rݩ KуhkPăU? > -7N·x m؎c(pS$"Ѓ%kп=ѻY.OB] [}Zx<02~?Oc"{xq. Rn w +endstream endobj 976 0 obj<>stream +HyTSwoɞc [5laQIBHADED2mtFOE.c}08׎8GNg9w߽'0 ֠Jb  + 2y.-;!KZ ^i"L0- @8(r;q7Ly&Qq4j|9 +V)gB0iW8#8wթ8_٥ʨQQj@&A)/g>'Kt;\ ӥ$պFZUn(4T%)뫔0C&Zi8bxEB;Pӓ̹A om?W= +x-[0}y)7ta>jT7@tܛ`q2ʀ&6ZLĄ?_yxg)˔zçLU*uSkSeO4?׸c. R ߁-25 S>ӣVd`rn~Y&+`;A4 A9=-tl`;~p Gp| [`L`< "A YA+Cb(R,*T2B- +ꇆnQt}MA0alSx k&^>0|>_',G!"F$H:R!zFQd?r 9\A&G rQ hE]a4zBgE#H *B=0HIpp0MxJ$D1D, VĭKĻYdE"EI2EBGt4MzNr!YK ?%_&#(0J:EAiQ(()ӔWT6U@P+!~mD eԴ!hӦh/']B/ҏӿ?a0nhF!X8܌kc&5S6lIa2cKMA!E#ƒdV(kel }}Cq9 +N')].uJr + wG xR^[oƜchg`>b$*~ :Eb~,m,-ݖ,Y¬*6X[ݱF=3뭷Y~dó ti zf6~`{v.Ng#{}}jc1X6fm;'_9 r:8q:˜O:ϸ8uJqnv=MmR 4 +n3ܣkGݯz=[==<=GTB(/S,]6*-W:#7*e^YDY}UjAyT`#D="b{ų+ʯ:!kJ4Gmt}uC%K7YVfFY .=b?SƕƩȺy چ k5%4m7lqlioZlG+Zz͹mzy]?uuw|"űNwW&e֥ﺱ*|j5kyݭǯg^ykEklD_p߶7Dmo꿻1ml{Mś nLl<9O[$h՛BdҞ@iءG&vVǥ8nRĩ7u\ЭD-u`ֲK³8%yhYѹJº;.! +zpg_XQKFAǿ=ȼ:ɹ8ʷ6˶5̵5͵6ζ7ϸ9к<Ѿ?DINU\dlvۀ܊ݖޢ)߯6DScs 2F[p(@Xr4Pm8Ww)Km + +endstream endobj 977 0 obj<>stream +H '''000///...---445b`ezxyw~((("""+++222>>?fej###:::PORljpA@A^\aqovyw333LLOvtzSST!!!212,,,NMPxv|{yhhj545>=>;:;111011PNRvu{yx~TSU=<=JJKNNOBAB***223GGI\[_lkqnlrrpvֿkhlJIK]\^bbcPOQ)))PPS`_dfdj䪩WUX434&&&101CCEJJLIHKSSVechhglgfkҏCCD;;<<<=::;MLOONQONPݤCBDZY[baca`b]]^?>?666DCEGFHEDFDDE)()cce<<<===899҄igl߱|{~[Z\Й֣vux ul[ + +endstream endobj 978 0 obj<>stream +Hb`dbfaeA6vN. ./",b` &4q I)i +3 VY9.yEf6%6V*XBW]CSY"!70426153demckgPW + 珌uOHLL䔔ԴL~lӬ\|DaQqLIiYyEyeUuMm]}6455鷋vtv#${z'L0iӦ%f̜5{y/Xh%K 5P + +endstream endobj 979 0 obj<>stream +HPBFڒL֫-͈y˪kڭf'Q/H h'(.2M;jQ_` + +endstream endobj 980 0 obj<>stream +Hl0D/x "j#X+7xfgc%vP ZcFaۣXDO3Ƴ0L㹆ZjJLP|:w1TsdvOtK#N?O.}~ t + +endstream endobj 981 0 obj<>stream +zw~yw~ywyx~zxzy~~|zx~إzy~ + +endstream endobj 982 0 obj<>stream +Hb`F2Qdd&Ә;PĚfcSʊ](^^>~A!a4w + +endstream endobj 983 0 obj<>stream +Hfyw~xv}~|yx~zx}zw~{y|zyw = + +endstream endobj 984 0 obj<>stream +Hb`@F&fV6vN.nd/ ($,,"*#IHJI1I ɂIH0dqʈ(Ʃt{qRa*P + +endstream endobj 985 0 obj<>stream +H-Ҷ|{yw~zx~zw~ě~xu|xv}xv~šzyzxyxϮ~}䎍{yע  + +endstream endobj 986 0 obj<>stream +Hb``dbfaec^ a``WPTRRVQ58>**\="[XZYs23G3u'gtq0pt*> @  c + +endstream endobj 987 0 obj<>stream +HOOPo!+㏥Qj^,/6}q椄97A?XA XUi5iBKWPG}n޳Uxy^OS>ɲQ P,$IǙfn_l\5t +vyӯ`U!8 nwj7ś 24w8Vi1+EkERT#KUdi[x40-Qך4Kx<.phi$ +Qm6>Y˲,;Ϯ 0ֶ + +endstream endobj 988 0 obj<>stream +Hb````d@(|I!NI&f,: V6vN `%B"b(KHJI+ALWTRVQUS@V::Rz0 F&flHAǑO89xxx[zy{c`0LpEH{/ + +endstream endobj 989 0 obj<>stream + + +endstream endobj 990 0 obj<>stream +Hb` +303b  + +endstream endobj 991 0 obj<>stream + + +endstream endobj 992 0 obj<>stream +Hb`0"s  + +endstream endobj 993 0 obj<>stream +HHȲuszvt{wu|xv}yw~¿ƻzx|z{y{xŶ}֦߾¸а } + +endstream endobj 994 0 obj<>stream +Hb`dbffaecc>ddaH 0+(*)#.B MMQH%?`emm-MB@PPP4 + +endstream endobj 995 0 obj<>stream +HW0a¨!UA2EdpOUQJpVNBFM׋Je> jŅ,^*E^Ad<~s nKԸ`łn9YPObkUWL^[qЧen[NF8~*ᔢxj8ZtW,$B8x_H + +endstream endobj 996 0 obj<>stream +Hb`F&fl8'7/z~A!aL &Q1q I) >iY9aL , +JX$ؕUTEMM]/T4UDGWO_IRF2L:XML0ÃYBVֆ*6X>stream +Hxүͩվ¥߿ëٲ =` + +endstream endobj 998 0 obj<>stream +Hb`܀DL,98όEB@PHXQ1qa ,v0qHJI`+(*qcĨ.* +`b + +endstream endobj 999 0 obj<>stream + + +endstream endobj 1000 0 obj<>stream +Hb```dbfael\XYyx?v `f*/$Q9+ + +endstream endobj 1001 0 obj<>stream +YZY + +endstream endobj 1002 0 obj<>stream +H + PBJeZlfz7\ +!TL@)|94xbOi&KDNs :At1C + +endstream endobj 1003 0 obj<>stream +H/YY\-l=v;t8lIsozxZa&f%e"a1hTȁ_ʉ\ÄaXX])i/m-j.eNywĖzėwZ[]][_nϕֹѴZYXV[SԆ{ڢy֟pZ]__[0jmϕҢ{ʛW`8s@y?v\b"c!c + +endstream endobj 1004 0 obj<>stream +Hb`dbfaec!aQ1q I)iY9yE%e ```PUcTS704226153g wptrvq1@`аȨhzŨIB@bRr +0AցuC$ a3C6.T  + +endstream endobj 1005 0 obj<>stream +H 쳽鍢vtTn#>9:98뤲烚qPk#>:듦ypRl7Q!!<醜l[v4O%@$?"=Ꜭ~a{Ga1L'B&A&A%@챼肙xXs6Q.I*E*E)D'B%@즴wuTn0K,G,G+F(C문艞slNi/J.I-H-H+F듥{sgLg2M/J/JꄚrnUo@[3N2M1L1L0KtjC^4O5P볽耘uiB]3O4P3N첽鈞ytg5Q7R6R럯zvb}Nh>Y8S9T8T7S7R6QꖨYs?Z>stream +Hb`F&fV6vN.nnn8/0PIBTL\BRJCFFVVNIB^AQIYCCMM]!ǡjf726153U5@H;8:9[yX{%}|BBaaQ.1qA np&&%dd$sr +KJKccVWTVUWU[ZBkk[ +[[,-nmkiih0q)SM1sٳTϝ 0oV/1cK{{g;I)T` + +endstream endobj 1007 0 obj<>stream +H|1C='6#WYu€`+_>\hT&v.ޡ)ۃzK{hc_NC?e˥BU + +endstream endobj 1008 0 obj<>stream +H 閁`0Şb*IREMYZ+{^Hp!a_>!Ov5XxD GXt벇)#WC\ I}D>U}C;Zka[OZzҜhI*>,:kg~ibd䑫{oy.nŵ41> (3YH{mF~vA۾͋<d`]VNo߬d_P + +endstream endobj 1009 0 obj<>stream +HT[[@:*  P`((ivY.fq/4$'t *,EU&$IǮjzG +*aA 3HLiZmiByډޅm]([ڇG˫m`r~_upv&M`aŐYy:)qYӢ]GlT' 0=:_TG4O/jza'!c,y2ϯhۯ(y0`>0+# + +endstream endobj 1010 0 obj<>stream +YZ + +endstream endobj 1011 0 obj<>stream +H + C ɴ/%:S%$sKѠH0:K ګoDjV_0."). + +endstream endobj 1012 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?躏V3S?۠yV[7NwZ--pσ-6qL>W8ƺRf^N=NĤAyk %f'Ҿ]C+URYvlg%$AO + +endstream endobj 1013 0 obj<>stream +HiB`G3Mň,QP }߉{m54Hj8-w]kˡǾ}Pl]IX<$ۓ(BUcg@ʬu"NBUyv]xFx{N'3d I<+:Oj8VPd=R;Z{y@ g~u + +endstream endobj 1014 0 obj<>stream +Hb`F&fV6v`d8E Q1q >aҒR2 @VN^AQC\XYEUCA]CEu>-f qFm]=}LSxu Ekaq (xIIz + +endstream endobj 1015 0 obj<>stream +H.}=mX}y}~ _8nXɄ\ʇXdXa.l/m-i2g\zĘwxY]ab`!_4lAu@t=nTz·¼XXYXW#deՒ}ڣuϘsZZZ^_^\@uzѝӣxYWVV_׏fؓ]ņdû] b!c a#`Cv\ɇ\Ɇ]~lYZ#d0m0n/f8k^_#a )e + +endstream endobj 1016 0 obj<>stream +Hb` 0`bfaec"ËE_@PHXDTT MB\BRJZFVN^MBQIYEUM]CSK[GWO F&fV6vN` gW7wO/o_?0sxxxDdTTtLl\|BbRrJjWz3dfffeWTB$ָ $]=}a +*|SN5*2g͜`WG + +endstream endobj 1017 0 obj<>stream +Hb7R7R6Q5P5P4O3N2M1L0K/J.I.I-H,G,G+F*E*E)D8S8S6Q3N2M1L0K/J-H+F9T9T4O3O2N1M:U5Q4P6R;V%@(C &w,~!<%@$?$?=W;V640 &vo#t 'x.3Q/L(E/~o!r365'BQoJhB` <np1)D=XOmGeEb">n*{42Hf@]If&B p qHe@]Pl*E'BGd?\Mi(C4 Nv + +endstream endobj 1018 0 obj<>stream +HTgS@EiHM#$h@,$`CŒ`[(Vw8Oow.p I`(Eh,? JIFT,jB]OJC YV&(qg2(I>R@@UUDH uG!42bRE)c@hOe۶$xDa8U*Y6hӎa8 +3s5_,,KUrzD0pcskj{>MӔa:1l@dSegMGUýnoʠU?>stream +H mSpU@/E^'V[{g:ԫtX֝D&0|^0!f }8BP0Lj́I%)Xfr% NpL`Ǘ{^SlL&;rDxq:UUU(6Zqs܀tXft(CYVK|X(p3`no[x2 Jӑ$ns\ehౘgU\@V ؈CFF#mmnCz §,ˢ(/ʪFf0@l^T*e +7mڃarU*b1 L>–#3 }I T݆ۭĿd] + +endstream endobj 1020 0 obj<>stream +Hb` 0I&333V,l肜@\<|مED$I)iY9yE%e e>U5u UM-m]>>=f}C#cSS3s K++k[Xؓ Ԍ\}|9#@pH(X",<"2*Z !OHLJNI 9%g$2sr΅E%`K+*:\Skj8AI03 + +endstream endobj 1021 0 obj<>stream +Hr򧶮izĘv}:pBv@s@ne W + +endstream endobj 1022 0 obj<>stream +Hb`h+;V N.n6^f>~@B*-#+'ʪǂ`>R + +endstream endobj 1023 0 obj<>stream +Hɲ`F_,TWl* "d0I҆J >}up8g|6[bk+0 cgv}??G|zu<(ey8EӴalfcv:vxu:nWQeYh:*ԴriZٶoFjqw:^OYat8اӅezlz$ &e:]NQJaZ4nyP%I5]_V['$Ihv#8^. T 6F +bX*0HY A e\.Q;ay^I&T*N9 Dx6 d|>p8& av\.BP0bLð u2 + +endstream endobj 1024 0 obj<>stream +Hb`dbfaecIɰ+(*) kijiI3$ML-,ml X98x]\@R6R@m^2 mJ>~A!6 m,avrQ1~.qnV R ^avI@T?4s T H*+#3+(_ +vKtaFfQvvbdqtn/PIҲ̊l)y mU5u @do[ccSs]KiYCkkfVVb:ʴں֌✔޾ &NT7(h)SM1sƬ@}@4wgfI0"v + +endstream endobj 1025 0 obj<>stream +Hnyw~\[]667111///...000mkn~UTV778zx{zx~|srxYX[==>..---,:::~}zw~}{dcg767-.-+++CCDyx~yw}uty[Z]444***BBCywvt{ecgJJK333011NNNtrxQPR666YYZzxrpvHHJ---nmohglBBD,,,222GGHsqwbae@@A566WVWJILAAB{y][_)*)LLL{x\Z^&'&IHIwu{YX\%$%EEFzwsqxXWZ@?A101a`bpntIHJ233 h + +endstream endobj 1026 0 obj<>stream +Hb`F&fV6v666N.n^8;PFPH&!"*&.! T&%-#+'PQTRVk5jBdut!z $cS3lP V6l` [;{8Tщl3BGؗ.!  +ApU$ ":&6!HLJNAHMz(Ş5'7/l5GAaQ1BGdIi vJ@& + +endstream endobj 1027 0 obj<>stream +H + H65'ΆE@Hp e7tHe7Go]j1Uq2;~K,3 + +endstream endobj 1028 0 obj<>stream +H REv7k_>t:AI =[װMե~N3s=߷Nű,`0fOOlXlj^l6vg/JZnWx5fTwNbp<L*%(\R>ACju.`$& +HEdvav~ "P4M +eX (j2-0~0"I2"AZEFlNs|'D(B"JF4u'R~YNMwwwJZ5Z^Cz- *s7T*7 +ŭR)l@pxo:>stream + +  !"# $%&'()*+,-./012 !3#4 56789:;<=>?@ABC2DE FGHIJKLMN'(O?P-Q 2RESTUVWXYZ[\M]'^?_+,-`abcdefghijkWXYZlmno'^p_+qBrstuvfwxijkyzYZKLno{>p_|}~whX89M&)@uvfkIlmMo'OxjWXJ8vfvfwjųƴǪȀuԳշԳ + +endstream endobj 1030 0 obj<>stream +Hssrsfff~~~Ůjimpnuzxyw~ϴwvwzzzoop^]`ljp||}ghgrrrīHHIDCEVTXdchcbglkptrykkkjjjmmmѢaaa;;:333;;HGJYY]ޝµlkm\[]`_a__aEEG334777ttt}}}҉xvy|z|zy|YY[???œqqq||{Пzy}۳~~ϲƈݩŲɱݺӪ <⍏ + +endstream endobj 1031 0 obj<>stream +Hb`dbfaecgKI+(* $UT54ut MLU,,mlu]\=<}|BB="""cb-BSR32sbrc- + KJ+*kjbjc [Z;:zz{cbb'Lt4yigzϜ5{6P|y ,\xe@nmL+ VYn}Lnn z{ 7m޲u[ l"g߱s gb:|1o 'V>stream +HD[\\Z@woԘpӘi{Z[[Z,jE{F|BsTzYXVVaSՆhٖ`̋aa"c!b!_=r[ƒ`brY*iBvCoBlTy]a ^]%b:qCrDnEoZY[_^[[nΕȩZ[[Z1n?uWWXYYXX kڶ + +endstream endobj 1033 0 obj<>stream +Hb`dbfaecA!aQ1q I)iY9y"()+khjiE!N$!"]\=<@`PpH(X5TbsxDdTtLlT\O|BbRRr"VI}:5Xa"(pK@30 +dffey; + +endstream endobj 1034 0 obj<>stream +Hg!!!777???>>>LLLZZZ\\\]]]^^^___```aaabbbccc + + +'''...CCC``a (((BBBDDDFFFRRRVVV ! <<डihk<<=:9;<;=**+굴}bbd878北baczx~׻yw~{y|z " + +endstream endobj 1035 0 obj<>stream +Hb```dbfbaecgb` +$@FVDDDN^ARQIYYWEUFMA e54utt 1tK*([XZYb5vN..q pus#I@A!!aQ1q I`&AJjZzFfVvNn^~AAaPqIiYYrryErreUuMm]}C^StKZk0HO2ή޾ 'M.)RSKM1s9s_pKFœCB.Xbk֮[aaa[(Yښu;wڵ{}tw?+ykcO;yå@PV @:@ + +endstream endobj 1036 0 obj<>stream +H}yw~{ygek878---/////0GGH...101VVWxv}zxfej778111yx{usywt|dbgdbhediXVZ55677799:999989656000444JIK@@@<<>stream +Hb```dbfa6vN.n(` ąEDA,bR0qiiiY8\[VV^AQd*B\M]CCS $73fe1261E[XemlمIǏ? 0I+8$4,<"2*:&V +I\*.>!1)9%5-=dfeHaKHIabǕW`RYU]S[*`(- + +endstream endobj 1038 0 obj<>stream +YYZZ + +endstream endobj 1039 0 obj<>stream +H|O[0z;kC `p9 @X((h@OKUP{FET:ڨ\d8wèxO`W + +endstream endobj 1040 0 obj<>stream +HnRN- 6q0r,"c (P`B9F.s_,D5Y}u~Apaa Ng0Fc<OӅR%[VidVh4 % +nlA[HM*.//;6hAj:N;5le3crM7x7וJ5]]5g g^>]!JbH +AP,,Wt[ޚvݛgg\?^@@ 3EjPKozKOWW_q/ED,@mr@./ + +endstream endobj 1041 0 obj<>stream + +  ! " #$%&'()*++,-./0 1 234567889:);<,-.=>?@ABCDEF9:)G;<HIJKLLMNE88OO9:)*<+,-PQRSTUVWXEDEY8Z::G*<[,\]^_`abcdeffg8:::h9))G<ijklmnopqrssVtutvwxyyz9{|}}~peyOoooooobppppoooooopsMɘ + +endstream endobj 1042 0 obj<>stream +֬Ѣح + +endstream endobj 1043 0 obj<>stream +Hb`dbfae\>stream +YYZ + +endstream endobj 1045 0 obj<>stream +H|A `l +"قY.IQt5u"}ŒUntcG@<xAT=!`Ja + +endstream endobj 1046 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?envi^~h{ga%zxTbXl<k:0W:_m.!Z| ԟWUf^t?qwQ^Qz + +endstream endobj 1047 0 obj<>stream +Ƕ + +endstream endobj 1048 0 obj<>stream +Hb`( 83 +l8L*Ë]_  + +endstream endobj 1049 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?:ShXƻsXvϘW)Zn4\N1uh FLqՃ:YdߴeӲ ? p'} + +endstream endobj 1050 0 obj<>stream +H뽺䊈zxyw~~xv}wu|{y悀ކüywyx~zx~zw~ < + +endstream endobj 1051 0 obj<>stream +Hb`3111cgaaaeccgggFF䖒FWPTRVQQRSb(%o`%hd -cS3s K+k#FF$ "#ikg$ +4],,\YXl7; t :+22>9J" U" + +endstream endobj 1052 0 obj<>stream +HWŪŤҴ㚘¿zxyw~{y|z y + +endstream endobj 1053 0 obj<>stream +Hb` 3ag`.Ɉ] 87#Vq^>~lzED81E%$%+(*)s\\EUM]]]CSK[GWO_߀ SEM4Ĺ$` | + +endstream endobj 1054 0 obj<>stream +H r0㴎≊(AT*r"D>stream +Hl@ DgUb] *ͺvd$DXtDB7FVo4[t{$/C|ƓVXsS-fA@3POt\g|\oy'pA}yK#rX + + +endstream endobj 1056 0 obj<>stream +HB@T%$ԠF$Ad눎oWo銵#bySRXij UyK饠dwkeUԵI%j@|& o[^+Q2!N<3hLZ(e<La;w_WX$h9̜B + +endstream endobj 1057 0 obj<>stream +HlPEA(9tr;W{?α\Fꉶiunwe*ViEG'؟̓0" W1 g16%iЉgD #jLہ*;A3}iws|r +a + +endstream endobj 1058 0 obj<>stream +H @0QD"I|jv61=J>9)1z' *웂Lba|/*ǎTY u0>x\W+ mmݫ4WAIaj?C~/xOaLh1VrI.K-D%[,/E̝i 0 j + +endstream endobj 1059 0 obj<>stream +Hb`F&f(;'707'/88+88;{U0,eRPS0SSKW:"zn704b v<0@, +, + +endstream endobj 1060 0 obj<>stream +Hxɿ߾¯ֲ˰ױѨʹ |a + +endstream endobj 1061 0 obj<>stream +Hb`:(3 +6q6vN,:yx  +a c3IHJI`32K`Ϥ$̅i:s ?l + +endstream endobj 1062 0 obj<>stream +HR. +*,O*Tj.pP1܈x1z ms>Ĵב +:1%l9J]8@Kh!A 5QoT5 +(_.tq1_cӅ\ =I$(AF~sܭՕl>stream +Hb`L,(B 6vvvL @ȆU؁$عyH  Bq I)iY669F @!ņQ[GGGWOؔ -,YllD@N.nl OO/{o'' _?-F3ࠣd<#1$@40j& + +endstream endobj 1064 0 obj<>stream +H WÆWs-46瘍9ObF$#L. +﴿wyg3zw !&b(n?GHP\؋?q9W;9V(TH$2ZPҨU4fC$&HM7mn.p욝шSn $T +_CYk5>?_т_+P&U:DHƈxao<莎 +҅h,SyUKŌY6jl6>stream +Hb`dbfaecg.n^>~A!aQ1q I)ii4X'P 8;zypp!s`P 0dp3G  ȨhX@& 55x3 h)av96 $TQE2ȇ)>i@Hgdjeeg|,`٬Ov + +endstream endobj 1066 0 obj<>stream + + +endstream endobj 1067 0 obj<>stream +Hb` + + +endstream endobj 1068 0 obj<>stream +H'̻¾ì}wu|xv}xw~yw~zw~ؾ xw}¿ƽʧ{y|zzxո~|ϝޮ up + +endstream endobj 1069 0 obj<>stream +Hb`dbfaecAFF!aQ1q I)i^>Y(WPPTRVQVRS!T\^^^DLMR YY[؊;8:ɡkkgW7tq9F mm1 .*>@/ + +endstream endobj 1070 0 obj<>stream +HbuuvLLK...???___qqqnnnXXX;;; 444QQQmmm"""$$$AAAjjjyyy~SSS666###'''CCCaaasss:::RRRMMM222!!!DDDlllzzzxwz[Z\nmnxwyZZZ555999WWWbbb***,,,IIIppp{{{¿ĄBBB''(../^^^ttt[[[>>>NNN|||ըZZ[BBDIIK^^_ccdYYYPPP鼺~xxyrrswww`_` 888vvvʮnmp#$$777TTTxxxʾû011(((@@@\\\wvwÔғ{yzx~ϼyw~xv}wu|vt{~}z~|}|}{ywyv}}yx~|z ]ix + +endstream endobj 1071 0 obj<>stream + +     !"#$%&'()*+,-./012345#6"789:;<=>?@ABC,DEF8GHIJ!KLMNNOPQRSTUVWXYZ$[ +" \HLNNNN]^_]<`abcdefghijk:OOOMMMMMNlmnopqrstuvwxyHz^^^]{{{NN|}~z{MMOMNl^NNNNN^==osNMMMMMN]N];NNNNN];MMMNNMO;^^;]NNӶԿٌڡ۶շл + +endstream endobj 1072 0 obj<>stream +HtpppPPP000$$$222QQQvvvrrrOOP//0!!!==>aaattuOOO335+++RRSlll~~~LLL,,,###555TTTwwwmmmKKK--. !@@@eeennoIIJ112../VVVoopttt^^^""" :::ZZZyyy}}}===)))'''GGH^^_556```xxxkkkRRR666 ??@&&&...NNOrrs---;;>?cccFFF(((GGGfffuuuxxw|||___???DDDqqr]]]JJJiii777<<>>MMMjijnnndddIIICCCBBB444utv[[\DCD..-323rqqzzzUUUa`bVUWcbcAAAgggYYYޠ{{{繷ŵihjWWXOOQJIKqrqð{z}non++*HHHsssӺjjk>>=,,-YXXɂ@@B &` + +endstream endobj 1073 0 obj<>stream + +  !"#$%&'()*+,-./01%234567819:;<=>?@4:ABCDEFGHIB6JKLMN7ONPQRSRTUG2VW6SX"PYZ[\]^2_`abPcdefCg1%F.h7ijklmno%d3pq!rG2s5' +tFupvo%d$LDqUw2xoJyZPy6z{Mr;|C}~LK0CB;tiEWbdb}0 +5s2r8Ndp +Esi*]FTX +fLYo6TXa~YjC.g}e:aIRDϘд f| + + +endstream endobj 1074 0 obj<>stream +HNPK+]tPJ)H`dHF@ e|E f̖Gb ljD H(1@E:^kRQ +`  +$L$@HP__RZ+SߙKxqz趍VשM6M80{]wGs (]/#cfYG[Ye>Y/KHRX D$oʋ$hn!_~ȕwyG>>rAo墚JfmM}`* + +endstream endobj 1075 0 obj<>stream +Hb`@LL,lh 쌌\\7$;;e*/$&"EEAJ`KHJI9r0 ܂ +J*Bjj2HihjiL444Lʹ-, mlx9 7 {GG'g]+)W7F8{xzy23 Irq1#؛ٛ`-`4#Ĵ"ŁEGG[9(< + +endstream endobj 1076 0 obj<>stream +HPA0襝>stream +H BymK$%`J\9 TE}N-s'`#xfz`,1 + +endstream endobj 1078 0 obj<>stream +HNiO`d1YlNcƜLhK>i@+l)@kA&ϧ疺roNs_?ھ9;ݩVy{ hoTg<+Fr2VBv\lBg-wJQ3')oe b\7Ԕ1bLhbg-)}SܔgM)Fúab 1-\ל3k3 !f |D*sRM(5%(-%մ$MyNsZM0.QD;%ҌVS b&0q1"!} !&D:gf*9w5j\=0!W(Rjbo|ؐL:Wjd ZK!z\ʪRJ|n^I-*yr1#w2`.1D*ɻఢvqSCW ;8澜B7=5U9ٻo.KϷstn\Y+Sh@KH)e}L}ΐD@.!GrAuj-w|s~`Rձ + +endstream endobj 1079 0 obj<>stream + +     !"#$%&&'()&*+,-./012345.$%67889:;.<=>?@ABCDEF3G4.H$6&7I;J4KLM?NOPQARSTUFV34W.$J3XYZ[\]^_`OaaL[bTXcc3dJWT[[e\f]gh_ijjklmL=TTXcnFkokpqrostuvwvxyokklLL=XZzz{|},~utttut{kklX=|Xsuutttt~{xxo}l/ttttz{>Tqsvttsszz~{T~sszzT~ltɻʽ + +endstream endobj 1080 0 obj<>stream +H| + :BkKJVGWIaSDGXXeD!9>,`LG>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?F@x"+ۨڡci$ +$lXirXn:II,\sCj&HJIgJ?ͅǤ%Ǥ + +endstream endobj 1082 0 obj<>stream +HQ + Ca%)^N 0Qڧx%ADU R ,,#-p:6gze +IBCBU + +endstream endobj 1083 0 obj<>stream +¿ȭٽ + +endstream endobj 1084 0 obj<>stream +Hɷ 0?9%aԊh!VZ:9bʥ\A`Fm펥`g + +endstream endobj 1085 0 obj<>stream +H +@(,E5p8 ͊l}/9̭MpBSMf˹v7_{P$=K`]/i @U6ƣ) 5@a^x>stream +Hb```dbfaec`@\< |B˜"bXtHJI J`WPWRRWeǐ`TPP4SSKP[SAWO&O7lQ4Qª8  + +endstream endobj 1087 0 obj<>stream +H105Ake"D$Zq-ݲ$a>Ҕ_}^a[~_ wG& + +endstream endobj 1088 0 obj<>stream +H xv}yw~zw~yx~yw¿Ƨ|zѵ}{~|{x{yzxɠ~߸ĿŻwu|ؤ}˼Թ ˩ + +endstream endobj 1089 0 obj<>stream +Hb`db(`1X9࢜\pqn^>~A!$]}"bbRB2r0IyaEE%eU55u&& !1XL@F]ȖY$]\ݘa:D=<5Q@` 6ym%SSSt 0S@f3 + +endstream endobj 1090 0 obj<>stream + + +endstream endobj 1091 0 obj<>stream +Hb`dbXpg*̌](  U + +endstream endobj 1092 0 obj<>stream +HQ BJ""jp< z'W|ˇ,H'K(^9lwQ{;ϜUro`0H` + +endstream endobj 1093 0 obj<>stream +H +0!(P,7p6tY 3/&m9j}7`DEd%an$JwUX'`\MwX9mg6DdL$"kf6C mdU9Nï焺j1q + +endstream endobj 1094 0 obj<>stream +Hb`C]SX@PHXQ1q N QfI)i ddMfUPTRp*!Z6j `1 + +endstream endobj 1095 0 obj<>stream +H0 璣\sI%fdkRW~"orVpLb\dom9` gS vd 4m4-yпl 8gZ_Ov6GSoQrrx%Ŝ;|0w@ /l + +endstream endobj 1096 0 obj<>stream +Hb` 0bfbƮz6v\XŹyx b"..!)%ő2r +X1UTy50ř4u0Uu80 M 0ճ10 + +endstream endobj 1097 0 obj<>stream +ZYY + +endstream endobj 1098 0 obj<>stream +Hl :53$ /* [R.c-S-R^6ta{g,y5yyNվi) + +endstream endobj 1099 0 obj<>stream +ӿDz + +endstream endobj 1100 0 obj<>stream +Hb`4L̘,l\<|q +y + +endstream endobj 1101 0 obj<>stream + + +endstream endobj 1102 0 obj<>stream +Hb` +30T + +endstream endobj 1103 0 obj<>stream +ZY + +endstream endobj 1104 0 obj<>stream +Ht + oELgv"qwE!hJM_ .NS]r`B"şgj9Z ba + +endstream endobj 1105 0 obj<>stream +HrڴիѳȭϷ E\ + +endstream endobj 1106 0 obj<>stream +Hb`F&fV6vtË!/ .,$,"*&ˈ!.!)%*-a(P\QIYtcŰs(L + +endstream endobj 1107 0 obj<>stream +HN@Яֲê{^tDB .\ [gYm;ӷ$*<^fh@n4M,g_7M{WUBqK캮UU1<ϱ$>)3Mr˲>stream +Hb``dbfaeacG\<|@ $ED%$Xt%ddEUTZ@,Z:zHrF&&f 8wpttpW7%> A + +endstream endobj 1109 0 obj<>stream +ZYYZ + +endstream endobj 1110 0 obj<>stream +HQ C_Y!٢t$~{db1+ejD3q?SΛr7:N&ߡ%< + +endstream endobj 1111 0 obj<>stream +HRPTƉA R 3tu|/ϊWeZ'[YZH5cS w H]1 U>,_^5,=̦ 8YLJkov:hP=lyguH@Utي"'eyZO|ExQ@iN۱Κr̒8Hɸ$Vm= 0ܱ + +endstream endobj 1112 0 obj<>stream +HlG0%d09L&p`cWWm/cq?n5X\Nv"$dsy +ŒG/Jn7T~쨮 \F/~01^Nz? =3~8:'<_ c{<__[% Q + +endstream endobj 1113 0 obj<>stream +H ˽n@VNA +(RG(40ح&HK]|GS< x. 1X<,=LDcp,۱5ey:ax9tr/3EVEAbiԶm]UUEAHBpFpȱ뚦ݺ96\3 Yq$z!7C>MS{,/(w~sN  ) + +endstream endobj 1114 0 obj<>stream +Hb`QLxf"Ԡ(FK1+``^>~A!a6tmbbR2 + +endstream endobj 1115 0 obj<>stream +H HMZ.e|8hv ++  4(! gmzaH. >8$#$bPC$2- + +endstream endobj 1116 0 obj<>stream +H@ +0bB k}]6 G@w`8eQI6K8f}K,ijKKwӌ"b0;PV9% + +}Tyi;4X:]64g=\ + Hω ̺v + +endstream endobj 1117 0 obj<>stream +Hb`8 +;\<|ED13K`KJKb*)(\IYLEUM]\]CSK[ ]=}u$h + +endstream endobj 1118 0 obj<>stream +ֺҼ + +endstream endobj 1119 0 obj<>stream +Hb`@LL, l\ ̓]|  |GX + +endstream endobj 1120 0 obj<>stream +ѽ˰ + +endstream endobj 1121 0 obj<>stream +Hb`DF&, 98sqpb/ (0- + +endstream endobj 1122 0 obj<>stream +yw~ywzw~yx~ + +endstream endobj 1123 0 obj<>stream +Hb` !Ja 4XȈn&N]De6F=dD``&5 + +endstream endobj 1124 0 obj<>stream +H]yw~yx~zx|zzw~{yĿþxv}wu|ywzywv}}|z}{zwzx~ Sg + +endstream endobj 1125 0 obj<>stream +H EL +v]Kg xv`!R`jh8owA`c`0h'R֚-fK_G)|cȠU"cLV|wE +^E"e7|?C,ysoӽA x + +endstream endobj 1126 0 obj<>stream +H;OPFu^`)1F +. rjZ:2D:@&ވ_9ۧlDD 1ŀ"HMS7M~E3ufƣֈ"aEXekz=^_{(P H1 4}_뺖slgɲ,M(lYs޶'BF麮mr0NHwUUy~I9gEDi0qsO재 + +endstream endobj 1127 0 obj<>stream +Hb```dbbbfaElllLL`++7D_@PHXDTTU^BBBRJZFVN^@@AQQI"*!^i]]=}C#qcSVV8 *wpptrvqucwgRE^>>>~b@`2 + +endstream endobj 1128 0 obj<>stream +H gSC%υ AQwV6.ŜfVU~}d!vn{0}grՍ5eʺ"骼TXcL8XfۅcV9UWXdy:'"^0N?M&csdRV9kFW+gntQxr`6BĈ`+fqD(NEVPݤ6}᠟ȦsFyAl:0% +h<\_קXrʗj+$R€4CF݂خҹY"Y=X<" + +endstream endobj 1129 0 obj<>stream +Hb`dbfbaecgs>; + p;9Z{zz9p񘃀 K H9XXhi1!Ľ-,,|#"%Q*DFǀx1 2*gꎦ>.t07O@P(1P-+)) HIMKPUuA1 7S2K2;%PR + +endstream endobj 1130 0 obj<>stream + + +endstream endobj 1131 0 obj<>stream +Hb`8G + +endstream endobj 1132 0 obj<>stream +H*ɻ~|xv}yw~ĕzxyx߿ĸ~Я}Ž£}{¿ȱ{y|zּ E + +endstream endobj 1133 0 obj<>stream +Hb`dbfaec^(III)iYi9yE%eU5Uu6I(dRQKH)\X4$*cwpDN.nn` ++]F  )y + +endstream endobj 1134 0 obj<>stream + + +endstream endobj 1135 0 obj<>stream +Hb` +30T + +endstream endobj 1136 0 obj<>stream +HlȽٶכ˭Чմ wW + +endstream endobj 1137 0 obj<>stream +H[@ F"Qe6?;S +ܠ_PY uq@05AG8xI׍@?'vN + +endstream endobj 1138 0 obj<>stream + + +endstream endobj 1139 0 obj<>stream +Hb`dbfXرp`W‰K 8 7. \Y + +endstream endobj 1140 0 obj<>stream +H䋉zxyw~}{ㅃyx~{yxv}}|~zw~yv~}ywyx~|wu|vt{zx~ B/ + +endstream endobj 1141 0 obj<>stream +Hb``dbXXX؁]]0#Q1q I)i^Y^F$qQQ9yyE%IeU5uuu>Q QMMM-qm]=VVD@@PԌ]D-,,8lxm"v@q1ss>G']gW7b ( M0;& dph  {P#d + +endstream endobj 1142 0 obj<>stream +H3߶顟zxvt{yw~~{}{}~{y|z  + +endstream endobj 1143 0 obj<>stream +Hb`83 VqV6Flz9881yx91 KHJrX$%-#+'" +SRW70`aa1ȅqki[;[{G'sg UU1 + +endstream endobj 1144 0 obj<>stream +HB@653LfJ͐t9;} :C?ϞM|H{B:|yZĚMAZ sߍc7M50VdMॣx:p„ʒ:t܎7תxIe/dZ:et + +endstream endobj 1145 0 obj<>stream +HtE@Xww3!I ~#RIV%耪~n`NL 9CA4P$iW_Wr4H]?h4/~d ) +d + +endstream endobj 1146 0 obj<>stream +HB@NZiUTRĐ 7#C$ς7<ږTEAc͞^fٺ^kSU|Œ^gncpu8ϳjά"<(¢ [bOSB?/|׾)AP%#9pHO{3n@MB$Q7_C1 + +endstream endobj 1147 0 obj<>stream +Hb`dbfaec\<|,XمEDŰIKHJab5"6J*\42k`PҖ"ΦIl b740&ail S3s lYjXY``絵0Hh + +endstream endobj 1148 0 obj<>stream +H  By&kj PQ]q߿g +0Wb0H#= ?k +JgWCx$z7> + +endstream endobj 1149 0 obj<>stream +HlP 0 SjL=!9`_*ȃg.D2eȭen=Aм~NK%I@>ѩg 9Oj + +endstream endobj 1150 0 obj<>stream +H S?3f#+c0rJnGQPW2y_@Z{8'cid/ {Onu_C߁r0!ABQo֚bZ;CZvx$p"Ƴ]0C0䂬N6ęlfT,0<bGA [$A*@1 +"fmUEUB$ y>D߿'cNKT"' R-pj%˫ET >stream +Hb`F `bfaec $ED%8$ed@VNNI^AQIYERU 0211+ihKij033K-d`YL@QV.]=9l2:F&LASS3ss K+ky[-S;;FS1#\{xzzy13K8;+j"$@&,S2"2"* Wg + +endstream endobj 1152 0 obj<>stream +H B}b%MtkT1tJ\vQHwOzQ`* +%+ + +endstream endobj 1153 0 obj<>stream +H繶TRU>=?==A(.B<3 3 24ɱqpsXWYUU\9@Y'J5㳰ho>Js%1X!-T#/VBߐcpUaR^T`Q](3[78׌kw`l_kam^j=Hp ,Sڻ҃vr}p{p|kvfqyw~xv}}{Ҧs~ts~zx{yþvywyx~wu|vt{~dpcncnepny|zswWbUaVaYdjuxv|ut|jkzW^yGRzFQzEPyHS|Zeitmx{x~pp|PVu@Jr>IrALuCNwBMvQ\`koo|JSs;Fp=Gq=Gp>stream +Hb````dd`bfaec`A!aQ1q I)i>e+((*)khjij1 2Mg`hdlbjfnaiemckgࠫ _PXP\RSV =UU5u M-m`X(k(럐 p7q)SM1elh%9s_ -Zd)BGeWx\j5k׭GذqӚUV͋Ptl\jZEbPJԪUw. + +endstream endobj 1155 0 obj<>stream +HtOY!詜,M{rd:;̉li-M;z9ل߮*tN? 0E2R + +endstream endobj 1156 0 obj<>stream +ؼһ¬ٹ + +endstream endobj 1157 0 obj<>stream +Hb`@L,l \<,| @PHHPXSDŰB F + +endstream endobj 1158 0 obj<>stream +HN@@?pqb SM-JIj&F.$uԁP_F8((@"DDlsz@Vz5U^,6|, .%/"pn뺦i~i/c/Wq$_.?8'm۪.}N$MSyFdEmwuWDkD40-Rj 03 + +endstream endobj 1159 0 obj<>stream +Hb` +3G`5!1I%)F666sBL_@PPu"bR1+((+) Jkh*hi211A(zF&HffVV6v&Lpq$;8:; Ȩ[{xzy{{: + +endstream endobj 1160 0 obj<>stream +HO ?ZRm El`Q8 AGsJ H*B!Ԓh ;xE + +endstream endobj 1161 0 obj<>stream +H}{yw~vu~hizPWv>Hp=Gp=Hp}xv}zx~sr}\_wHQs=Hq{yoo|HPsIs׺rx^hJU~Ir䪭u~nyfq_jCNwBMvALu=Fo=Gour}s~bmR]OZDOxit`k[fMXIT}IT~IU~穮usny^iXcYdݝtq|ep䥫Ƅtt~㛡}sዓs}Ӄq|ဉ I + +endstream endobj 1162 0 obj<>stream +HT7PqOR+jF(ޣ_VIrw%I +d(R\BKʁ!hVQHU`ur Ƞzm.\ljfB-H[{GgW7ѣ}CæiQ4FF'ؔJ#gfmZpEu)XMb5Zp'M[ :"/=ܺ=uw!eo$'Cx_v1?>$~s?!T + +endstream endobj 1163 0 obj<>stream +H¿뮫傀yw~xv}}zx|zwu|wu}vt{}{yx~{y|{|{~|Ŀzw~{xywzw o + +endstream endobj 1164 0 obj<>stream +HPQ[H޻/mwvRԿh(~ +-cXFdXƪN#CH4O$u)|HR(WA|h/+G/>stream +HQ Bn-+54>% #BA>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?zQ/nk7g[y]TH>stream +Hiڽ∆žýwu|}yw~|z |p + +endstream endobj 1168 0 obj<>stream +Hb`@L,l@ōE|%ر cJKHJIa%Ɂ"+oj("`> + +endstream endobj 1169 0 obj<>stream +H|!XhT E^Z'sgwj<"+(BX($.y\cfsnݝsƯR@=Ol0Uh + +endstream endobj 1170 0 obj<>stream +H N07 "S]ۭJz-נIPh-co?ؐy/W^5Z&aևC @= p*]*LMkK"a!7&mHO=:E]e + +endstream endobj 1171 0 obj<>stream +Hb`dbfaaeecNnNeJ %88ED%$` JHHFVN^AAW .2*jj RK[FF[YYGK*.FrZ:z`1!0 Fƪ&:f@$8-,䬬mlƀ %' xLGAȨXn- x$bLNIMK 0%L + +endstream endobj 1172 0 obj<>stream +yw~zwyx~zw~yw|zzx~ + +endstream endobj 1173 0 obj<>stream +Hb` 0200gaDSpia:~tc ];qW3ٱ0'S + +endstream endobj 1174 0 obj<>stream +HI ;F%pAB=[ *$ 'OFchl\U?ۗڇM'0 + +endstream endobj 1175 0 obj<>stream +HO@l@y9^"o2 +n%ΘLu>7)@+fYD&nBD]mo?Ӈq?:\.SU 6E'nޗf{0ob'6EB45|JN>|O{wW*ŖXZ"TUK՚uw=$qb7@ $>)I.J((BYh2k:)4LB9hI#"qU@YxF+4Y>ԙ*htc!5K;6H0_fC|V¹xl5Owv +rmW|b]‘Ah/'Th؊ 7e:Y-vQc1ɯK|9E|BTnfn^~mux + +endstream endobj 1176 0 obj<>stream +Hb`d`d``bfaeec +32 + KHJI3UDEU54utuxx@PUUT,neml6@#5IF}{e#6F'ssFΆ..n BFFOA/o__?r(`d  + ghg +KQS>>!)16&)9%b D}Z|zFflLrrVdP0(DKʕ**kjk57465wttvi@00zuOљ8)~)SAAY7m>dxgϖ3w@KI + +endstream endobj 1177 0 obj<>stream +H`yw~zx~{y|z}{¿þ⃁ywyx~xw~zw~yxzw (gT + +endstream endobj 1178 0 obj<>stream +Hb`F&ffV6vN...n^^^8?80JJIJ+(*))#&PLIRGWO@H몪"IJJaQO0Bicj3-\#Vmc:Iw@ +W + +endstream endobj 1179 0 obj<>stream +HQ Bm̢অ5XR8BO%q L&R>\s>⡈{rb!2> + +endstream endobj 1180 0 obj<>stream +HJ/;5,;ɷֽ֣֣ |AfɜĜ]^6n6y.(Sj9)9Fa~'2[Į 9eC:m+>stream +H\P!lyiMoD,l4[Ņtu{p4oql2$Zo;-;eH' reJ;/W=?%_ɋ֥E@SB1|D#Ar7Ç_J3b:2Ja88 5i + +endstream endobj 1182 0 obj<>stream +ǽ + +endstream endobj 1183 0 obj<>stream +Hb`L,, lXG @F1 + +endstream endobj 1184 0 obj<>stream +HYZYWUUH CVSS A +9WRJ D C +z5l.YTH 9 t1 q/k-h-ZR B ~5o/l-i+g+ZN +8 +u3 r2 o1 k. h.RIEhjj~h|g{UUhX]aX[Eof}e{m}tqpsZ%[0[0Z>stream +Hb`@ Ǝ& +L\<x42r +J`F@`TQUSF7AFGWOЈCrF+k[;{mwtrvqus e@`/ D0CH( RaH +f`J6E^ + +endstream endobj 1186 0 obj<>stream +H RPò PLQqIWJ')kIKJ3<4wiޥs~4y c6fkF!'t/z)9Ήv׺۶Uflb^KfTc AF[+.4γ~Y#z5cD1ɇWP%7CY›)!* \KYiO;SQ"~NKʫ.wMk2]lNdz9&nZ JRpc7q` Zw \x#8`@3 + +endstream endobj 1187 0 obj<>stream +Hb`dbfaaecgd`fa䔐嗑WPTRV*dU55u MU-m]V=NJHpJ(q"}s K+k[;{0.`a7 $ =>stream +H KS@_>stream +Hb`ddbfaevv6  + KHJIII#edeUTUY -/j```*n&fV60`9;{G.')C88+({xj`A/o1Lq_?@Lq +*nU a8 + +endstream endobj 1190 0 obj<>stream +HrՑxr}s~츻Ѓutsut~窮ٙt淺Џr}㖝y݆o{q|ᱴؘ̀{˱w s%b + +endstream endobj 1191 0 obj<>stream +Hb`F&fV6fgb&Q΋*ʏ^@Pj>stream +H n0৞B[!nl;Ltg@Y2b2{… !ü|r071w-| +P>stream +Hb`&F FX `7`+f @ʆ]=;'@7/?"Õ%UT54%ĵ)133 (3 BUM A + + +endstream endobj 1194 0 obj<>stream +徾 + +endstream endobj 1195 0 obj<>stream +Hb`@LL,l \\<| . + +endstream endobj 1196 0 obj<>stream +ξ + +endstream endobj 1197 0 obj<>stream +Hb`@L,l \X$@( Yb + +endstream endobj 1198 0 obj<>stream +H~ྼ£޺ֲɰݼ夡Π ef; + +endstream endobj 1199 0 obj<>stream +Hb`@L,l \<|BʅED1%$%dЅed0mQTRVP4CU&0; + +endstream endobj 1200 0 obj<>stream + + +endstream endobj 1201 0 obj<>stream +Hb`L,XXر* + +endstream endobj 1202 0 obj<>stream +yw~yx~ywzwyxzw~zx~zxxw}đ|z}||{ + +endstream endobj 1203 0 obj<>stream +HK0yj]M&[uFdAmGMpbkywaĚn;qa_gT> + +endstream endobj 1204 0 obj<>stream +Hryw~yx~xv}|z{ywu|vt{yxzw~zx}{~|xw}zwzx~yw PA + +endstream endobj 1205 0 obj<>stream +HG0 {`BAP{xG2=T%YQ50e;A`h'i%+f5;'R +Ӡe5xȝ<ȳ귏_s$OQ)B + +endstream endobj 1206 0 obj<>stream +HMO` 4Z6U[nv lfg M0pa|('M,˒$ysu0 s>N1B@&5 8˲,"ɷy(J ͳp@0 CEi7׷4ői-ku)]ap=}_u]UU?7d + +endstream endobj 1207 0 obj<>stream +Hb`dbfaec> J@ +6 [X +[Y;7pA.c + +endstream endobj 1208 0 obj<>stream +HMO`-߼ AELkSA6/yEh:Ʀd\g0/K K5*8 0Ms23hB,0 t2^WyN4yvٶ#UմVHb B躮e-ˏjeLEYIz?S?`BeYٻW7XAIEn9O;T))&٢( l6mj4)u| + +endstream endobj 1209 0 obj<>stream +Hb`$͈$e0!afcbA7S=+dcB1yxPu KHKII+(*) +B54ut !.[XZYQB0*T R  U +@F`rq0 + +endstream endobj 1210 0 obj<>stream +HNП.Q%Аav8Z%偖B8;~L]/~Vo]3W=Q;T;H0T"e8"\/ݙMQ$"DSzaX3ϵ08٧,O: A '%A1QD0LexVK ITy~}A`7ہCX$ T>-^g $gB"ܜ/=cba}GH,% W + +endstream endobj 1211 0 obj<>stream +HlPEll +xn?] +~aFAQRZ :hiǛ-p:L Èx}DI810ҟS!L3R@X*+Zl >]YHÑv>stream +H?妤xv}vt{yw~zx{y}焂|z}{ F + +endstream endobj 1213 0 obj<>stream +Hb`ڀ 83 `spp0bsrq kgDWPTRa@֣ɩH MLA.ZXZZXYXk۳3@v + +endstream endobj 1214 0 obj<>stream +yw~ywyx~zw~zx~zx{x{y{zxw}xv~xv}ª}||z|{ + +endstream endobj 1215 0 obj<>stream +HtW DS@Q˨~$Mdw!L\_ɧ;Yn#rF-2ZEnnڮ7 Yyen8n + +endstream endobj 1216 0 obj<>stream +H,jlkmoki9m+/Xh-v^:꥛W.ިlnihѿhGN9ygO],(-/֞.[ 6A + +endstream endobj 1217 0 obj<>stream +H  EkhqE6Q"NK,Ļy=QL:k`$%ٓ(B,|?A#?X{hNX6IAjZg濲VtϺo\^6 ?2  &J> + +endstream endobj 1218 0 obj<>stream +H| + w!A3P)IB{^?vk uI|KciO90c`!h) + +endstream endobj 1219 0 obj<>stream +Hb`F d@pP#L8L-#v0ŌZQ50"HBj1qI-<Q% %* + +endstream endobj 1220 0 obj<>stream +HNoqUʰR$*-ʮ U vꮣerR,Q`jk/*q9C`e6="/#({ءX)wOL'ю7#H8eW؁h.nֺneD-d"h՟:.<9X,w +g +i)w ?7n;rx*esJWO|c@,- EiM[9[e x>Cͺz}}>@O A + +endstream endobj 1221 0 obj<>stream +Hl@11nl .~Q{fvA %R +%~*F:&j{qv?aq8w@>ɐ?3/Gܯ/TO&׋rZ7Mڝ.Cz\Ɠt6_ oUIEQzG:'|C<< + +endstream endobj 1222 0 obj<>stream +H| [艓LWPi%BMXDm~}?nLfZZ|v}IczMNac>p0<O + +endstream endobj 1223 0 obj<>stream +=Hp=Gp=Hq>stream +HTP 0i孂4xA ѯoDO@C͟I4X +d +Ҕa<}_t䶃r*;-Z|\W!aφ` n + +endstream endobj 1225 0 obj<>stream +HtA0?[*Ҫ^Tb$LE`p0G3\~=9SJkԱ+|n[ 0$2 + +endstream endobj 1226 0 obj<>stream +H*[W(.s_[{AqyQ}>6@Ĝa^%uÓ>stream +Hl0 률¬Q&xW|#1qM_N931d%rX Ly vdõEBvs}=dž(T>>_a#FY緻[\[`_։M'.r~c  + +endstream endobj 1228 0 obj<>stream +H!|zzxxv}yw~΢ywмzx~ⷵ~|羻¿ÿıܿ¹~{yʶyxɻ 򬜯 + +endstream endobj 1229 0 obj<>stream +Hb`dbfV6 `G\<<|,@BŒ"bHڤ@FVN^AAQWjPTEFFUK]CSK[GWG K + DMLLXY)4:0wqqusYfii!@b0* + +endstream endobj 1230 0 obj<>stream +H0{5P$F%k1Bykz@/[Uv ? e.^ڗ.ܰd!6H + +endstream endobj 1231 0 obj<>stream +=Gp=Hq=Hp>stream +Hd !CItw`$ GwaXlSTU1m'm'%>stream +H?醱H eHw*Ty%k$aQf/ߍy_շHv +! JSM!PQ9V +ȳn+촺yR+}Mnf NjJM'$-a2($^+"SO + +endstream endobj 1234 0 obj<>stream +Hb`FF3 +6=\<⌼|$ED1%$$Ee!#+'"ώnE%^lPPQ`PUSÄi;1MḺVO_ + +endstream endobj 1235 0 obj<>stream +H + wt,"t$ US]A9;ЩROXHRZ-NuT ,8 + +endstream endobj 1236 0 obj<>stream +H443.9Vppu/#>^x5351LRlmv542Hb11Ga657663"<@Z`z2(BRlk88771Ma{s8 ;8Sf:98RWqo ;&ATnv!6Q]xx"=Ztn%@%@$?4Nf'B&A5Pjw Ri[ + +endstream endobj 1237 0 obj<>stream +HTm[0˵JŦf@4**DԬwbl\> P1YU5%8GfG@hl3mAn@yT\p(aLC?B(Kax}ss=#$sGIs%!TNgv<#2B<$kT\Q!_,Q!2⎾VDZM6鿶?)tW`- + +endstream endobj 1238 0 obj<>stream +H`ήȩԹܻׯ M1 + +endstream endobj 1239 0 obj<>stream +Hb`Fv&fV6l\Xpc`ƢCDTL\BR +FiY9yiL DI + +endstream endobj 1240 0 obj<>stream +H + CXy E|NW2Gԥ(ߎaXK~g%z%Pޡd5N(2 + +endstream endobj 1241 0 obj<>stream +Huvvvvvvusuvvvusqlvuqhaytj]vWowr\uIbE]wqVo@X=TpSl>V>stream +Hb`@L,l \l<̼|l\ AEDQ B$%$ bb$%-#+.t26 fU5u4'l`ցZ +e20DڈR'XY,,mlQ} wptrvAvpsFz? 0Y18$4,<"  + +endstream endobj 1243 0 obj<>stream +HfƮ̾¿稦鯭ⴲ޾ S + +endstream endobj 1244 0 obj<>stream +Hb`dbfX9qrpa&/ EXH]zQ1q Q,"R2¼ҲrlWPQiƒI + +endstream endobj 1245 0 obj<>stream +H + C}td)}Jͭf`&Z; F1C$qBMnXB jA`ҭ/-s? + +endstream endobj 1246 0 obj<>stream +H(C(C'B&A%@$?'@.IWquv)D)D'B7R[u,G+F*E2MQki-H>Yj/J/J-H5PLgpw2M1L0KPkm3N3NUo4O"=Oi7R6Q6Q5P4OXr8S:UGbb|9T8SE`a{o=WKfhr;VGaZtqvNid~s>stream +HlPd"J,srԉk= )*I4`Ad\y,@&$#H9_@QT,ER.6[ v04 b릩[{hƘOvx@8 +sǁvW?E|(|v*?' y_: + +endstream endobj 1248 0 obj<>stream +H@di!!]t;3Z];XATؐ|}/ü: (WAz)2 Klaf'<' [WocC"P;&KrA#ϵ G2i]mUO7L.I6kt z + +endstream endobj 1249 0 obj<>stream +Hl0A,TqZƦ"$$-"`GqI3e>\"fkR\oRwDrUSÈ>r8&{B13o>stream +H|  D,a՞#.a9I=*  WLm * ~΋v};8&2 + +endstream endobj 1251 0 obj<>stream +HOq0WL/"Aw wpwT0B  $ 5ZmfmҪf_t|laߌ0bKVbsGRկ*49Ay6)1iY?, v.ɐ]=kˆƮo޾ڂ Ls ?' 'R8yk T|;fE~𗞻'ʑxUuǐ!'GLT|4 S5*cTp50"Qe)+]%-_ w&.^k0RTNT!8䦵kFмD[7pLn˱=`LiJ,Yk2W3PX h +v>$;`g |1 !9NP3f_ +ݧbo 76VS.$_"2@s(*Nw扞|5k$E:tX 0 + +endstream endobj 1252 0 obj<>stream +Hb`F&ffV6vN.n$q^>~A!aQ18/8 DLAGh \’j83P.nkkw ExDjTtLOhy-SR}B iv ؑ,E%e>`SXXxu**kjC@["[Z;:Cd30qRhh)pӦϘ9kvʜ/?uŪK.["s*Ju + +endstream endobj 1253 0 obj<>stream +H@c (Tmg} +0)hqg-O;3<+%ް{7`0e6ھʩcAQXMoHv; WƎ)M̓H5M3c&̐*o EY^bpLc}J޷~[; + +endstream endobj 1254 0 obj<>stream +HlW@EI0D : 0~yc\HN9YƓ)״{32\I6tIY,ڡ +Jfcû@]>8RY?_oO! PC + +endstream endobj 1255 0 obj<>stream +YZZY^X` + +endstream endobj 1256 0 obj<>stream +HI ?Db5J8P(&qw_C_\G|<_ :*,hI + +endstream endobj 1257 0 obj<>stream +Ho*_1Dx&[ft=110)PPvmWJq3`ls޿|_~wO`3Hxr9&C)]+Fu*6HT e~|||wlPhGgU(q|Uo_{<<<mtd">|:ܩ`$fQi7k2iaQFN.ܚ;/1FL&m.,`VEDQ6[^ \ˀt;:::{psr6MP$I' r ]˵[? 8~eCr`FhT*rYi&gSIlq,ir9fI q3w{N^$ǃ Ud~ +ꥥjzܓz'glwwnp8yUV6+pP!SOfvvv@0Vz\%tBaFN$IS&q:vbmI.[iqv(n# 4G ~o8kRTf`E,[>yD| WRhy@8(8.L0DT(IO2 H$H$0 F.nCF5jaF?lVa[د.DV5ZAn=83AdX,4@)>@5YDQ 0j (0 + +endstream endobj 1258 0 obj<>stream +Hb`dbfaecn^>~A!aaQ1q I)iPX߀TXX(.OHLJwLIMsIKdfeɗWT%kj[Z;:L=}&NZزϞxw?||/_}wL 8b + +endstream endobj 1259 0 obj<>stream +Hlųִʱծѫ븵 ,uV + +endstream endobj 1260 0 obj<>stream +Hb` 83 +6qvN.l<|L&.,"*&E\BRJ 6s0Ed)g0= + +endstream endobj 1261 0 obj<>stream +HSpٺ2 Gw&l#@/Cx%KAd0~&`0 nFc|WgҿGx<L&0OqzU.1JNŞFANF+Bu879؏Kr=hޛ$bO\$̆bX|ZC }C;rR;3WOߏ]4V^6H(;Cao;-LG]IU BӥR PdM+nIT0cV矞ByAGD~3Y޵ʲ|~/wdYRF2~,P)%s*Pƪ]ӌ %IZ_Fُ69;;f:sӺ} wvrNV:h7ov}?Ps# b@۝!nZY + +endstream endobj 1262 0 obj<>stream +Hb`dbfaecA!FfaQ1q I)i6\\GWO_.oiemckawqusG + wBOK OJNIEOKG/(,*..-+B.)ήwjhlBo.)NhimKjD*) C/5qdT,|@Y\>stream +H WpO]3flv0WOW^=lsi$6st|~?B`b6Ž8t*/$sxW 6 h&t›2Z/v˹;7Y WdNHd3^b#}u3!`_S Y?!%OtjR&?f(ΌͱbU* e=~omS,DŽl$F(6LAd t9ۮx8WZR. \O3.G8('~R Щ + +endstream endobj 1264 0 obj<>stream +Hb`d``bfVV6vN.n^  + + KH2)Aii6Y9y$1&tE@JJAAQQ$"P^ +aBp]J*jjRmPaD@s 1Rᱵ嶷w0ptrvqTJ HHFD"I,Ј҈qr0 + +endstream endobj 1265 0 obj<>stream +HNпFOz'B⠴t4XFQ"t0( LTٶP( >d=V{YkJd<> ]^WI'4ZVT0j 1w;J;R,)RIf2C`Qz~zzٹ9N7h_Roz*+n2Ŗzv_=CCǶ˸$EA#;KxMA~Z 1 vi?|*KÏkN^'ӷb 7C tsLe >VukBQ6Gϣ!0r:\;n)A J`k7kbކLBRP1Qp {Y650d\ـ@_ + +endstream endobj 1266 0 obj<>stream +Hb`dfV6vN.n^>~A!aQ1qF WPTRVQUSic704261553wppba'gW7wO/o/;_?.F&@ݠаȨ8-?]]qP0OHHLJNIMKc,HDFFfdfeD1A( 'kKCÀ"+||+CQAUUuuuRWrm(thx6 pz$] U@Md & i + +endstream endobj 1267 0 obj<>stream + + +endstream endobj 1268 0 obj<>stream +Hb`02 + +endstream endobj 1269 0 obj<>stream +¿ + +endstream endobj 1270 0 obj<>stream +Hb`@L,l \ܘ <>stream +HB@BKV4"fF%3yA,bu<+{Mө +iۖD6!K +^9"(ϳ7cLeFxqEwÀ/go ZiUU(4MREF7?:ImWur_0w"צ揖 + +endstream endobj 1272 0 obj<>stream +HlG@EQoDFIb"J2/ rQ9UӉgD0&Swfs X/A7/Ƃ@H&M!.כx ?_ 0 + +endstream endobj 1273 0 obj<>stream +HB@KS̨dkAwycoV4y u]]@m۾ߏ"ILY#TY+4MvܺjH0^#ɾA׌;=! ŷ˲X-$?"knXs<ʦnR* p 05r + +endstream endobj 1274 0 obj<>stream +Hl@Щ9dF)BT+7ۗj*5ӫ5JZ#?D৳9zo \G펣skjnHG$╿ + +endstream endobj 1275 0 obj<>stream + + +endstream endobj 1276 0 obj<>stream +Hb`  + +endstream endobj 1277 0 obj<>stream +H ‡n@6jpLÅ )GMQh_^UUr5J5O.UY.T v9=O4`)np ^ ȶm*q2eYjFΰ^B*?dE_m'Wd9^'ot#9fY|ΒsV0httZG76N@4.4[ffE_H$a4DIWT}K" ,.H4GP, h(8Az>stream +Hb`F&fVTgcd"ˇM_@PH8 . I)ta, 9yE XYUT1l`eUcPƔǢĔMV U˛I? 0(8$4,<"2*:&66"_1 FC + +endstream endobj 1279 0 obj<>stream +Hb` + +endstream endobj 1280 0 obj<>stream +HB@:Ie*C"#ktBW-W/]0ZI_ + +endstream endobj 1281 0 obj<>stream +HlY0Ѱ(pCV<=]u0E]i SX;,s @' 9H%)/WQ e ^7m^[4i rH4¿5 + +endstream endobj 1282 0 obj<>stream +Hn@D3Ǟ{l%Q \ 6KSZUq^/0 vV+D̬y}it:5M^188vݷ1|q iBRJ+9vz "BRI:L8fvWu}uc +2\+R+&i$QH.T +!9W{+SԘW4fJ6.$eG,+ +5[F RRiQ4匡SAf)4Z"Hh?[E`J DcJ,303 _.SNjP`7< n# + +endstream endobj 1283 0 obj<>stream +Hb`SC_@PHXXI82\BEUM]CSK[GWOf T? 0H:8* uqJjZzzFF&P'+;'"_PXT%%eUں̌Ʀ²2h), + +endstream endobj 1284 0 obj<>stream +Hcƿꡞ奣沰 ,P + +endstream endobj 1285 0 obj<>stream +Hb` +lXpqb*!$ˈQ1qR+-ÊMQVN@՟R + +endstream endobj 1286 0 obj<>stream +HKS@FzB/y n~!3ƕU KNշ;|}}5LEU75lkH + 5Y^ `[K,+my5@˲JV>stream + + + +   +    !"#$%&''()*+ !",-./012345)678 99:;<==>?@ABCD6++)EEFGHIJKLMNNOPABQC(RSTUVWXYZ[\]^_`abcdefCgghijklmnopqrst]uvNNwx4B'yz{|}~q]vP}Wt^aOJr^Kor±álWj|س + +endstream endobj 1288 0 obj<>stream +H R@fX(@+Y Zp]zzـ3"Kl:thh%B_-~$-CM"]ƹ BAnX^ ԭWwB +:sAL"̪$_+mkQ*0>stream +Hb`d,lL\Xyx0EDKHbb"/*:.9F 02[(Z +bZ*j`#z^'Cg%WtqvNgi 0 + +endstream endobj 1290 0 obj<>stream +Hn0 EiK$E}آliӦ.);!{x(.!i":E01?KiOr^n_ϿiX#:RHeV"EA|};_ϗEMM>Y [TʬW+ֽݼ|`bfΘnaTNeWľ+`0ȕuEHNMɈ\`J]+E@`[]qC6:z{1v>\vI\eْ7!>.6K9"S)S1!>FSI,]v_ʜ{-Kw7rh%Q;aH~;u^(k4cS+PQqesHS-K?oǟED[,{Q2kۤo`~ + +endstream endobj 1291 0 obj<>stream + +   !"#$%&'()*++,-./0123456789:))));***<=>?@ABCDE;;(:;;;;++,>stream +H P4CmTRKo^{y[ nɴʬ̱KfyT}|<;]s2C5Sr`hq@#%C-ٝ=h\d+ 73>&4L"O+M5YZ8$A . '僸*;fE&GS %/^R + +endstream endobj 1293 0 obj<>stream +Hl0 P AiA₢B]q>24er\P-Hd%è,Q]s>xONt2me#|.Jt7]?8ǨԉΗ67?/t?=|S K + +endstream endobj 1294 0 obj<>stream +H P r0g6XsH>8|xc l ᰅؙRzz6<{Wwm][YI--W:56/c + +endstream endobj 1295 0 obj<>stream +Hb`31bgc`aAgU@WTRVQUSdQV[XZYۃ\otap^hAxDdTtLl\|BbR2cJjZzZKfVvNn^~AaQqIi`jjYYZFFFHKvyExeUutMm]}CZjcSsSs PS+Ps[y{rgWwYcccsSSYZZFpHв> 'M2uZc*M1cLYAy/h,[p!P_ƢE!/Y2}yK/شp! gdX`|d + +endstream endobj 1296 0 obj<>stream +Hb`$pI + +endstream endobj 1297 0 obj<>stream + + +endstream endobj 1298 0 obj<>stream +Hb`8qf8q`dxfvfl2\>stream +H +@ J +,͑zs{kzϳC@gphy>j'EȚ Weֶ ߍ vWOiC⍕.Wu5`uѿR LAQsfEhZTjw`ol_1 + +endstream endobj 1300 0 obj<>stream +Hb`d +YXspbnVLL|Xj"A!a,ʅEDUL]rI)iY,WPT".u M-a,Tϡo`h$ea1$+@ + +endstream endobj 1301 0 obj<>stream +HoֻźͤͣԱٻ CZ + +endstream endobj 1302 0 obj<>stream +Hb`@L,l 考CK[PC\XDTLCOBRJZ8<6q!E%FLqe! eLa,$0[ + +endstream endobj 1303 0 obj<>stream +H9묪ꇅ~yw~yxzw~xu|犈ywyx~zx{y~ u+ + +endstream endobj 1304 0 obj<>stream +Hb`ڀ8V fV6vvvtqN.ny(xEDDy%$edTT54utt oohdlbj֣dnddieeimc+mg h f + +endstream endobj 1305 0 obj<>stream +H ¿ܛꏍ|z}{xv}~|yw~{ywu|vt{~ywɜxv~yx~zw~zw | + +endstream endobj 1306 0 obj<>stream +HlPFSIXWƛLe?XR3>$)/?36HygB\8cd*ɲ"K }=ePKe SQJ.fMn*jјP'^d 94WͶ##׌8ӧ3jٙ^' i,;҇Y-`*22 + +endstream endobj 1307 0 obj<>stream +H=磺BohW6scؒeד]kûY'g>x?x=pGqm}YX]6rF|Cy@ncXW[(h2o0m.fGsq’x×uZZY\`a_"_jːԸγºZ[[Z[OЁ{נyӜq\]Z-hpїԦ|Z_8sAy?s?oWXY[a!b!a!` ~J + +endstream endobj 1308 0 obj<>stream +Hb``dbb&ʆES_@PHXHU\TL\BRJZFVU\^AQIYEUM]CSKKa\ZG˛Z? 0(8$4,<"2*:&6.>!RR32sr + +!~~6:E%eU5uP:`FJCccSsKk[{GH,btvuOz&O +`? + +endstream endobj 1309 0 obj<>stream +Hl顶]{|;q\ʇdˌ VT + +endstream endobj 1310 0 obj<>stream +Hb`#3 6qV6vl\<|,hB"bhR2r +J,,,@0f + +endstream endobj 1311 0 obj<>stream +Hw:UA\Ztltuv9TD_d~s@[QlnwIc`zqvIdgurIdJdLfghnMg^x_yjG]MdNdYqefgp>M>LDXLeMfLePjf@M{?LzIw>Kz=Iy?MDWFZFZQg]w_y^x`znt r + +endstream endobj 1312 0 obj<>stream +Hb`dbfa6VTŊ pb/ (U( H7v ,|%$p萔b+Z:z,\\\,, C#cS3s K+k[;1{{H +98:9{xzy@$CB#"cbSR32sr + +KJK+*k `'w + +endstream endobj 1313 0 obj<>stream +HC BJTTUXYYYZ +7 CQQSWf+ +z4 > > = ?JXe*b)_'[&V%\' +7KXd)^'Y%U$P"T$ q0DZ d, ^*Z'V%S$U$ 8VZgzD\g:b5a5]2 R' +|5U] bh{-pG(kA*jC$f>V* }5X`*ifvuo)uF 9VW2n_Սvsw}Ȩ=\=VU@xڧGkVapٯBm HX$eoי-Y.Z9dXɄgהZӋ*hVZQӄ#Y"V%Z=sH@y"dX_ԎYZ`!ba\sכ3oۮaMӁܹ u + +endstream endobj 1314 0 obj<>stream +Hb`dbffaecN㇊#80&\)7048VZY!Tsr;8:99n^>~FHGDFEj"'$&%gd"sfe ׭INxWwPͦc䄺aI'l7 + +endstream endobj 1315 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?7QYiۨnjd\ڪ.Ncٟkj"kJ͐Ba,~2L|u1baaWrr.]puJGwӅ׋ + +endstream endobj 1316 0 obj<>stream +H;N0[!'`A0XHѦhwb'NdԡG{_ Ӷ gn8f# AdYt]1uvͫ򦇈b"SLfSe6|S5n6>)g-g4E^// ?${1nx~#x=5]Vt,.)(J@ ˲}nr._qGZţyR8qp9΋f{/qβFr3%Vmf + +endstream endobj 1317 0 obj<>stream +Hb`dbfaecA!aQ11q I)iY9yE%:hhjjir [@+LB݃pr-F8s,@] X$ iP + +endstream endobj 1318 0 obj<>stream +HRP?C$,F!䜬WNKՙ +.޷oW;~XdoݾB +MK$D =I(4_Jl?߶{v`b#3FW;Z)T5^w4^PX媉uEߪ? + +endstream endobj 1319 0 obj<>stream +Hb`dbfffaeec吓WPTRVVQUS70426153wptrvvatuss6 + OHLLLJNIMMK /O(,,,/..)-+/ɪohhl5Vtthhvvusr6rM8qSN>BM͙3g͞3sy/Xh 7o̾>1ke˖ʙ3A3W͜۷z޼5k׭߰qM3AHo>stream +Hzxxv}yw~zw~{ywu|ϸyxzy~|~׫¾ŕդ|{ܴȘڿõ 6 t + +endstream endobj 1321 0 obj<>stream +H@aLDla5!}_GrHt@0Y8^TY>ޞGbI)+i*p{8X֌Zl;ij݉[!ԳҀr#Od*3bij 񌭮gkǓ}9XMk< + +endstream endobj 1322 0 obj<>stream +H0YYZW+j٦U.lܷ%f]ՌX[h֔W\|١Z٤Yaکc֐>stream +Hd P*uT{ֽM(jyr B@H4f H3d +@j3YJet+/;|og םjH7-"5vUP7xžum:/aVvHi.չ\ixhϷ^_~wD + +endstream endobj 1324 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?ȿ*Ƴ[\!kufӂٱpvսJY}C8JFDDY5V=~"xI\lnoU4m#mzhfG)*.Ko^6?^L1?G}S’%A + +endstream endobj 1325 0 obj<>stream +H5gggMMM222!!!@@@```uuuxxxdddFFF(()"""111NNNooo{{|___AAA&&& !===hhhzz{LKK###BBBaaavvv%%%333QQQqqqyyy[[[$$%kkk|||||}XWX...EEEeeewwwnnnXXX::: 999VVVPPP223##$)))ppp~~vuxBAC112;;;WVWiii444>>>fffGGG**+///LLLa`bQQRYXZpopxwx???]]]rrrCCD'''ϟ|}}WWWDDDYYZ<<=777SSSƳ445EFEcccmmmWWXxxyʩGGI//0OOPlllwvwSST555==>գiijPPQ878>=>sstyxyccdMLN^]]rrs߾{y}kjl}}~sss뿼¿ĩ{y|zyw~xv}|yzx}z m + +endstream endobj 1326 0 obj<>stream + +   !"#$% &'()*+,-./01234!56789 +:;<=>?@A/.B CDE$!'FGH8IJKLMNOPQR D STU#VW.XYZ[\]^_`a1bU!cd2ef#XYghijklmnopq%rs!tfqu@vwxyz{|}{~tc(YYy$yyyy_EUzYYYY|yyyyyzzzΠyyYϱLڞ|zyzپΥYYY{zzz + +endstream endobj 1327 0 obj<>stream +HĻ + $JaN5 >ZD3{O)R@9ku1ƙ2aPRcB硷UlL\i!dd +K + +endstream endobj 1328 0 obj<>stream +Hb`h I03b"/ ($,-.!&.!)%-#ˌ.`M + +endstream endobj 1329 0 obj<>stream +H]OPpKmXYVdMȐ$pNOdz Avh!@bYV$E`wA`Xo/Ѹ~°TͣVsl\2Czl3[YyIeY0ywkbR6MӸ8Vz0ѴaE#lU5MSa(h ,dX>stream +HlE1D n? +tE1 Fd4ƭ6p8]2p+T_P8'tFÐ RA@l; G 0gŒzLrވkq7`R + +endstream endobj 1331 0 obj<>stream +H[aaa???+++---IIIoooccd===###667XXXeeeAAA''(GGHccc}}}\\\:::(((000MMMrrr^^^889 888[[\^^_(()KKKgggmmnMMN,,-"""666UUUxxxqqqNNN!!"dddttt334../VVWwww```BBB<<<|||bbb@@A**+&&&GGGmmm>>?555yyy>>>!!!___||}[[[;;;)))***KKJppp]]]778'''887nnnSSSDDDnno//0112QQRuuvPPP--,kkkJJJ///iiizzz$$$CCC%%%EEE,,,LLLvvv{{{uuu111~~~777222%%&444sss333RRRVVVHHHgghxxy@@@999``aCCDYYY...kkl~ihjSRS99:ZZZvwvOOOwwxìa`bfffFFFװyyzEDETTThhhˍkjl\[\ZY[VUWa`ajjj 5 + +endstream endobj 1332 0 obj<>stream + +  !"#$%&'()*+,- ./012345678"9:;<=>?@A3BCD:EFG HIJKLMNOPQR9S +=TOUFVAWX&WYJZ[\]^_`abcdefg=Jhi6j5k[lm;nPopqKr:st5 u10vw&xy=kPz'V{#=|}| ,~&u$X ](d PfQWP5sah7zyasw;>]z ius}kV1xv}r76H '=^8Yz}cr_]J$^H8:'P# +JdWf&2RiUPGYcLMJdIP}6i:E/wfJDQ:F|/8AAEG^Ȅa + +endstream endobj 1333 0 obj<>stream +H rC0"pC0jnMυmwS\Y}iq:,q:4=M}?-˲mHA4L}w]wy<q<?2$)bn_M;D9BiZ צxwcJ^ oHcA㌱u]kBY>eںRgy}3.˦{n@Rw 3 + +endstream endobj 1334 0 obj<>stream +Hb``db.ʆ]P%EDQ$$$edXYQ$UTU54ut6++ʙ"$@@RZ]֎E.N.nB@%O/o_?;;T =`4 =% S@Pb%( + +endstream endobj 1335 0 obj<>stream + + +endstream endobj 1336 0 obj<>stream +Hb`dbXXٰcef!> +% + +endstream endobj 1337 0 obj<>stream +H ̍Rff3biuJefP9"3 v'4/!sq=chtƣPo &]_IrMUG| ` ރ\=c|_3 +;y!TTd<䢉dzG.ălbR-e Y[g>΄poz.[2qfQ'2ڕe])j-:D-fh0Ժ/I(/SnW/A 0޺ + +endstream endobj 1338 0 obj<>stream +Hb`d&fV6vN.n^d//($,"*&"$!!)%-#+'1OSuURRTpԀ5QSD70426bV65j2Z0X:}VVVN.Z:nP,K[? ],a*0(8H,$4,EI#0"2*Z(/  + +endstream endobj 1339 0 obj<>stream +H!YZX`BzX#eTӆV9sگUPӃYXԈYZbfՒ[3p|آW]yؠ`Ay)hh֔ݽZ\Mҁ[0nv؞[Pӄݹ]۬[ۭ"c۱ % + +endstream endobj 1340 0 obj<>stream +Hb```#&fV6v( @'7;B xaʅED D)iY9y$aFr)E%e ժjlpdddA¬T70D;PLJI1lS3s C aK+kvta&[;{ aG'gd!EU $ + +endstream endobj 1341 0 obj<>stream +HI PFػ6绚eQa6OyEզfqP@C@ݐ4 +Hf v$Ǖ` PmN&8R^\SmC +KC'RqVDAdJP ޿bϒ~iÉ^T&s˒~m7)5>_htaߟJT + +endstream endobj 1342 0 obj<>stream +H\O WB! 0hѓ|*,)ـ9{@*@l4[ +Rȣb8)^ОmwځUW;r׏$+Ve CE/ -ΌQ--O"a's9/d&by=Y%0p'Vw]}!Wl4Ώ&O)>%y~yݾLK؏Bx^AVg 0q + +endstream endobj 1343 0 obj<>stream +HCض`_`...(((JJJjjjrrrkkk˿šjik//.JKJqqqhhhɛecfUTV`_btstvvvooofffؼmmn̢|z~|yw~xv}ځyx~xv|wu|{yzx̃zw~zx~yv}}xu|yw}{ Y + +endstream endobj 1344 0 obj<>stream +Hb```dddbfaecf3KHJI +(*)13hhji[XZYت @`PpHHhXxxDdTtL**ĤT0HKcxjn^{Aa&ā@bҐʪjuFuT sohlR``*/I5G䵄UUǤή^}R1AZiĐf,@0 hY(ɵu{ + +endstream endobj 1345 0 obj<>stream +HvC0FRHYJ6tlv?u7q@)2RƱ=ZHHF/!=Eaԋziyv˔R4m۶kЏ&~>uLdbZ?E .8㌱,y^}UD<`4\Hyijʞ8o[z ` + +endstream endobj 1346 0 obj<>stream +Hb```dbfaecF^>~A!!aTq"LAITYYYEUUUM]CSS .Π :zbF& H@LƖ ;;:93{`+VQ2w + +endstream endobj 1347 0 obj<>stream +HYO_gtt8@Tἠ'=,"ҵUEWl1ćE_M ǾáPtAch9T|y2?L\.|8YT[:V`?<D_lhcf;Y y=ACsDgM C +g[%n =s'XpS8FGjeȈ[wN fZSǿ.Ȋn4aSniG-1=B19˲0؃K 0N$h6*==1TOJo2._GE.ef1iKOv֮Y^8q)SdqtGÀ!0~ZHv윐|tXZ6ba&wJ +t$Tf|.nRc3Us28"qc3۝uScH}F`KiLaldw:Ń.4ܽ0&Âgy]S֕}B0(JJm[Ϥ́L`^cf6/^3CF D`:b + +endstream endobj 1348 0 obj<>stream +Hb`dbfaecQ1q I)viN.Y9yE%e')XJZچK, + 4䊉OHLJNHKeDpdfe @$ +ӥJSN>Ce&Lbl 9\>swXpL\D']Kj7''ϒ˂X _%ku{6ltKl23`#ymw%,棻{}<0*d + +endstream endobj 1349 0 obj<>stream + + +endstream endobj 1350 0 obj<>stream +Hb`  + +endstream endobj 1351 0 obj<>stream +Ƽ + +endstream endobj 1352 0 obj<>stream +Hb`#q&,lh\<|LLB""bq5 + +endstream endobj 1353 0 obj<>stream +H ‹NP' +(B*,uu+Ke[nͭj=c~ kڽnna667O YMk{ %ٙ=:}TeJoI+*jʬ31pXO·qb-+f!VC^2%a!/?<#jD)Q'rx#*#\+fG>stream +H4V0FLiUA,EQZwq7u  '69ɏo cl JSvEΉa W%\>Azl!JN]]otdne}zGǙIBƔ3ax`WeqՕ3&WDJ2g|$a G4֓TbvƩ +yfRÁWZ)y!|`N/q1V_߅Oƫ3߿ ! + +endstream endobj 1355 0 obj<>stream +Hzxxv}yw~}{ξ¿ų޷|zzw~˰~{}~|ཹ¯̜ȗܸ z + +endstream endobj 1356 0 obj<>stream +HY@aaBFDMmZd;#Sܾ["D4 h&&al3t<4%,bQ,IR"TQHjVo4[mk'|k]zc0_e5A hl)0!;{*3@QzG@G]!$s + +endstream endobj 1357 0 obj<>stream +H7TbIWJXbpstͫP^uȹ϶KYN\drrvўM[XfiwûIVO]]kkyuHVR`jwp~ϩn}sҩKZWfo}{Ra_nqžXgfusʴɚހѝ|ûÿƚapպŨet׸o}ֳ $& + +endstream endobj 1358 0 obj<>stream +Hb`dbfaec~8BIBRJZFVN^AQY\YEUZ@M]CSKY\GWOYYY? 0(8$4,E<"2*:&S4.E>stream +ƻ + +endstream endobj 1360 0 obj<>stream +Hb`@L,l \< X/a$ + +endstream endobj 1361 0 obj<>stream +H렞펌~yw~zx|z{y~|ÿߒywxv}wu|vt{}zzw~wu}}{~ x + +endstream endobj 1362 0 obj<>stream +HGPA1bFDQR Ai-&JQvq8Iy/r>?P(d*0Hl._pK PJVo3'qjw>nCx +hMb\ƒ덪nw9ܣ'MI7.\5'" + +endstream endobj 1363 0 obj<>stream +Hn0ߦbxaըHH)'0b;žWẉ֓f_5xzR~rqz^Ò۵jkhٽ&k ?8MN((~rnz!P1ati&BCCՓ^SH1C1#D0cSJH4eYVeqٜٝ޼ + +endstream endobj 1364 0 obj<>stream +Hb`83 +8;' 87/   BJSK[GWOҒ +$nmckg0z o + +endstream endobj 1365 0 obj<>stream +HBP8-IPx5eнyYn{Q%'(`m䆣{+y#'l: v9A| T}ȾiT7z$̳Dil@|lҘΦuw6$)yTFa=mxP ]^wDSs9W4TE~ck3" 0\6 + +endstream endobj 1366 0 obj<>stream +Hb`L,X98Ipa!%-#+'!ĩi C#}ci,n5153f4I|V֊6 +vI8:cAΕl@f t + +endstream endobj 1367 0 obj<>stream +H n0T*rm@[btHDAJebeIA9n@X! P%4Kv'}Cm|&֖G/ym4Aam&Sh˾zy>^ h(K7Lx*`9.:FyMZJ9L78yJ&EW {Ӫ + +endstream endobj 1368 0 obj<>stream +Hb` +30`e.U V !aQbĥedI+(*)cPQUSW")o`h)albjfna)aemckgoMNNS +I + +endstream endobj 1369 0 obj<>stream +H r0JByіiBv KΜչESD5*m5x<͞(Ltz +D n aD@ӶmL1R/e)8 +CdBO^,ᖂ%[kx+1>stream +Hb`@L,lllh \Xyx0ąEDф%$I+(**+)akhkiiikZY[s;8:فd ]\=<<=}|0CC<‘#"cbCüSRCC -# + +endstream endobj 1371 0 obj<>stream +Hr0.bk[- 0 00n3ϯwnI>stream +Hb`F&fV66vvv 3prq#  + E%$@|||llqI)i>> ,;B\VN^AQI$"d XLXK[OWO &nbjfnaie`ohckwPqtrtаvqus +{xzy[)@ŃCB#",,,1q IN~~~PԴ4PKP" K*/(,*...-+77q8 v < + +endstream endobj 1373 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?~_UՏv'?ڲiiےUpPn^@h 'NE4g^=Y6tƳ^RN[M\q$΋y$ + +endstream endobj 1374 0 obj<>stream +H{W@ſ(PAYHN 3 ֽ};8ks'8[ƺ?:뛞^+E^P*BIiBPTD.|E|6Xx>q_UŪ."/(<;eNPyQ7J=ƛ.h5\v'7wzSorCQk"/ +gL GenD3/J?E2a2^k)WJR^D/q~;۟_ӊ~l6ڥjSj(<8ԉ˂/2_[6UA)f߷~?mu SP1"g?.\laۄ$B?sdg/$= 3y^BFYN Nj Sb9n>stream +He_Pa c؅ va+ٺ lLQ1gG +A$44,<"2*:F.@23ò#rrʼ"UqIiYyEeUuz R[W5 M-ֶή޾аZ32:<>195]8/HBPL KʕյudcsKj(5zn޾ Aԝ_\^]߬pbV7*W{0gZ,흇ǧgjeYfŢ{}sV(F ^x 8+Eq,N0mN'|8'7/ \N;`);.ҟ p%f$/XI + +endstream endobj 1376 0 obj<>stream +HR@)'+\`%%E\Xp_车H{ \3b$m[MoʂIߪ۵7xF{2ү|l bDq,jkv[C\ @yLcaHM|K + +endstream endobj 1377 0 obj<>stream +Hd@EF`eP1 f1"wV{˳DRjiJez.ϓ̍BTfdZ,Z!v{]?z"?1\&ә!^,UM.k1$$k/,I|?? +0_5 + +endstream endobj 1378 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?dR|#t[vcQ`5RT,TVe֍uTn95~?eK + +endstream endobj 1379 0 obj<>stream +H0BG NAAUU,2K[ +8]?47'9Mk:^ʾ_ WM=0s22R@KV~H¯;&N5RVN&Ĵ^kW$ֺv^D;f(tAOX7JsJDdQ@XV 0`ԛ + +endstream endobj 1380 0 obj<>stream +HlϹ0ЍHAE1*op+ffÚz5t!~6j'b:i׷# Gcl8& +YLݙ Fy,й. +sl%ñ1TXlvǧWjO-?&*_Rt k + +endstream endobj 1381 0 obj<>stream +H C_ (]."۱CLSG4$5fg0?D,ˏ71X&|c;ơncZ#p[ф]mm۫=BZ^Z +j@X?`Ao + +endstream endobj 1382 0 obj<>stream +H,aW inV Q2éYNsZzلaow1yzBڢ8ڿ$yM<}Zi +FB A?{1fBsQ9YF-1!DN$Fir^p\%Lؾ^؉1׿c<G>stream +Hcӭ͡ɩ ÀQP + +endstream endobj 1384 0 obj<>stream +Hb`F&fV6vF4Ë./ ($̇\DTL\B]OJZFV</0 ,#CK + +endstream endobj 1385 0 obj<>stream +HQ _nT@ԩ>ll BC/34:?>stream +HLmW0D{ZȄ-ua(.0-陞u瞆7zSZF9=;05U0z[BLD +RBʃ~쉉DBSQI6!-4 ,zrjlA +Q"۞/V+)%$ 45[Ğ7qٚsN<RGYV ?>_/@8Y^=EYU~kUUIHlvWu  }9e + +endstream endobj 1387 0 obj<>stream +H ISPx,iSIҢ%=j,B Áa`ľ(<}߶mUE J?W(FWUeYaZa)NжmqN[S8"Y^C8}u}^bOh8" , TuZ7d:ތn&M>6xy,KuG(1M+I + +endstream endobj 1388 0 obj<>stream +Hb`ddbfaece^>>~A!a!Q1QQqHJ1H3ɳ*(*))%@UMMO]CSK[GWOO[+JHHZXXZY;H`G&''gWL U^77GwL 0!aɋCb@(W + +endstream endobj 1389 0 obj<>stream +HPْ0@X Y%/l!{&l*8U0;&&b&Q`ZXYF "1Xl ^Clll0@ tkƘ`4og n=7ԶcFCJbbcޘU>stream + + +  +    !" #  +$%&'()*+,---,,.//01123456789::*+";<=> 11?@ABCD5E6FGH"IJ KLMNOPQRB$S'((HT--UVWXYZ[@\]^_5`a`bcd-IIefghijjjkMlmnopqr6std*uvwxyz{L|}~p$EE'')**L`sZZkÙě͸ڮۙܚݞuL™įh + +endstream endobj 1391 0 obj<>stream + + +endstream endobj 1392 0 obj<>stream +Hb`q + +endstream endobj 1393 0 obj<>stream +H MO`B|$ NWkTGkx1gNX΃ g(P1HU|2< =}!n2+Yy$zii-/7$r94M0x6gNBȲEL!Mmu}=~dy#_WUn/qUǣ[~0cdu ,,fH4MEaA'X + +endstream endobj 1394 0 obj<>stream +Hb`H2RŒ |&;'7^>~A!!&7 +YY9yE>%eeVd UPSeE7042615cfaaEs K+k[;{{T U}GYY'g^W7wwUT%-&' ^ +!W + +endstream endobj 1395 0 obj<>stream + + +endstream endobj 1396 0 obj<>stream +Hb` + 30T + +endstream endobj 1397 0 obj<>stream +yw~zw~ywzwyx~yx}}~}~~|{zyzx~ + +endstream endobj 1398 0 obj<>stream +Hb` 8բ$Ac2k&*`f`a/#L-,,*I]vFTel\X_@PP&$MN + +endstream endobj 1399 0 obj<>stream +Hfyw~~zw~|z~|}zxywxv}wu|zwyx~ ĸ= + +endstream endobj 1400 0 obj<>stream +Hb`@F&&&fVV6vN.(7///(A@Y,brrXӎD@MP7! \ + +endstream endobj 1401 0 obj<>stream +HMO` msN_pաKuMA 4$>Xtilx{? +sKlG P +`nl6z/ߗ2 jo4p\.ïaVUQJbnsu]eYtr4n CIiaFwkSM^& mkWUyeqo[۶8bh-in@cxdzs}iZI 0NfN + +endstream endobj 1402 0 obj<>stream +Hb`dbfaecn/ ($,"*&&&)iY9y6E%.8+k0hjiBe=}C#c6S333ss#$2prVvrqus4D^>~`]*V+ + +endstream endobj 1403 0 obj<>stream +H-YZWabՐ%e٥aH}Y6qw؞F|۴XXg֓[oי[#ezء"ce֒U)h۰#dWԉ8s|ءYԉdՑ`C{ܴ]Ռ M + +endstream endobj 1404 0 obj<>stream +Hli`4!2vR-d%杏׹y@H*F.dZ&ӣ2 1P\"sZ2V\S0ԘܝbZ3vYTށ=돧*qrUD߻r|<YG_ + +endstream endobj 1405 0 obj<>stream +H MO@@X +J bihܭ- +fJ vJbY7nvOlh&&&F-I^&LAZ@1/Ν5zP~Il @3WI/Ftu:Gsxੀ{b77qr-i 6RZ/*M&iXuE; +δb7YN9lP+C=eZՇ>!N6*(tElUoM%%lSPq7b/MlgUuHIz|կhIJ\ r+Ԙؖ<jPۦ>stream +H4[Aݻ%8kdM,l8 9wvfg +c*lvSs=s r@F6r/ R!yQX貤2.BvVxVp;Z]=y3Uv RwtRi]Zw4[{)^C#I&"ɩi+B3rvn~aqrvémgV>MG?:NXthgWta\RZ6|wGy}#ϯ?3. + +endstream endobj 1407 0 obj<>stream +H B@eIw',k3Lm~+b<@BH]<^u]ADAy#l0S$Ȳ8^(Qic9q 4l<˜DPJ۶eougI_пDrvn"kʶyW? iJe)s%%4AJDjfGV=Y;98x mbne#[H? rv + +endstream endobj 1408 0 obj<>stream +Hb`-`db*ʆUC_@@PC\XDTL\LBRHJMFQVN^AQIYEUPM]CSS& :zF&`qa 037wpÀ;7B\ׇ?UHJJ 8풕 # + +endstream endobj 1409 0 obj<>stream +HM 0пNT X7񨠠{u<=4Ր"K4MszT1peYr4<7I0JA#g +Cu~ yHa?& a#ae(@)MH.6ң0{m^9?-/ +܅ + +endstream endobj 1410 0 obj<>stream +Hb`dbfaecG\<|B"\bR2r +X+* )`WUS".E]WO)Vq3sŭy +q.`k+ + +endstream endobj 1411 0 obj<>stream +HÉn0)-2)"Њq"r^yas4 mX2ePceA aq,/\ۋ7ilj0eY~+ߍl"E $';֑UES BH $M{<Go7ޚ872D@c!D]UUݫ32ʂg5u5RJQx۶"+x*촦[i ,ӊ˳9c93FN~yW + +endstream endobj 1412 0 obj<>stream +HPaeα}߳f'%_D0M_~30 uQq'0d6[4NN4A  #X\I&Sif2*"|X*WZfX8l6_,W͖?Lh'ȲtgxKߠ6_I`n + +endstream endobj 1413 0 obj<>stream +HO=Gp=Gq=Hq=Is=Iu=Iv=Jx=Jy@M~HVIXHWUby=Hr=IwJXHV[gǍ=Hp=It>L|CQIWM\p{ؕL{GV[hե=Ht?L|FTFUamĠ@M}JXM\s~ڪIXTb颠K{BPHWKZRap|ЧDRN]躷Q_ix층Ucy䱯 aE + +endstream endobj 1414 0 obj<>stream +Hb``dbbfaecf``dafqqKHJI+(01(+)pqkhji20(01뱲q[YZ100Aĭml]\܀"\\^>~@qAJ@аȨ77FXָD.1Դ,zX`N.ܼ¢R7xhvyʪںF8Е@6=Vl0z'L,4y%P|*ȳ8ŦϘ9kQ滁`"K.[b nL",Akگ[a[E@(srmݶ}]vqCAh:| Z"f + +endstream endobj 1415 0 obj<>stream +Hu艆{y{x}{þ Xg+ + +endstream endobj 1416 0 obj<>stream +Hb`@L,l 8PXŹٸyyy/($,"*&.҄,.)%-#+'LJJRM]]CSK[E FM + +endstream endobj 1417 0 obj<>stream +HmB0dԤt͍3-p ϫoBB)e󼮫xBeݟ}s-u=Ϗh&YZѩ#M0 $*ʧȳ=kx3Vc|aeY򔣃cBpgC҄s}vLsTe%iKV} + +endstream endobj 1418 0 obj<>stream +Hb`ddbfaeec^>>~A!aQ1q1 IH+(*)p@M]]CSK[GWO_Ҁ..md$olbjfnaiam# x5lQĥ\C +\i)^ťq:`z, + +endstream endobj 1419 0 obj<>stream + + +endstream endobj 1420 0 obj<>stream +Hb`pG{ + +endstream endobj 1421 0 obj<>stream +Hu˾Źس֪ȭ̢ںմ׾ Tm + +endstream endobj 1422 0 obj<>stream +HG DQA9bNzi/15-Ωz (N4 eU7-Ԉ`uC[2`{B:/` + +endstream endobj 1423 0 obj<>stream +»ӻ + +endstream endobj 1424 0 obj<>stream +Hb`#3VqV6\<|B"bBBBH : + +endstream endobj 1425 0 obj<>stream +H Ჰ䈆xv}wu|{yyw~|z¿~|~zx~yx~wv}}ywzxzyzw~ I + +endstream endobj 1426 0 obj<>stream +H@DD'Z5z3aFL=G;?R4u9u6@0| RHB_]l._rI.WwVkRt)HJzE2O4ANƓl#sX,Wv8Bip;˺^!y~3UX?Đ#d + +endstream endobj 1427 0 obj<>stream +H@1 3(ȭ"6:m)};a]YZFٖQ>(}7<$xٮ 3:4y4VڙtQLEV_7rK>R^2'GՀ~rf%ߢ,c~aeccņNy * 򰓩 + +endstream endobj 1428 0 obj<>stream +HlY@ P'("8 8zky:R]SRzhsv@.u}T$mBE Gg<(\D1e,uO>9{=lΗ@?`J + +endstream endobj 1429 0 obj<>stream +H Yr0ED& +a1,_8Xg~>8^u]cqm;ϳmuH&(eYiqɊ* C4N4˲aJ.*"mDz,qM}ooNߣ[,A (G%;`0 +Vv(HSd޶4MM'仁O½ SJE󼦇(!nB<* +`D + +endstream endobj 1430 0 obj<>stream +Hb`‚!ȁ.ΏI@PHXDTDL\BRJI\FVN^AQIYEUM]I\SSK[GGWODĔ&63PUgD]X\ݘ4^>~~Қ @30(8$4,>stream +H@faLJΐV*rcW-&by"1T8NÙG^YVmNa"}YWO )$i\ڦT/ +:zvd=8$<\;9iBC?j ivI2)k,֫]y*]5n`h + +endstream endobj 1432 0 obj<>stream +Hb`F&fV6 ʃ)/ (!!,"*&Ύ).+)%-À)!+'ϩi0 Ay5u ,nR"!o)chdlb&! M3s ,갴"6qav X o + +endstream endobj 1433 0 obj<>stream +yw~zw~zx~yx~ywzxzw}{ + +endstream endobj 1434 0 obj<>stream +Hb``0D ,:D0W08k $Lf&31a N+4F + +endstream endobj 1435 0 obj<>stream +Hωn0!/eL9ZJaCL ?xuѧIꙺ98nu}߷m;m}.u /0uLCI3 B)jY"kI%!Q~%POB~F3d]ZV)3R^W >stream +Hb`dbfaeeec>~A!aQ1q I )i":T445ut MLJɚ8 +8{xz300{)aPLq&pLqEZ0!i + +endstream endobj 1437 0 obj<>stream +Hi녃{y}{ sM + +endstream endobj 1438 0 obj<>stream +Hb` Ȉ]8+;#M\<|@". ($,"*#ǏOBRJZFVN^AQOII vM + +endstream endobj 1439 0 obj<>stream +Hn0,f0 S$eKAb,W\ǚ{Y4ӱp];NfyJKKXjuC/q-B?QtE/ +Ri{/p(gEJB}NBIuX}'y1oCȪ@^ 79ۊZ&̓ Gn J_#d + +endstream endobj 1440 0 obj<>stream +Hl0  +QQRWqoH^y!!wNΟ࿘d*mgx6 ,:2RU0`kVku|푭 G0ބt%=Z2֠ n8Fv Ns#~Wt + +endstream endobj 1441 0 obj<>stream +˽ͺ + +endstream endobj 1442 0 obj<>stream +Hb`#vqfl8yx1ED8Yđ -79 + +endstream endobj 1443 0 obj<>stream +Hc۽׺۹խڳ JP + +endstream endobj 1444 0 obj<>stream +Hb`F&fVF6 ō)/ $MXDTI 6^ ~I), 2Xf`W`& i  + +endstream endobj 1445 0 obj<>stream +HQyw~xv}yx~~|¿xv|wv}zx{y}{|z~اĿzw~zx~yw p + +endstream endobj 1446 0 obj<>stream +Hb`F&fV6vN.n^^>>>(?$P +edUT@CSKK[GWOWDh$$HY$f(,4~H@X"Yl~L[ +YS`E n + +endstream endobj 1447 0 obj<>stream +H +0Ewib&机F98v]G)Giq2+s;Wy#QTU37GBJil0 Wt˸lvkZξ &`)K yDB)u<0IO'ӽ}4Ppa(˒{x; + +endstream endobj 1448 0 obj<>stream +Hb`F&fV683spra"! ($$*!!)%UBFVN  eNU5l(nKhia`7&ahdlU̜ 6 k[;%t 7 + ( + +endstream endobj 1449 0 obj<>stream +H n@hj\D H-rܰZ7tfh_eFy  '#.s,{A<'B~k|Yga`vkVSQ\{2qEF@[^ϙOpT= R FUO~ ~P:W){eG"mot#>stream +Hb`F&fV6 E_QC\HXDTLS\GBRJCBPFVS^AE%eU!,jrX$EBULJOS€'4E `d)e^QɚSS[ml1-1`i , + +endstream endobj 1451 0 obj<>stream +HkP:}|멏wliB?7(4Q|7H  ^QeJ!Cyo;Ydps=.K۶imvkl7d@n(|wua>_Gd2X A!k4u]WUiaw8yYȔSE uAt:GQ$j$e=-)fYcױ,O_ + +endstream endobj 1452 0 obj<>stream +Hb`dbfaE6(̓$/ ($,"*"&,*!)))%-/+# +@qE PRVQUSe1[XXmP+kkU[;{Gm'gK=>ظ y f^>~` !i 2 + +endstream endobj 1453 0 obj<>stream + + +endstream endobj 1454 0 obj<>stream +Hb` 83 vq&Vl8 ^@- + +endstream endobj 1455 0 obj<>stream +HjP@ѯ6NO5j]T:)T@ wĩ)\(؂#d 2 K׷,P`"TIDQ$JDAۙ 8MSUUifYۇiZ> I׀dO + +endstream endobj 1456 0 obj<>stream +Hb`dpVČbƦ`ddA +Bt&f\<|,6 +q KHHJ!K+(*)HJ"Y/n``Z[ @!n^>V,HjFFF~A< + +endstream endobj 1457 0 obj<>stream +H꾻⇄ž¿xv}~|yw~wu}xu}zx|zſ{y}{yx~wu|zw~|{x !| + +endstream endobj 1458 0 obj<>stream +Hb`q31`gecga"Ì!/ ($a4 +###T6>;T 5LL-,2D$e-#cmckg/7vrvqU0wsVE^>~0!"`,n0$!$>stream +Hn0eZS^$[%EQtNE'% fvYEz( +Gb$ѧ\SJ:0-iq8?^/_?߿ .Xh[@YW7c8Z9 (TgU-Qj ++K+kt-3qBECIb;2 oD•HŜB㸀R>muԘ  +ܥĸae͔湮z(>.6=R]~x>stream + +  !"#$%&'()**+,-./0123456789:;<==>>*?@ABCDE/0FGHIJK;LMMMN*?@OOPQRSTUVWXYYZ[[Z\]]]]*^_@``Pabcdeef;YYLL;gf**hee^^aijekYlmm[;f*>>=f*^noopqrs+tffkkkkk;;;kkNusssvqwwissefxkyYYZkkz>**]{|}~~~vw+xkkxk;LLLL=www+txYmmm~~ppis?txyyYZ;~wwiitYm|vpwet~}~vwi + +endstream endobj 1461 0 obj<>stream +Ho煃|z}{ T- + +endstream endobj 1462 0 obj<>stream +HԏI fDdq_QF&=## 4_">figy@TM@o|yY7$z1V##] n + +endstream endobj 1463 0 obj<>stream +HrP *QYDJ5-8&a waLG.0TWoⵢ͗/wMvL@:\E.1]tq,2R\:QPXhO5Vv`y/G`.7Gb 7eN*tx\mAؿ9罽?$AG}7FIX /ӉA"@ ?2mbɐ84F i" +m!w + +endstream endobj 1464 0 obj<>stream +Hb`dbfaecWPTRVQUSW74426153Uwptrvqus + wpprOHLJNIMKȴ ,lל<¢bҲ2TEEeTTUuMm]|}CcSs[ZZ+*+[s;:{zz彽}-&N -jrtt2u3fL*_dҥ˖$Ϙ _wU,\zBEfNfʕ «,YpE@'O0Z + +endstream endobj 1465 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?fvm75ۚZ-ҳWzݫgV񺷴 %A?*5> !]ԓGI|  + +endstream endobj 1466 0 obj<>stream +HI & +uF_B6`!Kb@ő-! i{ieғ/QGHuQoG'oj + +endstream endobj 1467 0 obj<>stream +H>stream +H0 Sf" {c[ W9 +ԗi}|v/QxuL,e%8235Ksi00,1?у|tc,ɔ${ 0ۚm + +endstream endobj 1469 0 obj<>stream +H4r0Ei*DH. +w}awuWw2=9;˸B('B$Ia8F8GtTecq1ˣ8F3TXs)lK!hm"-))NĞ2G"/11JEPiʲcN1])m\Bgzݷkc +{':l*zg ໰Ƕhr?s!LTG{:aÁ!\.x_HkCθG% + +endstream endobj 1470 0 obj<>stream +HW[QE|APTFł( ;wFKP))[Os';ޜa3Q4p6|k cgJ 3 +fmP^Ay %Ă_ڜH Ed̚W0cz8h6Hՠx..0 jH]#edl%yh@Zqc +-h!e)keyd+DBM&3amnYz<$d$u83w +,tĹ0 05:jXnnS@4-.ユЛ mv-noF=t(}*< _zoł&EZjy_^P Fd V2~GgDU@C8g)כe>L#DŽ"}߮f2쉥OCc1 + +endstream endobj 1471 0 obj<>stream + + +     + !""#$%&'()* +,""$%%-./0)123345"6#$-778'''9:2;<33"""$==>&''?@ABCD2E,3FG"HIJ//&''KLMNOPQRSTU;+E,VWXYZ[\]^_`abcCd;+efHHgI=Xhijklmnopqrstuv<1wwx,Vyz{|}~w+fVHH{j\`a;+x!?Ĭռk۫ + +endstream endobj 1472 0 obj<>stream +H MO`_M| _T|t@ x]>stream +Hb`@@!L1FjP(bffVll̜\\` DD%$ed8Q4+(*) k@-kji@ ,&f| M`emckĝ".a"|}CB  + +endstream endobj 1474 0 obj<>stream +Hr0r! ц1[Y܂ǞaECϠcEq8v&Hb9r90ꢷw \C}|"=>.'LH(6'r42 xxaZ.2'jlSf7!˓VCC + +endstream endobj 1475 0 obj<>stream +HTYWPFf],«b$`%Q!C `eC=:/goC!}>  aNY*_GX EMp(qHF)NiAKsK- V"򗳢$$9%gxbRUI%`ƫq0Q( ȺQ:],%CeLHV8n,2ͭmQU*\.kiH2!Xl:?h{y{ja vkt1pNON{}.uǼ P^]܎ +G xz~y}{uGӶS4o># + +endstream endobj 1476 0 obj<>stream +H禣wv}vu|yw~zx{y~|xv}¿|z~yx~wu|ϙwv|zw~zwyw G + +endstream endobj 1477 0 obj<>stream +Hl0UPQ܊8~w%4ߞحP G8]nx1!*&d*\7( +b\ּT +,(5fݩ"B+-IccMq Ue͜XN.Wkl:lw>/= Y\ow N*y&sOS%:a) + +endstream endobj 1478 0 obj<>stream +H C_-A6$If{wReF3>7_[6>stream +HLR0ERPlRjCEm-8a8zIp8F#>'g ۻ1YǤ { iXL<YQ(L2"7r>Q%fTRȞbB 8GM@KUfcVA'Pkm R2.^̭ըmI²VdSGUU@к|?V;c6:o= Il{.ێ}:wje|E}um룵? 7.N + +endstream endobj 1480 0 obj<>stream +HW뙗|z}yw~zxzw~yw{y}z C.tZ + +endstream endobj 1481 0 obj<>stream +Hb`DL,lشsprqK  + 1ʉKHJI"d%UT54u*Hv + +endstream endobj 1482 0 obj<>stream +HI!Pm)b]@Rk:iETt߸×8opA!m0E` oh3D`=n + +endstream endobj 1483 0 obj<>stream +H$v0YK1R (Zh-\:/3i阦iv׹w^O7 08p\M0VuReَBx Hy DO&Ea Ѳ|$ + *9߇XZ?7 + +endstream endobj 1484 0 obj<>stream +yw~yx~zw~ywyxzyzxxv}Ȟ|z}{|{{y + +endstream endobj 1485 0 obj<>stream +Hb`@FTĀ0FF2P䙁jBZ\&T2pspI6 \<|8 + +endstream endobj 1486 0 obj<>stream +H ZNO +(WXI.Mz8黓%4|"E$zEV`uRd-$!'Eo  +ް6 悒1c/ uZDi(?Fk(#{VIo-&2 + +endstream endobj 1487 0 obj<>stream +HL}[04(c[B,#pk6@%(),ߩzι"qA 8F'RƘ.8!t1&QD㘱Kso|E@O`R:3h(M',+N9P`c]6y$  B  j6N +Ti]!)E(|E nVURnZ/ w}]?@Ѥfmu2ދK_xQk`% + +endstream endobj 1488 0 obj<>stream +Hxyw~yx~xv}}zw~|zywwu|wv|{y~zx 3JA + +endstream endobj 1489 0 obj<>stream +Hb```d&fV66vN.n^>T<'SWPTRVa@LLL +jj@Ԅ" M06@:FpY ܦ 0 + +endstream endobj 1490 0 obj<>stream + + +endstream endobj 1491 0 obj<>stream +Hb`3aT+ + +endstream endobj 1492 0 obj<>stream +H9oP]yqT<*PvP&d @)ap͐p}MDQd醢܄Ñ$hd(+V`m$I8NFˬiZe YQo]_R4M0ukKEt pDui>$]wm=$yϲ<۶ױ,]8a/$(2sqeYI4 ç_. + +endstream endobj 1493 0 obj<>stream +Hb`dbfaec/(($,,"ʍ @@\BRJZFVN^^UBLQIIIYEUMM]CTSK@[GWOOETƖN h;8:9HJI(jkk*$pI ,05X% + +endstream endobj 1494 0 obj<>stream +H 9o`]F=L'J;*56"!, C@(di!!B  | 4cie$MN8,&۶mUUkV[Iz"!`pu}>miCEQDQyǣ\,s" iʲ\.yIqD&YХ,Zt& +Cs5˲ 0<&gUF$ + +endstream endobj 1495 0 obj<>stream +Hb`q&Jf0>stream +Ϳ + +endstream endobj 1497 0 obj<>stream +Hb`L,XX95x% + +endstream endobj 1498 0 obj<>stream +ݻ + +endstream endobj 1499 0 obj<>stream +Hb`dbXX +3c.̉C|@@u+ + +endstream endobj 1500 0 obj<>stream + + +endstream endobj 1501 0 obj<>stream +Hb`0 + +endstream endobj 1502 0 obj<>stream +HSp0yd +Tu="rڌ&$z|2V2Y {H?{<(1(`n_JE, QEH+lm<]. Pd[V4=?x6, +SXi2qr._8"yNUNjAWv=dubNfY{IͦhQmliTzx.MFEz`>< mŬ(Rr-Uy5N&cހlCXA [ܧP,KӢKuFt~IlجL]sءЪj, + +endstream endobj 1503 0 obj<>stream +Hb````dbfaeC@avN.n,<|l(/ (M\XDT @qiY9)yLqE%eUV @WS7@a0426156K1;8:9xsɃ-cr +1eaaZn QQZ1qR VVI)i>>>Y99y]PXT\\RZV)IWTVUW T@^k=: + +endstream endobj 1504 0 obj<>stream +H@ c& BҡTZۡ/x)?0쳷DbJcjշF]h㙥e6zeL8]/*; +SE$`䞷uop0bi!vZ +#~G[6pϦӠE0uEE#~տ$m՛*myE^% + +endstream endobj 1505 0 obj<>stream +Hb``dbfaecg@\<|BDDŸ1LaWPTfPRVPt cW]SI SAJ[G0!6q#cSlfJXle`*΁Oz + +endstream endobj 1506 0 obj<>stream +Hb`1  + +endstream endobj 1507 0 obj<>stream +yw~yx~zx~zw~yxywzw + +endstream endobj 1508 0 obj<>stream +Hb`@Ft#*`d q)cƦq4Qb9B /dcfc`D R$}6 + +endstream endobj 1509 0 obj<>stream +H V!@+I +K$0a [.m/s}}i460A2b*ӭ@ `0r8\&svvVWpaT?λ\F H2H$!v 8Rr}>CQDth 0n_z_8NGNK_6#En +8Q(ZNg4/BHbxO\=A jֺ N{t@bmnZ|0l&V`8NXoo{m./Rl,3×Gș͟ Bk:ۋ T*U+fXkϛ^[dE333"fs $Yf$YܘJXlLa0/ax\/$9VV[knNgRñ"JZmf2FjB3[T +?P(h X,8"$tJѦY,P(h.x|~?AIs`x|TM-n;MZ;xz;ot¤hiI,HrJf|@  +"ђD"rBXNS<O*`̨ + +endstream endobj 1510 0 obj<>stream + +  !"#$%&'()*+,-./01/23456789:;"<=>,?@ABCDEFGH$IJK:L(6MNOPQRESTUVWXYZ[\+]^_`abcdefghijklcmnopqrstuvwxdyz{|}PP~esjstssc~s noœsrq4sr`_Ԑ$ML7`Ώt۝MIôr`_7"M + +endstream endobj 1511 0 obj<>stream +H?yw~zx~{|~|{y|yxw~xv}wu|zw~yw}{┒}yx~ ~2 + +endstream endobj 1512 0 obj<>stream +Hb`dbffaecFaafQ1q I)iY9!yy!((***+khji1 #)H k $5 !tuU`3foY@ + +endstream endobj 1513 0 obj<>stream +yw~ywyx~zw~yxzx~xv}yv~}||z + +endstream endobj 1514 0 obj<>stream +Hb`@F Q̤piLP;v= $00X + +endstream endobj 1515 0 obj<>stream +HV13r+,\T'#qE3mf^֪۬5\_miG([s/ff_܈&e'q(J^.VooZT1"[0qzNY"M]+} +lih[Cu_8}nE &dI]̵q + +} !ñ#~!b7A(" <[*\9`s\؟ +jugd.ӥ`v S<  pQP*Af 0hă + +endstream endobj 1516 0 obj<>stream +Hb````dbfaaa@,l,X$88yxdED%%%edؔUTUTƫkhjiihsI%XX @ښF $llkgoo/#$jf n^ޢ,>~H ){A!!S[cT +I3;xca }J<ԋFFcCq%nCI>stream +H=o@_]; +- +a*@fԕ/RP) c!E!TeUz h1C@@\N/˶Xy"oO]{a(*fx~}8I4M(~7<ؾﻮ˲,zr$AL#ҶmYyEj^ui<u͜Ht +¸7?0 0>f춻?0 + +endstream endobj 1518 0 obj<>stream +Hb````Y@4+A(0`僫+cK@(II)iY9yEEEl`=,J*jZ:zzz0F&f `JB#\ \\=>stream +HKyw~zx|z}{yx~{y~xv}wv}wu|zw~ywwu}͊}zw )w + +endstream endobj 1520 0 obj<>stream +HW0/k + *ذL"r7{ L.rZ76cs.!)avL1 RđSwF狥ZoX +.Go8_AAcL(Q q;aI@Bd֗7@&j`c + +endstream endobj 1521 0 obj<>stream +HNEER@h!kc[ZAr[J9u#lK|?~:AZ 0̕7,6r|@ % (J7d2L)+ +e=Ln.`0l6քBT*{&h8-F,Y, R  ua3ͳEY``'%dh$/gjm{pA:`4f +l; N G<_"hH+t>D!xHJRmا"j赛Nyttw'M͓LܬUZr;/O}H*K"\x];=GxTL؁v{qy= +ޔZ `XvKY z/_|upV4mĆ]_X:Ȅ. -ajOg'DQk`B + +endstream endobj 1522 0 obj<>stream +Hb```dbfaeca``dc+(*)Isjhjit yML-,-A Vb6vN.n^^`q=o_?`аH(OHr$)))`iY ;'7/_ +M,--)Q71!^^QYQU]S[[Z2Z ;:{z&L8 ~r=tLR?u32f#Y̝7o>x} Xh%K Hj + +endstream endobj 1523 0 obj<>stream +Hˎ0@ǖ0"mx3ODF#zG 60n9oyP" P?,B,k\|iP& +Ap9_vt:ׇlsZ4뺛mlIB0t]zc gc2QNz >stream +Hb`)`"gƩ +YʆU8'7+/"|BBpuP,"*&.!!)+-0yY9yE%eU5quuu M-m]^^=&07040161153gЃ[[[Y;8:9;; + +endstream endobj 1525 0 obj<>stream +HB`V&B_#>J٦p+f9_CcC(EM+?Wy74SFyR7`.8J 1 fRr(̴At0DA1uidSl"q3 + +endstream endobj 1526 0 obj<>stream +Hb`db +XXرJppra*/C0vEe*!&)MBZ 8<6{I((0bPS&+ŭ\zXt0iNb + + +endstream endobj 1527 0 obj<>stream +Hr۳̾~|{zzx~us{xv}vt{띝vu{xw}榦yw~ sP4 + +endstream endobj 1528 0 obj<>stream +Hti@ є5Nc~o,TIʞi/ ,+6 y>/Et8OY + +endstream endobj 1529 0 obj<>stream +H꭪덋~xv}wu|yw~~|zx{x{yyx~⅃zw~zx~ + +endstream endobj 1530 0 obj<>stream +Hb` 0`bf.ƎU]_@PHXX%D%$ePlWPTPRVVQUSGh704BА061172dbcccH6/#33H J[ Xـa Y + +p4, mm$ + +endstream endobj 1531 0 obj<>stream + + +endstream endobj 1532 0 obj<>stream +Hb` 3,Tp / + +endstream endobj 1533 0 obj<>stream +yw}xv}yw~zw~ywyx~zx~yx + +endstream endobj 1534 0 obj<>stream +Hb`dbF,E b*@ 1Q`&C-Q; ; h82.gcC/@ + +endstream endobj 1535 0 obj<>stream +❛퇅 + +endstream endobj 1536 0 obj<>stream +Hb`h3 v V6v88yxP%ED%$%Q4 + +endstream endobj 1537 0 obj<>stream +Hxݾ¦ۼѻӹα͟ʝ״ظ \lF + +endstream endobj 1538 0 obj<>stream +Hb`@L,l\,<yхEDх%$1ed8+()a+cëM7 M5@?L9 + +endstream endobj 1539 0 obj<>stream +܎{y~yw~⛚~}xv}Ęؿ + +endstream endobj 1540 0 obj<>stream +H7 V@3CH0yO>Ĕ3R[1'7}_WlK + +endstream endobj 1541 0 obj<>stream +yw~zw~zwyx~ywyx + +endstream endobj 1542 0 obj<>stream +Hb`F<(ɄOH l,Rי05a%xC) 5 + +endstream endobj 1543 0 obj<>stream +H V@U3L$\pG +[K`XJqTTGp@v*`_fM%M53sSB4WU$w0kYZ1z#ISOi f)-e`gcDk+'09d>stream +Hl0$bЀJPl{d/ggg Q07L6`/]FU]*%5WL2f-Ut{L0XL3hXj_7[ =.כx1'.  + +endstream endobj 1545 0 obj<>stream +H 1O@@whRm\ZuTjjPfA؁"۾CsLyik1 QEe)kJ%n0WUUE㸮;=…@%|mۦ9>g\A}mi˥JTcnj,P'R]q{ǻTUӴvAl9 + +endstream endobj 1546 0 obj<>stream +Hb`l&f8 !@U c0  3 #"*&.!)%-#%,+KN^AQII_EUM]HHH"ɠ:zj9*pusbeaA7@ג%%%tqj, + +endstream endobj 1547 0 obj<>stream +Hi `mm 7˹ZLVl?x؃% +xD"rP5{þ9Yƽ_޷y"!<JHrjC_9h=Xb(D0(2eۚlIM5tRa:n" pgE!4V T2*Lp +7} + +endstream endobj 1548 0 obj<>stream +H0EAZ7" + 1F͹77#$JMQ뚮k @ qՖ;]y("!5㺞ƼBhL0&Su |\7N$`dY|s-~LZdd=wOO`Kܛ + +endstream endobj 1549 0 obj<>stream +HuصӪəƚڼᨧڠ "m + +endstream endobj 1550 0 obj<>stream +Hb`(3 +l\,<|,B"\bpIIa%čZeU5V qnu M- j ʁL + +endstream endobj 1551 0 obj<>stream +H +@X%iIQ"&#Ũ׹wz?mn"k.zaG(@gI_-HE4曧c?8V ZWAS+pkb)f&bKGCsrB9 '9ωt>stream +Hb`:`d!̂C+;VN.n:x1ŅED0%%$1 WPb 2rZ:zh3bPݩ6 + +endstream endobj 1553 0 obj<>stream + + +endstream endobj 1554 0 obj<>stream +Hb`XEYXspt6/ + +endstream endobj 1555 0 obj<>stream +H S_6acrL+d͆<)4NJP/?pPEu %Q2ϥdQG2ۨ uٖ].6|m-fq" %PNxWjs6z\pH*M2yt~+Y:fĊPs y+>stream +Hb` 02bgbfLqV6&ffvL N.n^>tMB">stream +H  ߬@qXK4Nu;GzvIj- _A(F5yl9` + +endstream endobj 1558 0 obj<>stream +H4r EM!6Tj"QQꃦIϺ0̜˹l#_0 !DCRM)cnC? Ζ80 &(Y9?<'Hgiz")u]׫9cF]Z4EQ;kM/gʶu  )ۧRo&kk + p3V,NmH[ +Kz{u}-]y5~Cs C'p + +endstream endobj 1559 0 obj<>stream +H@ YS.ҔC&M){M,I絠|3CҢmI_<>`]>.QH֞થ%`raAjxDZ[bG3M1 }[w3n?-} &5h8 ωz{8ɢ>f9"t1 1q7hd-1 + +endstream endobj 1560 0 obj<>stream +Hb`F&fV6v4ˇ!/ ($̈.,."&ABRJZFCON^AS"1*j⌊,ZX"zXɧgdlHS3se L|V6LvL،wt13mQ \ + +endstream endobj 1561 0 obj<>stream +ȿһ + +endstream endobj 1562 0 obj<>stream +Hb`@F&fV6vvN.nd||BB @XXXDTL\C$v  W| + +endstream endobj 1563 0 obj<>stream +HR0@" yAЈ3NmK !I,&k,˲e$80L"Ø뺪Nkvg(Qq?8ϲmy/P"Ȃ: ! +_C!R)4O~+"ڈNbGcVo=> (z|BHI}'><ƅcw + +endstream endobj 1564 0 obj<>stream +Hb`R. fV6l988yxy$ED%$$R2r +J* U55u M-m~]=}ECCC# `lljjf.daiemc+;{{SG'g'W7wwI  + +endstream endobj 1565 0 obj<>stream +H rCPr i*U;PX^l3hQ˲n6&`02eQdQ%Iqe}M1?L6;L0D 40 e,G_mm;ey7M8yӸ%S)as<#?LS A!D._gE%g B cWD$ekrOޒ4 + +endstream endobj 1566 0 obj<>stream +HWPa^ٳdy( +AW30pt `(@<$L3\,Ke^a!Tfp]g b4Lg7衔(.z`1IqÑNLӴ( VUU4m ۧ`X*<3# + +endstream endobj 1567 0 obj<>stream +HDњЅۉߊ⋎㋏㊏⋏ڇٗپݷިsv\_`cadbdbebe^aos㧫渽uw`cUV ! + +  TVcfsvРdg34()$$ $%*+45dgwz乿wz45 + + + +57=?JLᛡ BB + +endstream endobj 1568 0 obj<>stream +Hb`F0䘘@rfV6vNN.Nn^>~&A!aQ1q I)iY9yE8D@@`PpHhXxDCTtLl\|Bb$&gdfev + +endstream endobj 1569 0 obj<>stream +H +  5܂4[z~[ٽMz]3J`uJMR[w>Y~pB1Xg + v7zS5O] + +endstream endobj 1570 0 obj<>stream +Hb`@F&ffV6vN.n$a^>~>A!a!Q FFF1q I)i ## +)I(/\ + +endstream endobj 1571 0 obj<>stream +Hb`   + +endstream endobj 1572 0 obj<>stream +Hb`K + +endstream endobj 1573 0 obj<>stream + + +endstream endobj 1574 0 obj<>stream +Hb`48ęc + +endstream endobj 1575 0 obj<>stream +H-дȡX[Í/0ɋ12Թor'(諅"# quv dhfuʷJM\fֳ{79Z`ϽÌSVgXYʶ|:<_ WīhTĬgɴfjaU麛GJ] + +V n + +endstream endobj 1576 0 obj<>stream +Hb` 3ag*̓M_(6q1q I,RB2r +Jʘ*jZ:zFXML̍1-,Z[b;`strvq560g + +endstream endobj 1577 0 obj<>stream +H kQ|f޼df2iBZJ܉ Qō JHQpaQb[)-bD 6RMd>bιvw cdI)Ɔ1<_KN*y%v0>(Qf#\zf&KR|칎ʛ(%E(xCQ;YjZм$jGe4sB2n>stream +Hb`dbfa  + K@U"$edY 8()) 3TUi`@ut-f70426@Wojfnaiem#`+5N]\lmz&^>~ցA `cja暞镝_PXT\RZV^QYU]S[^?a@q)SM1s9s_p%KD + +endstream endobj 1579 0 obj<>stream +H<אX[dXWՇJM[Հ@BTVX}<=Uۿw23Ʈ\_gq''\ꬅbWϛloZʶ^aZz~Yy56Wѿt,.Sv/1q()Yаn"# yf + +endstream endobj 1580 0 obj<>stream +Hl0u ޻ al{7el.4BTF*՚)- ސBot\Lyvˁb8-&\XrNNmp>stream +H Oq~r G7^d]umݸjݷf"%-¨Aj4Of5gXΤ5-geft)'} `7ZpAN"D`DMcYqfswii`WmĶSG:8B mFcXHR$>,DH2vVT=/cs3apZ44 +qɱjslÓgs/#pUW3[][f܂Z.:MpB+,+8WG֬k߰q3L: ;u1[E.gW7zk mp9?~[OA 5*UO+D! It }0qy% Э@ +q5(?A'܂Axiբںٯ~肻00M]ʓ^7! a2f4/iL + +endstream endobj 1582 0 obj<>stream +Hb`ddbfaecgKHHII+(*)kh2021iiKIZ%%l$m]\=<@&Y{%}|B@ 0)}GȨ/ jRl\|Bb'Xb.X"9%5-= b.Q)Y9ypPDoAaQqIiY9LB;dEqEeUuMmTh7aY M-P /&9$WwtvuC%@tl{z'L4yX;{ǩӦ9k c/\Pp%K-/ $)b + +endstream endobj 1583 0 obj<>stream +HBʙhjm"#WX̹EFbYêfS꾢gTZѪd|l ZVegY[cead]\ɲIL_Ϥy}t+,^ƯkU`ŭgRƮiaīhb UA + +endstream endobj 1584 0 obj<>stream +Hl0XKDQĂ ,Epr\TrB&Ai JJZ3l1ѽ#xw} +G>Cqz/j/_G?gY@Yr?Tiϗėa,}(lJ + +endstream endobj 1585 0 obj<>stream +~|yw~zw~yx~}{}{yywzwxv}zxyxzx~ + +endstream endobj 1586 0 obj<>stream +H D+scZf[ϩT QH~  Bgz + +endstream endobj 1587 0 obj<>stream +yw~ywzw~zxyx~~|~xv}|zyx + +endstream endobj 1588 0 obj<>stream +HN[0+CTDZ8 -i xPx- +H' mӏ>stream +H ;0c#*A$G( 0< +!X!EkL ,28뺡hUUQûz;Ev#+Ȧi}߿ e]]MӤijێe9#:80m[>stream +Hb`̸UbpQp$ 1P 7\<>stream +HC0% Rl&.8{/SEUm 5jZG8$p@!/PVVm׼% ;TU> Ti + +endstream endobj 1592 0 obj<>stream +Hb`@L,l h]xI K`"!+' *`i + +endstream endobj 1593 0 obj<>stream +yw~yx~ywzxzw~zwzx~ + +endstream endobj 1594 0 obj<>stream +HtO[0Rn*"R$rBH]WKnII}s)ǁmEvyj[D^ + +endstream endobj 1595 0 obj<>stream +Hcݾ­ضШ̥Ӵ O + +endstream endobj 1596 0 obj<>stream +Hb```dbfaecg@\<|B "b˜$$eaO ]XV0@] + +endstream endobj 1597 0 obj<>stream +H~ޢ鄂zx{x{y }_ + +endstream endobj 1598 0 obj<>stream +Hb`F&Fps`e/ (&&*.*&&.!)%-#+I^AQ^IYEUM]MS@_ + +endstream endobj 1599 0 obj<>stream +H0º彼㹹༼ôݽǩ簾𭭳޺曛xxzyy{zz|ttvz{|wxyꔔ㤣 !V + +endstream endobj 1600 0 obj<>stream +Hb``dbfae %yK `&!""**MB\BRJZQ|r +BJ*XRS\]=}La66C#cS,-,IXcpsf V 0Ee + +endstream endobj 1601 0 obj<>stream +HB0412A.C9pqS:c^KW 0 8%-O*͝]B~ɵ#yu2PU=Bt}/=N_ W2(H A]1Dy.,՟] /?MŖO",ԝSx.1`c + +endstream endobj 1602 0 obj<>stream +HlW@EAG3tLsߑ x穮ze4=k\El,QTYh-pt{w\O>`]9>Y88FJk/%8%jmclt??/(E戀 ^O ) + +endstream endobj 1603 0 obj<>stream +HY +@$(3EI3q}U[{X5EaYwc"i1Ms$&TcXuρb_JPG8Kda]۵ +@ m +#'bŝ`0b + +endstream endobj 1604 0 obj<>stream +Hb`@L,l 考C_UC\HX@SATUC\B_JZS>stream +HO}~}vr}r~s~hsIT}Hq>stream +Hb`dbfaeccc6N.n^ 8P$#!)%)-#+'ƦƣԒS7742&fV6v<~~F nA!a~~~ ظĤTFZzFfVvvNn^^^~DaQqqqIiYYyE%DUuMm1ח"I44B$@-m0Pl*) Iv#D2,`@a| + +endstream endobj 1607 0 obj<>stream +HR@ƙhA%21>osiNC{-Ʊ\vV>' 4tLڪc̱"E. @7Jiyn`hN;|Lj=o9jXl)(LKh)~)+v]V$譨$ycFI`){ + +endstream endobj 1608 0 obj<>stream +Hl0ThBi*P:;d,5B(P{X22z*ju NMv`:]a\5#?--+_(H.?Η8M |ծw0;\ + +endstream endobj 1609 0 obj<>stream +쳱 + +endstream endobj 1610 0 obj<>stream +Hb`d +YXcnva&n` 8^^^>ly + +endstream endobj 1611 0 obj<>stream +Hao0Eř]ˢeCEYBarssrs.PER@ +ATl2Z5jòh請 R hwj;gd+¬`C/G?=ӳD6Lnwr&9 +HrM`1\vM7~CQ!KJf4g'*,lݿ]XQ + +endstream endobj 1612 0 obj<>stream +HTPV0l-5BkEQ(U,'(%owf7!: @<"% /%nnt)[1ۖ6ATxe8+sU^ ½_sqEqmsEIԠz@I;\ofI)iV,M~;Ajɣ2Ȋx>stream +ó + +endstream endobj 1614 0 obj<>stream +Hb`@L,l 耋_C K@ + +endstream endobj 1615 0 obj<>stream +H0歫㌊¿vt{yw~zx|z}{{yxv}wu|}yx~~|~ Dy + +endstream endobj 1616 0 obj<>stream +Hb`H2à_@ CBHXDTL\BRCEJZFVNN^AQIYQUn.+Ld 5MLYaf@&mhnnaiem#t& 4;8:9p5 + +endstream endobj 1617 0 obj<>stream +H W?]n#QW>stream +Hb`F&fV0`!0;\ b@̓./ (*!$,!,&&.KII+(*)􀔫khjisEM4LE-,mllYy@N.RRRnLjjV^@qo_!CB#"jrSU5f`琂{WZ-!))YqJ1ddfeb(˗5/0v*4 o#% + +endstream endobj 1619 0 obj<>stream +H`ℎ Ѽ3+4 )C e(!pzͷ.z-9li¶ӑYЋ/Oj\USuSdqe3ŋ;;IFCxOeֆ~1Î2n6n$AO,-ex4 Y6FPBn)[iӵ{u[ + +endstream endobj 1620 0 obj<>stream +Hl0 4($*0x^BV7-*;j&&{p08‡yEEd=onAT9r)>gb??_;x#?R9/` +0 + +endstream endobj 1621 0 obj<>stream +H!욘䂀yx~yw~zx{yýxv}wu|vu{|z}{Ljzw~~|~}ʄyw T + +endstream endobj 1622 0 obj<>stream +Hg0`%Bκ8(.Ȇh5ðD)JO$S)A~NV|h.PI-Wzq#j{z003 ۞6yA^`d6_,fC {t&`=/WPyoia~ 0* + +endstream endobj 1623 0 obj<>stream +Hyw~yx~op|gizgi{[`zQXytt~pp|pp}dg|Z_zZ_{zx~sr~lm}xv~xw~zw~ywzx~||z~xv}}Ũ{ywu|ΰʼƃоåܕ  + +endstream endobj 1624 0 obj<>stream +Hb`d@L,,ll M!@@/Bc*b˅QQQ1d!FKHHIJ +H*ATPTRRRVQUSДLRK[GGGWOP]H +f$X P{xzz9kI{Bul]]]C\ h + +endstream endobj 1625 0 obj<>stream +HKp:ԹŰYo׽D^DPUwLE@0 +C|6 p8H/eY&i5̿M8J8 C]߂Y4hR#]+ضmYyGQq$?i$+ ٮ뚦)"Ǚ$ΐ8]UUeϦ|a^h],\׵mW-I + +endstream endobj 1626 0 obj<>stream +Hb`dbfaaF I_@PHXDTL\BE" +LV + T44uTtQ$dd  XTA@ +dLL-,m )[>;;{G'gnWnd vssFs@ 28$ + %M)# + +endstream endobj 1627 0 obj<>stream +yw~yx~ywzw~zx~ + +endstream endobj 1628 0 obj<>stream +Hb`F $0!4 24IW $(q Ljd Ik + +endstream endobj 1629 0 obj<>stream +Hm _õ5MM͖-1Tǥk߬\YxqOE$`gNGk9L%qߢ(xjn?jY'/xm]+TY"r]WEM k /%fq + +endstream endobj 1630 0 obj<>stream +H|W b sw&0DžcqB3!q ĵ*ƴi^V$$7Cy~4˭Py C + +endstream endobj 1631 0 obj<>stream +Hj0п^LB.j5@wee/Z +=YYHuQwCB||)\)\HJ Q՞-,Ɛ}A -it8N}xrȋƆah۶(4M,;e'851bqRuVtn1f6MSuYyo6﮼ڽs`_쏛 + +endstream endobj 1632 0 obj<>stream +Hb` !VIfDXOH3++;V5\<<< ,L ,(2|BB">stream +쯭巴迼 + +endstream endobj 1634 0 obj<>stream +Hb`db +XXرJpprqcî_@B50 `g!Όà! ;p + +endstream endobj 1635 0 obj<>stream +½֭ + +endstream endobj 1636 0 obj<>stream +Hb`@L,lh \<| ($,MATL (  w + +endstream endobj 1637 0 obj<>stream +H镓~|}{~}yx~yw~xv}߉zxwu|{yzw~} i2k + +endstream endobj 1638 0 obj<>stream +Hb`-`!‚MS_@PY\\FVVN^AQIIYEUESICuttU!. k3hB%TVƎAQIO;;; r@zzykbH; + +endstream endobj 1639 0 obj<>stream +yw~zw~yx~ywzxzx~ + +endstream endobj 1640 0 obj<>stream +H +0Bն]Fp.V +"4Dg:8T/Hi:0'aY0$H5 + +endstream endobj 1641 0 obj<>stream +Hv ) +j6QꥬNڧP +|Fc}RWc:BD\.}nm̯` +#߶N'sXƘn.,YlcQ4<MJ^ Ca#!NTHy8x^dK^癈'S$HDJjSr#xf\l\ ]D)Be[MY42I8F Jt4'Ib~ۦkO>plk 耄J ۇ1 + +endstream endobj 1642 0 obj<>stream +Hb`dbfX9srrqb  + KHJI+(*!++ khji00+!ĕu MMD98@-V6vLJ0qe'''qgW7wNNO/yoVe87 + G+GD*;EEEE+Q AAIXn2a'l#2e + +endstream endobj 1643 0 obj<>stream +HNyw~|zzx}{{y}xv}yx~wu|~zw~zyywzw s + +endstream endobj 1644 0 obj<>stream +Ht J贴biDo@5qi8͖ymJc C64ch2Y2_,WnezG)=gz:N/Фbi!PEGy|0'+?GV'Ee4v) + +endstream endobj 1645 0 obj<>stream +H moD0-mzSFNJܖ"\׵,8e*SDm{' 6Q q,PJ}:͵u"I]T?M~LaG3jaA9ϲlW[*^2QRIА,׽ٶ0 JV2)((f@HB޶O + +endstream endobj 1646 0 obj<>stream +Hb`ڀ8qfV6vLqN.n^>Lq~A!aQ1q FFI +B\UM]UCSK[GWOHb1[XZXYōUUU]\=TTT<9  + +endstream endobj 1647 0 obj<>stream +H n0!C0ÂZ1h"F[uYzﷄd,))8}?s_~l6B繮$~n$-NY (BDEQ:V$-Oyo9FA x<5i.ݕn]Sw@+@Qc>x( 2ttizUU4 PxRa/]cZO(BF˹Iuʆv-믬`x: + +endstream endobj 1648 0 obj<>stream +Hb`XX0%X981$xxDDE%%$ed%ĔUT54E:::b|zzF 0153зa:*Ԧcnkg殍<=}||%(HkcEаL HhL @l1 + +endstream endobj 1649 0 obj<>stream +H + sFߖN8.i]~ +_OK'o]}ܯ-B@"*{5me  m:o]+/ͩ=k@] +.5ޯ(_(` + +endstream endobj 1650 0 obj<>stream +Hb`@F&fV6vNN.nd/ ($,"*&."()%-#+#'π H1b55#dAx + +endstream endobj 1651 0 obj<>stream +H +06ХQdt9-P>stream +Hb`R3 &zX981%xxEPKHHJKʡH+(*)Ih020Btkjjji2%@\ɉ ) + +endstream endobj 1653 0 obj<>stream +HP v0 i~- +@i@˿C<ߛ'x|~5uסod9_tק8m|QYvdӥ}3N6sx[ej\g祛t_|Te}ߟ{_2 &ÇFUqծ=ږ;mq9~eQwGYu]٨zF,@ C? pe;W^_t.iR@BH!R P*t۬̋<^` e %:E%Ww8+(<)$)=Sf&[MS$$1C%/XFe|OP 5J*L'6' 5 + +endstream endobj 1654 0 obj<>stream +Hb`pI01aeŔCedGPPTRVQUSe`ЃI[XZYl70Ttptrvqusba)GDFE1'$&%gdfeWTVVU+7465wtvu&VgM8iӦϘ9lVʤFMs&̝7y tT.\(%>((}%K/[|A SV0"]* + +endstream endobj 1655 0 obj<>stream +H Na'dz];\EEłbFkvFA  h4Z†mw:3,a9+YZֳq+Oxyx.gJԟb hgyJW1PQz+h`M4ܠz| +!6[3(u>stream +Hb`dbfaecc`aWPTRVQkHhji Ƙ[XZYCAe]\!@cشu<<*zC/+ 4,Τj.i!llnk`be׉Op 6JLJNkhKFĦJ&ddfe爂3x\v<@¢b8\Ҳʪj8ԚҲ&x@k|jʚ+ZZ`WB;:Dc=`7P + +endstream endobj 1657 0 obj<>stream +H "6Q2ԜlPW0A=BDCxw; %@)#8Zkc֏C_XKQLEViju>xw(c8kYj[ + +endstream endobj 1658 0 obj<>stream +Hb`F&&fV6vN.nn0/#8BA$%dde0"q%%el@C|} + +endstream endobj 1659 0 obj<>stream +H苊QQR[Z\zy{EDFTTU{z|888˝<<=ZZ[Ô}{IIJooqԲSRT334JJKrrsǨPPRzz{KKL../KKKwwwڵ__`ȗgghBBC889___ǗeefRQSnmpttu̳;;=uuwͯ~~MMN]]^չvvwFFG::;UUVɣCCD667]\^ϝ E< + +endstream endobj 1660 0 obj<>stream +Hb`-`!ʆE n^>~FVV66T]B"bRedUTո44ut %LL-,ml] :\=<4}|CBAZ""<-#cbSR32sr +KJ+*kj-Z|[;:Mzz'L4mig4͜5oy,\x @3; + +endstream endobj 1661 0 obj<>stream +H B0HeL0x#Py,|ph9zl?-ž̟C0h9Y"8`so̶O u I@G$w&ȫxn&cz>!CNDBokǜҺviY'B + +endstream endobj 1662 0 obj<>stream +Hb`怑 3 +v 6vNN,\<|B"bRҨ2r +J*j̚put ML-,ad + +endstream endobj 1663 0 obj<>stream +ꋋYYZXXZ + +endstream endobj 1664 0 obj<>stream +Hb`FL,XY98E_P x + +endstream endobj 1665 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?_C#X_P˧k ljV'cA +Gv!U =IxHC + +endstream endobj 1666 0 obj<>stream +H 7/UT]K*icO$W15B 4jjto{?@\]f2vTd2i!Kp8|Sӛn`C*ڲ$RtrsvVMP;;MO|\.L&f ` N.gH4⚛[ S42hT: +j% {WtttF"Qȯ{zzV< |p!JH2$A4Z- Iik+"...Q(LU* Rf*b2YH$RԽPh.wdx|,0  bٜC*s8zM &F|>_ՒHbA 0@|BpFiuu s{ۆjO&S<.{|\N??N\[b|F1NO9"f/..ml| +u>sXbkn]2LT77+H +Emmoq8$| + +endstream endobj 1667 0 obj<>stream + +  !"#$%&'(#) ** +,-)./(0123456789:;<;=>?@ABCDEFGHI0JKLMN>stream +HgRAM20IDpAGU]k~-.D`A!k,% CX-֫z5-VOǗ%Q%Cd"V[0ڋtoS<~=^=ܟknfr]F`,A-dMrOc7̇v||}9^ "THDt`=Q]miy7]Ooj8/ݦ\1]` + +endstream endobj 1669 0 obj<>stream + +  !"#$%&'((()*+,-./0123456789:;<==>?@AB+CDEFGHIJK7LMNOPQ=?R*+STUVWXYZ[\]^_`aaPPOO=?@**bBcUCVdefghijkaPPllP=mmno+STTUpqrstuvwxykkzPPQ{|@n*}c~UxzlzwQQO={}}+~vkzzPPQ{{nAklQOmu~~Rdxxzyzu~xxvT~Éʼε~ĊԻרة + +endstream endobj 1670 0 obj<>stream +H Љ:"rL*9,>gDgk#]e45s*eɱߏbSS zp9=V]*P h&=5ΞT7[ZРx(**fOdg~:NoLI}_ul7GTVVV>'$$66~r7zcaa`t BZ6V4KIKK񏎎dr1흃?3MMKK:~zSPPpvzf-pڅ`0877_SSikk6#ȡ,Vd2ooQ䨿+g;77l(dаǃKpyyvu1zCkkTz:2䴨.R &!?@,>eh4" hmm= + +endstream endobj 1671 0 obj<>stream + +   !"#$%&'()* +,-./0123456789:;<<= >?@ABCDEFGHIJKLMNOPQRS?TUVWXYZ[\]^_`abcdefghij'k%lmnopqrstuvbYwxyz{x|}~AtnW.dw,4Wo]50YYxrp-]Ƥʩ9IϙnsBo؎1a۸އ0ut]b叞^箍[叞@bK؎4⊿{0MӞ5FW_\ + +endstream endobj 1672 0 obj<>stream +H y?Oa!m \2ȑJj"+9rF%$PxKоh4rG,x<AO޹9YccSyyDL%NH$&"T*|tLn'NLLCs"NO KK(ٙ#?WW!;T*U0xF)?}>ʊ`0w@ T ͛//Hdt:BUVbGGO3ňH&]T*p67bL&s|%m6PGca!H$ T*ͭѸB?dp8@rg0%%zr8Q5UUaֶɭd*ËHDvd4l{}999M$HdyWg'cqq)  ]WNoS4^>stream + +  + !"#$%&'()*+,-./0123,456/789:;<=> +?@%AB/54CDEFGHIJKLMNO >PQRSBITUVWXYZ[ \]^_>`ab-cdefXghijI6klW,m7n>7mopqrstguvwxI=yzWb{|)}~%->7mzg]JK'',45>s=> #]gu +dk Ig{01 +[&g'(g;S•Żǥ|ɜbỲ0BFgX`ѥ782gX]2_)y~)}JuI +TUk| ga)]䬳g[B + +endstream endobj 1674 0 obj<>stream +H:"r"c2]rT(r%t,NùԪ-BrN%,Y,"ݥ-RE3JJ"Qd:?_]$H$d +k=d*(pRP(v}{}͆ÑY>4}``hwwE`RZ +MjYxzzAAS:}OPA s\4ze~;Ri2- (D.>?bX, DJNj*u t:`zv+_Db{{ټ`lnp0Lxs7kjXFW^T[[?^b~ӹ#ax}}"x<~EslLee@ 4Mf4 (P`0z{67/XlQ]OS4BߣhƱX,juGG?ooQT!ZW]`Ln6d2G ;==K$L.\.` +򲥵@ B'5QAF(F"Qu*PZqn-tlvJ'r"hcc/?8@FFF''KKf&A&Q<F(\BL0(`ZiU + +endstream endobj 1675 0 obj<>stream + +  !"#$%&'()*+,-./01234&'56789:;<=>!?@$'AB8CDEFGHIJKLMNOPQRSTAUVWEDXYZ[\]^_`abcd2XYefghij`klmn3%opq Vrstubvwxyhz0{|};3~T&T*@3@*yx|riK('\i!%y5:AKk! X,{z"Au,))p1)–ų ǁ^sfɘ̅|Ci9XbfLt3BLӋԯպ1֬" T(߶C@* ]- + +endstream endobj 1676 0 obj<>stream +H腄XXYȻJJLvuxзBBCmmm׵ghiQPRLLMyyzϨ{y|VVWIIKzy{__`Ѿ]]]<<=DDEjjkʸiijTTU779JJKrrsɭ~}||}ڤEEG446YYZǖffg@@B889bbcԼÑ__a??AllmԶPPQ;;=GGFtuvω׻UUV>>@GGHvvwxxyFFHRRRǜpprgfh Y + +endstream endobj 1677 0 obj<>stream +Hb`-`!Č]'7/?$ +@U54ut ML .4wptrvqusz1xGDFE3&$&%[gdfeWTVeWg7465w8uvuOh8I}ӦϘ9+w˹/XHp˖\*ho`C + +endstream endobj 1678 0 obj<>stream +ꕓrqt + +endstream endobj 1679 0 obj<>stream +Hb`FFL,98еq 03  + + +endstream endobj 1680 0 obj<>stream +H?bO^{TBBl CsJgsiƥ%"g2n)-%@}}̌=>}Ҷ@ NNNƾ\W|> [;p8<b%  +SSuuG2b88p<\\\NLLu(Ng 'ɇn__I =>>qy;LE"wRi+OHӹ^m-RP(,WW4P"% D* d2Yg0TRmm%IVc2 `f^h*/g>=h4PS9==+XL&q8֖Qh2nܼPAm T};X<k4:f@H9ZD"ON~rOVN@T( JR,,"” +f,R{{UvO~UTT;666e2պ޿ B4y0 }h4WVV LV b* +q=rE>stream + +  !"#$%&'()*+",-./0123456*789:;<=>?@A6*>BCDEFG&=HD,9IJKLMNOP QRST$UVWDXYZ[\]^_`$a65bYcdefghijkl.RmInopq;rsK7tuv"wxyG;'VU>=z{"|u}&~*{d1D^0BQ`-f835Pw1z5K!{\3qgCT]cY +1g\[Rb14HKAQ4DW=H@DNƻ@ (q4YcntTq.n1^(ЈTj~&MbfgDrsF{QwڝUĩ@ + +endstream endobj 1682 0 obj<>stream +yw~zxɇxv}wu|vt{|z~|yx~ywzw~zx~ + +endstream endobj 1683 0 obj<>stream +Hb`@L,l \ <| (`"E0VJ-".BQD("JA CI70M;. + +endstream endobj 1684 0 obj<>stream +Hb`@ + +endstream endobj 1685 0 obj<>stream +H'뱮툆肀zxyw~}{Ŀþxv}wu|vt{yx~{y|z~|¿}~ + +endstream endobj 1686 0 obj<>stream +Hb`83 ++8;HUCE$+&) WPPPKIkhjitJ2 X4 XXۋ9\h6rttrvqUwPrw0 + +endstream endobj 1687 0 obj<>stream +H 쾼}}~zw~yw~zxyx|z~|}{yw{y}|þ½xv}¿yx~wu|vt{Ռzx~ ɤ + +endstream endobj 1688 0 obj<>stream +Hb``dbXXX؁]Y\@PHXDDTL\BRR +I\ZFVN^^AQIQ\RRRKFFUM]]CSKX[GAO_(n(*###+kd$klbjfna 4 +emlmX]®@77wOE/oT`-(+l/HcZ[[!M/>(#m(jO4ÍV1 /* + +endstream endobj 1689 0 obj<>stream +HOP:~XNx|ur +Z: +v7F󤎃 +H l0~}6C Cm dh~ cd%[,-t,(l^צi N|x,k210" +@V0}p],O]Dc<~>stream +Hb`d +YXesprq DWPTRVQUS$-m`h$&fVFF`Z B),"&( edk +a 0@'C + +endstream endobj 1691 0 obj<>stream +Hlʩ}|~||zzx~˖}|ĪԲ ]P + +endstream endobj 1692 0 obj<>stream +Hb`F&ffV6vN.n^>8BBMF SAN^QA()㐐!1@B?C + +endstream endobj 1693 0 obj<>stream +H=o@@u;/ښJkXC#&ur|Z;63&F(}yyۃ + +EmA+E`#@\W*xX 0Fm12-A8u]ߧ9=F4F5JR)e4ǟ|0M˲f񤣶;Ͳtn?vO!^OU`u\'/ +I}>stream +Hb``d 0fa pdbbfd`fbb`bcb"h4Y6VVVv(B vn^>~A!av&qQ1q I)iY$AU8UUR@ï*.oohdlbjfP`aiemckgŠwwswVU0^t + +endstream endobj 1695 0 obj<>stream +H[OP_]܏ग़`2zp 4Y21}"} 1!CF$?xx4M_Aώh +fYyEe߆iY<=rM`Lur8UUEIֲY.d E@MӔe?ziZܘeအPf~춻+F4 +жmq{E&a:hgN_]/U;j(0m$}{ + +endstream endobj 1696 0 obj<>stream +Hb`F Ą& XXX٠"98A\`E.B"b R ` FiiiY9YyE%eU5uu M\L-,YYXmP%lu]\ݥс ??c. %! + +endstream endobj 1697 0 obj<>stream +HN. $tQ +ȥѨYk-5q]X((*bv>stream +H0EF&v{ȋgfa /,˚n8.Lx} (‘hLy1~ D2%AIZ*ӌa\VZlgH4+hM)-{Bjyմn8S ?\Bpu%PQ +ta  5! + +endstream endobj 1699 0 obj<>stream +H ;0[ӖO? AL[4"A E'JDH 1U-lYkmQT +m!8Nnrw>8.8edzVK3#"2MsNk4R_[U֌ʿU + +endstream endobj 1700 0 obj<>stream +Hb` pXA0",@xYXz :yXx8|llB`MH"bR2FɁ.B N + +endstream endobj 1701 0 obj<>stream +H`왖턂 ,Jv + +endstream endobj 1702 0 obj<>stream +Hb`h I00bacAe$ q I)iY9y @2 d + +endstream endobj 1703 0 obj<>stream +yw~yxyx~zw~ywzwzx~ + +endstream endobj 1704 0 obj<>stream +Hb`@ h A] +U&`$PeX2'  +mCWQLLLc$f6tu/G + +endstream endobj 1705 0 obj<>stream +yw~ywyx~zw~zx~ + +endstream endobj 1706 0 obj<>stream +Hb``d@LPѤ3. TDX B"\vf@@2!3It 0!0 + +endstream endobj 1707 0 obj<>stream +H0VDyCĕ;'YRZ010<[B4EYps=t>stream +Hb`F&fV6vN.n 3  + +"A@LOBRJZZFE\N^AQAIYEUMU4455$mzŇ/0z + +endstream endobj 1709 0 obj<>stream +HByw~xv}~wu|{yzx|z{zyx~~¾}zšzw~yw Q>z + +endstream endobj 1710 0 obj<>stream +H|0 Fp,h]p7?##mrX˕$UUP4fmZ G-cu-6ߟLgscaat  ZkF! ?{T88KtB>stream +H[OP@܊v1CA{-s30zf@G,E`i +$@CE(1]g*Áɛ,vn.z~14I7;(v/4GO>stream +H|0*8@TBp<"b4_~I@pQEaXHs\6h,B%Sd,˴㜢 RR,jRf4C;^0'әa_s'Ӳz!ľ(0'wm=DS8 + +endstream endobj 1713 0 obj<>stream +⦦Ծ + +endstream endobj 1714 0 obj<>stream +Hb`@L,l\ (_@PHXD;!#Cb`I + +endstream endobj 1715 0 obj<>stream +H ιn`.#m;tĺaDYha + G|CrL"8N9eFSYzehcEaڶ*qV_ZU$F(L`^}mYv?OODEQAy5M,I/Ŷ˲ + +endstream endobj 1716 0 obj<>stream +Hb``dbXX988@LN .n^>~VA!T "bR2rrrpyyE%qeU5uu T yQM-m]=v&}d P00426153װ`dDgceq9S8:9{Ixzy{cA$ &Am`+) + +endstream endobj 1717 0 obj<>stream +H j0^M&[M- `wAT^< +Fː Vd +TQ?? .Lc/ !H YEQ5I?5Ѿ5MӶmua ڋ0ˈ(*XG + +endstream endobj 1718 0 obj<>stream +Hb` 0&Rt0Y,RPW+A;9899/hB"bhR2r +J*HT44ut 90`bjfn!koe"ackgg +0"} + +endstream endobj 1719 0 obj<>stream +H 5|YB,1lx !ԧ{# %I +iUbr=0!ll[e~̚S׌b;qI + +endstream endobj 1720 0 obj<>stream +Hb`F"Š!//$,$,"*&.!)%-#-06 + +endstream endobj 1721 0 obj<>stream +HZzyڸyx~譬 E + +endstream endobj 1722 0 obj<>stream +Hb`@LL,l \<ܼ|B @DTLQBCAJZZZFS|  + +endstream endobj 1723 0 obj<>stream +H Wv@_gKYvi>DlHPb3$?^ydIy۔]]>(F! +O.8KgCߧ)N{߹sISuMjEH$MSu{*k,+Ҵ@$0@Za_{yZr@bY0"`!F:ƺެ.6Ed #4OR#(*YFZп&- e'"YZQ*O+ܳ$1il5 exPM? + +endstream endobj 1724 0 obj<>stream +Hb` 022bgbb*!/ $$,.,"*&.!))-($.'ŭ"Ф%-o`hdlq #D(&JjhGD**FEÁ&ɉ]m tr˸X''&%*d@RP]@M@i驩)ZZiYY)ȺM̀V5 + +endstream endobj 1725 0 obj<>stream +HN@/$=wgT(6 bB $yx]ۧ %uN'p]`M^GU3_z1_wz:^~u}y^y1e*)f~m7վO 0$A}?ǰC@Sh&;?v|5p](=eqXޫO2 b"1AB@#۴\sf(UܐP9ӔHv3՜ƌ88NB$RJJeRfZZ bF + +endstream endobj 1726 0 obj<>stream +HLZ@F0[lS[p}/hAQyFHbz,3>195=/,IӅյb\Z RJJť +u0(67ͻTK7@Lc <ii g[2$[HGԯ㺝qqN!j_hG-ۦ<Va @ׇ)"3= eDn|;(`kO + +endstream endobj 1727 0 obj<>stream +H{W@ſj( +q$Af0ʫ3t\ǜ{ǔ|$-J0g-|yw{0+׻}h=1Ch;wLfn/ֱ֚M'RnaZ鋩}+4\֬<G6d o?zS;jsu;0lyy7?3~BWפxҼq8oG6- xmMgƎ}ʗ"n,;YO4vbŅg̎q_Ybz)[-[^VO{[(hIq5/. yP (8o,*e55o2射R*D+зhRR!@;RaR +0 + +endstream endobj 1728 0 obj<>stream + +  !"# $%&'()*+,-./0123456789:; <=$>?@ABCCDD0EEFGHIJKLMNOPQRSTUUVWXYX0ZZ[\]^_`ab9cdefgUUUhiiCWDDjZklGmnopqrssthhuvWWWDD0EEwl\xyz{|}}~svssUCUUUCWDwwk23~}}ssvvsUUUVWW-YY}}}}}svvUVVCCCXX00}~~}ssUhuhUCC}}}}}svvUUCCCC~~UUhuv~~~svvvv~~ + +endstream endobj 1729 0 obj<>stream +HYR0E膆I;,ٲ-ɒl˒g2 $TΩ[B|-.LDCT XHHy>uq#A - &XgK^nꠚc_t{*.4qQ\@`$ǎZLpS(ͱlϲ>^mV~JyF;zaq0{?O38 2/bjK. ߏ?ë7|3d~\%b)}9uwQ͹O,\9N`@eLpd 4V(npɫ[7p˥ f25)L1ZAKVgQ $>stream + +  !"#$%&''''()*+,-./012345 678999:;<=>?@ABCDEFGHIJK&9999LM>stream +HK0 C3q,SH'?H$ΑDt?R\E~%ONMɅ6rmϕ/DžaJaD BcYQb$hDK0F"CQ.uǺIPjc xJ 0֩Y + +endstream endobj 1732 0 obj<>stream +H<[0Fo) ¶"%]+rVPXÀ3ƽm]ApW(>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?o,lJ#+N=/ӓ  +$?bH/'}[Umd䒞c +D/Οu r6tW*/Ř~U +i$ + +endstream endobj 1734 0 obj<>stream +HY -Yc@xITi!.ys˘aL{:z a/In' JAqs +bO/훶It0\7rպɘsEfC~~qntǸ iPx>HdB8yDs j/p +1uZ^^P)Jksϋ2m۾-~N :B1x|uvNU[֧֧'q UE_kRm9q + +endstream endobj 1735 0 obj<>stream +HD?p-DIZreBU9*X E2G*Gr7x~>^ XIQѤT6Tj4MѩFt Hۧ7ZYޯP`8"NXz85Qꐱ OLI S3qx^ "tlbv|~? +I`Vר:pskf@ A8w̷9di!A"O a8=ǣE y>ซ˫ DU~s{wJ&!^eә,ǧǧ\PVL6^ߊRxq}|E)B +Џئso + +endstream endobj 1736 0 obj<>stream +ʻ|[ʼQ + +endstream endobj 1737 0 obj<>stream +Hb`x#va&f,lX98sq`0t + +endstream endobj 1738 0 obj<>stream +H ‡ Q!֙CWrk5mC@!FJbĔ8gəKRVhF4:bgC9[U/kv= 0Y + +endstream endobj 1739 0 obj<>stream +Hl EQ/d"JVnkGq'I",(Ng,rghU>B.jy^ C9?qvc/t7 d D ]ۈq &< Ͼ-z`B|m],ZRR? >  + +endstream endobj 1740 0 obj<>stream +HPƤˣʠֺ̰̯}z޽v׽ٿӧ5ެ0ܧ)ͣG׺q۱62*ΥBҲPLKAձKz{z~|}{꺗5ٶ?C@ϯNvutxv}{yޫ(ȣ4ҭ;۶>ȫOvvuyw~zx * + +endstream endobj 1741 0 obj<>stream +Hb`83 +;8'7/?  + KHJ!K+(*))"QS7042RZYY]\=<}||UU 0(8$4,<<<"2***ZO/&6.>!!1)9%5-=###=+;;'7/ x T + +endstream endobj 1742 0 obj<>stream +H ‹ GGE@@$i.VD޳HkV.3qMev"oMj0#H^"٭z}̏D"k/dR + +endstream endobj 1743 0 obj<>stream +Ht `Q +BR!p1nNߜsHBia !Rvr !b$, 4t'J*ܥXh*!4ɲ'CG^ZjnfPkt?ֶ`3sG+_0/6V3/K+ + +endstream endobj 1744 0 obj<>stream +Hƚjmn#$UWXYZ\^bdǰ@A`V_eS[]`cgTeΦ{~cfܤy|r)*[g؏X[\Yah׋ORXۜloi[߮{8:] i͹cjl WkȳFHgjmo%&lƮps~=?gjr))m | + +endstream endobj 1745 0 obj<>stream +HDy[@p\XTH[RbpJ"0-l@D1-Bt ++gUz̮/MOʥoB}w7|(?>stream +H r0 !]H8k?,ByLG4 pcߗm^2!n$D`!d}ה*B1m)qn)Jc֑s\63fC8R:c!4)>gMTU+3^8><Zzo? =? + +endstream endobj 1747 0 obj<>stream +H\r@aj`Y)KPbP`\93bNRE3 òb\GQ22Iq^),EIn,,kUu"k۶i|Ou])W0 + +endstream endobj 1748 0 obj<>stream +Hfikmoqsuwyz{}~|(*Ժgtv)*jlrQThnpxACSVͫwz!" + 24Ė twռ“-.ɡݙ:<9<BEad҄23cfȞފXZĖ qn) + +endstream endobj 1749 0 obj<>stream +HT@`cuEzw]չ9sdpUmv(H~ @Y 'P8DR)- 3\^EI*KeȂj #s|RHz20-+ڝ`UMx=9r߲feX/Ng@˦sRb! + +endstream endobj 1750 0 obj<>stream +HfǮJL>@z01daehjmn¨uxmpQSf _dgilmȽDG124545454545Ѽѽҽӽ N + +endstream endobj 1751 0 obj<>stream +Hb`F&fV6vN.n^>$/ ($,"*&.!),.-#+',Ππ!]0ć 0!nhX  + +endstream endobj 1752 0 obj<>stream +HEqsuwy{|;>stream +Hb`dbfaecE>~A!aaQ1q I$q)iY9yE%edQ^^UU555u M-a^m(A敄! t +b72"jbjfnE G + +endstream endobj 1754 0 obj<>stream +ȹIǸHöpƺ + +endstream endobj 1755 0 obj<>stream +Hb` 30bgc*ōU8v + +endstream endobj 1756 0 obj<>stream +H q")9T}wwvyw~xv}iv7Wzxxv~|FGJV{g{x{xwwu|xu|Ȼ˾{y{yw}zxРzw~˚ywڛyx~Շuszvt{шڲ˟}}{zy Js + +endstream endobj 1757 0 obj<>stream +Hb`dbfaecGN.n^>~A!adqQ1q I)iY9yvd4ut90 uAML@[XZYcnckg!t9:aZ)nhB`<<96`^>X|p 2?r@e/ + +endstream endobj 1758 0 obj<>stream +Hع˜ѨސutvoopŽvvwʬxwzpnqځɠ{z|[Z\TSTwwx헗ڼVVW;;;@@@mmnӱ꣣ӱ}}~KKL<<>?bbc׺]]^666FFGһ۶XXY112ϩxxyNNO556PPRͥyxzگQQRLLMGGH889YYZ^^_\\] U + +endstream endobj 1759 0 obj<>stream +Hb` +3bgc*ō]=/?6EDD0E%$1$DddUTPe54utF&fV6|p);{G'gW7wO/o>N6 /@`PpHhXxDdTtLlDpBcRrJjZzFrfVvNn^~AaKQqIiYyEeUuMm]}CcSsZk[{GgWcOo_e&O:n3g͚=g,)l񒥍-_r@7Bi + +endstream endobj 1760 0 obj<>stream +yw~yx~zwzw~yw + +endstream endobj 1761 0 obj<>stream +Hb``$N 6eL Dqf"i81!004 + +endstream endobj 1762 0 obj<>stream +HD0Я>􁪠dFl$D #NTIX=\zŊSz_11(|(A' 6qqy>stream +Hb`Fɀ +yxxxY00bEHHFt 1X`p@-B3p!)E-.!.A)iA,6#I iRP + +endstream endobj 1764 0 obj<>stream +H %PL-IGPX;wA>0:؃u#(c۶iDZm;~'ϲ\|Lvm4Ƙׄ A`b + +endstream endobj 1765 0 obj<>stream +Hb```d@h|II2caQ +!vbw;B!dabqn^>~>TqVA!aQ1q ITkedUTXZ:z|0  + +endstream endobj 1766 0 obj<>stream +yw~zw~ywyx~zx~ + +endstream endobj 1767 0 obj<>stream +Hb`d&&3dDe"@%5c(dFb`ŒE!f| +0 ' + +endstream endobj 1768 0 obj<>stream +Hx洴~}yx~yw~Τyw}xv}ӷ {@` + +endstream endobj 1769 0 obj<>stream +HG@0N?CN`pdEU40L !t7؎A8!SEYոy}K)a޲ +~cS~ { + +endstream endobj 1770 0 obj<>stream +HN@Я,-3Sز4Hi +֛&z#aUw} X6 +_PĂR5z14$ EQ$Iem;,+@@#Ȍ0 k?l7(*yI4"WCʲҎe|w0Lg4Mu]I~/M 0\TU (zImo,˪<7M˲y ~!ơ_ + +endstream endobj 1771 0 obj<>stream +Hb`F&Fb3qV66v,\\<|B"">stream +HKӽvuvXXXVVVWWWYYYZZZҰ^^^\\\]^^]]][[[ԷrqraabbbcЕ~}۳ Kx + +endstream endobj 1773 0 obj<>stream +Hb`F&fV6vN.Nn^>>~gFFFE%eU5u M-mt o`h"hlb"djfnlV k- + +endstream endobj 1774 0 obj<>stream +H GOF%ZzRw44 +4 CAx4&l24wz}>En)a0 GOTI&H I0 bK3׹UMv^/A+Gp̿C^of*t,t:].5R"W6VxEoC =3e,|Lf6sb®PՕ"L9w;^wpW8A Ȓ}ir_R,JQt 􍡮,mffi7G4_T* + +endstream endobj 1775 0 obj<>stream +Hb`@8(U 7/ 6!aQ1q I1 %-#+'(o`hdl#$$%%%djffnaiemckg/!a O ]\=<8a`m>ھ~AB D#4,4\'"MB**:&:VO!.^ HHLJN&15 ЙR"l + +endstream endobj 1776 0 obj<>stream +H J0@eMXcj65O!2/LWoLƠcCd20jy@(tFB^Z=#* !4!$>stream +H0DEEŀ{_@>̞"ǡH4qOhA$Sa`@L0' "ྀRë?@QHU0MoPjBӕ)X#td*j%z?e |a + +endstream endobj 1778 0 obj<>stream +H  ;R#k9fw)Մ(BMcGh_׉-D3sݾ[ƴ^:(J1Q먔6ss}6 1 p.>stream +Hd0 *(͆Y33˘ae;뻮;>stream +HB@ ݥpemW]ͦVB~#;}bE[1o90Q?UY=ʟ@tȉQ1BB9g0 Y_5U%!maP؞î_[[FM)Ei( + +endstream endobj 1781 0 obj<>stream +Hb`Y 83 vqV6FvvN q.n^>F~tqA!aQ1&&T%$$ed +J*jZ,:, P)C + +endstream endobj 1782 0 obj<>stream +ܷΤƟ + +endstream endobj 1783 0 obj<>stream +Hb`8#q&fFlrl\p bJ0 1" +A' + +endstream endobj 1784 0 obj<>stream + + +endstream endobj 1785 0 obj<>stream +Hb` 31 + +endstream endobj 1786 0 obj<>stream +HR@IT*(eN8YDFf97?;<ڸ.vTUIir_GME]LY3Oh7+l-r8e1yu<TfiQp9F*PQ"ɣR⽭ +03G%ݠ0Ev&p| +ĚMO_PM{鞻MU + +endstream endobj 1787 0 obj<>stream +H\WP РDEAP`ò%~M>dn +PzC-Qi5iu{.`8{kJb|1YLSyaba\1kfc:qiL3qp-_K> /Y?دǏO + +endstream endobj 1788 0 obj<>stream +H YN@IB 1!UD( +!`$yN +]{Z޷ͪpx9_! +OċYp0~~3jh)Lqvvן?[,!2dBmXwf,p;JU$XW񶊾sn0RRRx<..TƔJE)1mmeĹs!4!16 + + +endstream endobj 1789 0 obj<>stream +HdR0`ŽJݡn*⚺` (R|q99 +T-MMٹťJe +O7IIjzU,n@ +iAs|qNm$0B4T/.۬4n0EhSm)O3ks >B灨`8Buu( +2E2 {vIEx`"rci! 7>_exd酅_O[_Y_ + +endstream endobj 1790 0 obj<>stream +H nPQ6?3TLƀ@* ˻?C7yK6eR0M{mٵG8^9ūe}/ȓZED ĈjIE;/?jO)+SYǹBWd !& apQU-B,\_OyN [ + +endstream endobj 1791 0 obj<>stream +Hb` 0gb`*ʆU ;'3##&n^>6~AF!!!dIaaQ1qQ I)iY9yyy6+T\WAXXOQ߀P̜ +(gmckg, +t@ ZP[P.DOQI!4'<"9J-:("1PJHLJRS rsJ +KNIMKOIAYzXfdf%$$$A$6 t` 1d + +endstream endobj 1792 0 obj<>stream +H ‰ ɧ" ҹ!P|+ǚ}m c)IJ P5(D^sV>stream +Hl EQ VP 640C1pB~aqie+w<7%Ƹ|n _]@~!?5@ൔڢ3uҹX]Xr~cGa64,) ,4B7j]WmQqWJ>stream +H WrP_E+A!:DbAfs`XAtkR\@QjeBȲOiXYŹ BnT׉"rqX"$ .yW7L폄؄cZRIq;Jmofr31bl%"Cu<ڙ !9$΅m;Og)Bl',mF<ߏk`uɿ_ + +endstream endobj 1795 0 obj<>stream +HTgC0a* +bQFHR[Ax$q.QT4Mu0M˶;K+ꚫ(3-.&Q` 6S?v !0ogw7䑱cIt_.t0 + q#C2fl8L[KN/yZt4JUq6sii],_/ 5Cgs @\qYůes*_׷wqUյ4ϯyۿ5 + +endstream endobj 1796 0 obj<>stream +H{һʱ귵஬㵲ӠÿǒΣ뿽뻸ձܨ B9a + +endstream endobj 1797 0 obj<>stream +HlG CQXEr9MoQ 2aecw\9(NPLxJ֍0UAQfi/|݀b?>F + +endstream endobj 1798 0 obj<>stream +Hx짥ߕ絳꺸輺ȱդۻ⨦ d0 + +endstream endobj 1799 0 obj<>stream +Hl0DQ8` # 8},S]ݫ(H֩&6[ߕ4ƺ)J +@Q7Է= z!1p^BtcIOsכ]\}A~FYY + +endstream endobj 1800 0 obj<>stream +HPhDar-e*"c q78'z~|U,ǒD +buV^P$$RAi8},T1&KS5,AHbɜPD6 +2CCc _Nm +/kȥljFsuйLWKhsb(ܢsÍ + +endstream endobj 1801 0 obj<>stream +Hl0`4FM +[$N8'󛛽5 \'\, yXVզK݄-n=V;uJw+E{> +R'}p(֌'X0β>_%Σ87L<Hyr_TչE;[L  + +endstream endobj 1802 0 obj<>stream +층弹햔럝ȷ + +endstream endobj 1803 0 obj<>stream +H0 CQc8_+ |F# 2lN~^.?uyg*̿` + +endstream endobj 1804 0 obj<>stream +H 1 ZYC +z>stream +H0NHpwA{!0 X6%@㟤LBQV5q,~O:r + +endstream endobj 1806 0 obj<>stream +Hێ1 Dw't27 @HV^lWձ|>3RB.(oRklu>ǘs^cI9gT` lcZm_j_~^Zq1м߾=~) eLP&CRnmsq\o#3, @芺QRӷssg) pQᢠ _wQ}ŭ !! @Q\fսZkQ1fAȳwo4/3#/ *lE%Q)N]eqZH+|JY^^0ycZjSQx%2i %6B+e`ժVR kZ TFB + +endstream endobj 1807 0 obj<>stream + + +  !"#$%&'()*+,-./0123456789!:;<=>?@ABBAAC45DDEFGHIJKLM +NOPQRSTUVB344WXY7Z[\9]^_``abcdefghBCiEjkl[mnopqqrsdtuvUVBwCWWiDExFyzp{||}qbdu~fgAWzpqqrcddUVB|R}aa~fAzzoooorqrocdTUB|qzeoor}qbcTgozooqqacoossor{R|C5pzzCCz + +endstream endobj 1808 0 obj<>stream +H=oPz{/. +J Ď00IىM{}r9 g(@)캎XޜiZ;u]'I],}?[뺞򜀄 m?_3<}ߧiJ0eX<+DZV^AheaYixTU`јXDHG> }c?^ 0lq + +endstream endobj 1809 0 obj<>stream +HdYPdHNYlEؓ%\g.߹g(H M +G Cz,H,4e9!D rXrE^͖@owG.UiaHS3snx4 +9lw[d"z| 0 + +endstream endobj 1810 0 obj<>stream +HPǑ1]x#7{ _DLUC- 33gykݵߚH́/GEGUzsIM`|e} 16-<ֳYz1葇yEEGƕW25} , $n,O[82|nZ8i >=͑NEg4 ~) + +endstream endobj 1811 0 obj<>stream +Hi[@4[}aLmìvPq1Ih7[7z?yϹ!e9 Gz}1>aA$dyt,:>1958=3;+@3Le$J SKC++* Y[K$i$G"c,PU!.n2[ l˲뺪( md$ѱܡ +EAw8:8p >stream +H ̻n@@B,^zv]ۄ$^O 7Y4*(,۞|>ǣhd8$I{{}y<9C"Ma$lt +Jz&oYXDHѶbAY` +lsUrTagM|>V)i+1rA%ےm3nanMt:(ӜLFNFV ^Xy2sϟΘg𝻹㒳 + +endstream endobj 1813 0 obj<>stream +Hb`@L,l\<|B("bR2r( +J**jj$4u@WWWEYBOXGDWY(a"aiemcc 12Aw 388d]\=(gcmO/o_? ? 0@!aQ> IfLl\|BbRPOtt4@* + +endstream endobj 1814 0 obj<>stream +HNG0 >stream +H$WPqZ )mJlٰ=]b٠P4T?{osaqj# +hT$ɲ5n74Z8Lhֶ_gWw>Y6 C#ecE^ 븟<'Z'gf8,U\H4 +q`yeumj=\'vONiY$)`qEQ*0bR&c`dt.CfDRodjaC 44M`xvF + +endstream endobj 1816 0 obj<>stream +H r@r0Bwi `l +=E{S e&KVq:522 T(8N}ٵuUafUȱ@Bpwii ݶ7U2Q| Cg"U<VG[kZjx<ʲfIHQgxʥ35ymawۦIk@FPRGKdNil +B +#šȎ"VaY4CZ`ͱq% JCq0\ + ۍQB0#@G`Dq|\,G X9^-Xr$9E~Ϧ8ˁ +1*AC˷'$Hb$W:ɜV1)P |>#7'#l"@o4 ג\׶m4)<ϗo/HHP +sm + +endstream endobj 1817 0 obj<>stream +Hb`F&fV6vN.n^^0 +\X\BRJZ_YN]AQ &o `726153wp;9{xzyyXCBB#"Qс1qqq IpSRSbcLJLeg@Esr23 + +cKeAiii%%0qʪںԦBxKk[{GgW~JLwOoJZ!LIL6}Y4@Λ`KrO^|Uk֮[a[n>unj i` + +endstream endobj 1818 0 obj<>stream +H[ E)M(>g4T{ܪ +imC>}pڈ +@ڎ@ &t0  'k;ɬbMHUz8绔}5^I'y)`u^y|?+i%3Evr*z°-ӱwZ9qBލF;e@(V+/J.J뵮gG\4AZ %J`-k>Z9&.MCq_4h+pw@澓soV8y}׾90-.#`Sū菨Z*;cx[u:NZS,gA[Iqh5@" IF`BPAZY'SuG + +endstream endobj 1819 0 obj<>stream +Hb```dbfaeeccn^>>8?rrxyyR`9666PSTTCLL\BRJZDDFV(% CQIYEUM]CS IKdP<6 K+k[;}{G'gSW78P@`PpHaXxȨظ$ՠ 4#32sr +KJ+*5##kjr,-}JRJ [Z;k:;z{'LLIo4}J(i1g̜5{"ߜ9s[@`^uudPjZtٲ+Vj\fGךr/ްq-V5s@m + +endstream endobj 1820 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?ڣ^Wq/s` $,{QCPab̯-3$_7J + +endstream endobj 1821 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?:Gܪfѣp+C/ +6 $Bop{g֧KƳ݌P>stream +H  D^PT.MH}3L0+r;{ +{Zhϯ$VM ;㮼3t eZ۴2hGx]ǭI'zL]XT+|egvѨfQkuftcqw̗:r=51_mZU-iEԼs b s66x]8 a6Bl>FOIs, J 0g͞ + +endstream endobj 1823 0 obj<>stream +HY[PEoWhT2.hZY Fd6JFV\o:!l|ToF Xy@sp;sul=T[BY}z~y 5jG4[q- *Uʛ?￿Oߘcٴ +?iZ + +endstream endobj 1824 0 obj<>stream +HPn1vVlnCb#)FE B Q|24Ilemۦ;o?~vÊ}TSQ[&y.m7-;Ot?ч8=>9 2%%/b2ݼ=>\)r7 tFk%>X#% t!m<5rM:Զ5-*ƬLBH!0xp]7l94O=`V-% +ǍOw@Z-[3fE0 #Rp.iDH$b݀UEvF6L;5\$!'$RJD;8az +bJ֙WTB-A&2JrD)e/\E} + +endstream endobj 1825 0 obj<>stream + +  !" #$%&'()*+,-,./01123456789:;<=>?+@,A---BCDEFGHIIJKLM?NNO@,,.P/QBRRSTUVVWXYZ[\]N+^__`--abcRSdefghiYZj\k?N+l@,,,-mmnnRop23qrrstuvZwxyzOl{{_`|mmmbc}}~qqrriYkNO@@A``trt[Mk?NO,__`vvrizO+@isqit[zOqrqrqt\xtq~~sqqqYt~qqA@rs~ + +endstream endobj 1826 0 obj<>stream +HWSP(`D@ +HK?I ^t3ZF l$vYtWRϐچ8\cX-ʾjs[I]C쬁%8vMlՔ7[t +lx z_wV4fie}/JDSdHH61 <2 ql:L6aᓋD(ɔSE6SiԛCZp0 +hC&z sc[ݰ[Vl\~9 ٛJV]u)SϹx:Kăq:1=CC =p7?~$ L^ZeG:Q}y-=̿x:yy]Q'G{(طlbn?~zqL\]}z + +endstream endobj 1827 0 obj<>stream + +  +  +  !"#$%&'() **+,-. ##')/0123,.445#6%'((7)89:;<=>2, 5?@#%'ABCDEFGHIIJK.445#''BLMNOPQRS=TTU1,.4""#'AAVWXYZ[\]^<<_`1Kabb4cd%eefghijklmnopqrst,221,u45#vwxyz{|}~]22J,uPQ>`1Hf׍߁ + +endstream endobj 1828 0 obj<>stream +Hْ D + -QeHթ~8MrORi~2/l DnYLLOwW +pˋu$0rybM8A ̘#!O}933*h^ybrrՠM^>c{ME|u5(_cw}TQ3giWvwnX/X i + +endstream endobj 1829 0 obj<>stream +H4iW@`qP%Ē J- KSE[ޱ=g>ywB!1CHHH>XDLr +5EQD-Qd4-w\.tU52Z( cZZ6y¨Rسbu)0Ƃ  0x0#TUJHf;Utպ"ÃFm׭i>0 c1Mu{A!4!7906Rm4۷w~? (-{yx|z/o|sZ-J?>hcZ5*?U`4 + +endstream endobj 1830 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?ۧ"#V7`wa`8$bΜIP>!=y"H.}\$P + +endstream endobj 1831 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?ݯ;p+Cd F 6!Ma>stream +H E, Ak]G0sgn7 5 5JJ) !K%,Q@P n Z(- +%tF%< _`%њ%6D>`9D_?k@Š_XҘ&IB6KSVٶm]eQY~O)#9Ce8 ]UUY.5}7MSUeeLp|Ń _4 Ϯ+; +:ukg GXeu}xTgp +ʆ%zLS] GC + +endstream endobj 1833 0 obj<>stream +H?AhÁ@{08|QQ  {>#vb|"!D 8aSRwOJ"Y1N+ I +fj{NV:#>߀m6,bN0_Xt\)6XV&ˍK3cN%p‚13y1(9S?3ί4+=g~aqz)AJˆaJͭolnm-Akd=*ڿ FqrRm_.&nfmJ|x|zm_a7:E + +endstream endobj 1834 0 obj<>stream +H ;$]BEX*V4ILVjB)D$UD:CrbqH$jhf"q?RI&H4rrLvK$:::k\6_*VX,6݈qݑ>rys3&~=>>B*r8BXXկSTӻ]\*utt Dקׯ^_T*p8h9@}K64 Gbx\ C*`xaLV$rFcTJ +h__` ( 8>.ٱcNFcF^om?> BM2v +"Q,h4z{{#Y,V tz⢲T*??8B1BP1Ktzd\ʊ.^_P*Rj:T\''շۨZ$<tvVN&ٜb4p&=3#3~㉁Al~_'I~o ˗W.K(x<~sr(GGfĤӹW.WAB5`` + +endstream endobj 1835 0 obj<>stream + +  !"#$%&'()*+,-. +/0123456789:;<=>?@3AB +CDEFGHIJKLMNOPQRST1UV +W XY%Z[\ +]^_M`a5b<9UcdefgNhij)Wklhmn>stream +H WD-"n$s D)srÁ1X b?;՟\!Hsj1cx:c4{X"UA$xgxn-*v},ǒT&LRY{{G~~vqQhXP4`4/8' ^XXd0<%/l6ϧN ɑ:RigÕpPaxmQFTVnm*_;ԏ9h"|bYuu h펖tL*"hawB.7Mn fvvNkkL&X^ .w>^0nT|'\(d?\]%KHl0!HD+"sA {H$vvv߬V[$j)ȨR \nǫP(R@f%+PXX444tGZ\.'rD<77o407~ ~?j2%ٚڌlvƦߏT"R %88PMLL+;e0,DVG˿gf/P4 +nxuu">֑H0q=KK˫mtt\UE% + +endstream endobj 1837 0 obj<>stream + +  !"#$%&'()*+,-./0123456789:+:;<=>?@ABCDEFGHIJKLMNOPQRSTUV1W/XYZ[\]^_*`a+b/cdefghijklmnopqrstuvIw?xy@z{|}~odokRkli{?igW Ɨl!ϢӎGCj=$ܑjiߝ"㗓ǃ$P}W䰅Yl~ڔoV + +endstream endobj 1838 0 obj<>stream +Hg¿뿽훛lllSST萐``aEDFyx{zz{LLM334QQR׾ppqHHI667VVW̪xw{]]^??@BBCkklѴPQR===PPQ::889___۾@@@::;^^_’bbcjjjmmoWVYddf ] % + +endstream endobj 1839 0 obj<>stream +Hb`8 +;'87/  KHJK!+(*)khji#٤o`hdlbjfnaa wptrvqustubRrpJjZzFfVvNn^~AaQq eiYyEeUuMMb]}jJpCcSsKk[;@''/ + +endstream endobj 1840 0 obj<>stream + + +endstream endobj 1841 0 obj<>stream +Hb`8ęXXsppb: + +endstream endobj 1842 0 obj<>stream +H{WYQt RW'yHyMɕֽ"G* yV-*T99#m}߆;Xw|onnj8Q*CC9?h4;_X,RL`LOOϯ' U*VU,I~kDۭMT*\A&,/[r󏗗.9Vt:mp\p|ʊM  Y,>>>y=ue4,AU\65-th4Vkh4\.kͦFx<LNHč oPl4RivVFPX,JŶ[..l6J@xd29VLL>8dn'j.Ullp ((NBaRԞD咈%n{bb@ "D"P(hbqWWa2ZA:W?O + +endstream endobj 1843 0 obj<>stream + +  !"#$%&'()*+,-./01230456789:;<=>?@ABCDEFGHIJKLMNO PQ.RS?TUV WXYZ[\]^_`abcdefg?hijklmnoPOpqgr032stuvew?@xy&7z{a|}~ FOP2w?/YmXY|wl; +qsIZGNF:\uXwc0tƘ/m/"l"}ܞ,ºInj#oY&ycKJpc,0Imz3 + +endstream endobj 1844 0 obj<>stream +H 7CRQ{R +]{^'FQPtoBӢVkb/Ş}_.l<b E0ϛ1::h4VK$fꪓ 6-h,NL&6Ӄhxw.l^d2ff>Z%6{eٓɝ`0Vóz4E"Qcc[pht::!@ BX-bI$I2翵ZvBBL_aTL"H,RH>_XZRl6h܂@ 499DZ^jJhM,&ܑg&i4ST\9<X,9.-~jX(jLfBxX,^[[T*B;U*/ HB@& Lh4@  +1:;as{ +ń-Jr;`0z}R%]]݃o...kS 8=O&wKt;u: F"MB` reY?jR#,ů_e2''ϯor q8X< 9:*.,Y,v$wttb1:>71 +|>nPhRrqI&ZJƉX/ + +endstream endobj 1845 0 obj<>stream + +   !"#$%&'()*"+,-./"0123456789:;4<=7+>?/@AB&CD44EFGHIJ@KLMNOPQRESTUVWXYZ['\]) ^_`aZ^bcdefghijk#lmnop(Gq?rstuvwxX5+yz {|}E~XWV zFIO /bc` 3f~PV#\0*R)< G1Q0NZO n {Ƈǣɱ ̜dh +̥҇dԲ؃ٸڻܿVi䌼٥3W0hJ + +endstream endobj 1846 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?~b613$װY}n:~=O {u¿Ң}%6h캹? >_l] 7rԜ^P3v)KáF^XAO>stream +HV111QQQtttoooKKK001'''EEEdde;;<,,-<<=bbbwwx]]^333TTTwwwiijFFF--.**+HHIrrr^^_666***@@@fffppqWWX888ZZZzz{}}}[[[777112xxxPPP,,,(((IIIppp__`>>>___}}~nnnMMM"""YYYBBB&&&+++;;;AAAaab~~|||gggHHH :::\\\~~~===...yyy444eef]]]???!!!??@aaasssPPQ222556jjj)))XXXAACccdlllJJJ999^^^ccc$$%LLLiiiOOOGGGhhizzz```###@@AuuvUUU00/""#kkk{{{---LLMmmn555hhh$$$CCC//0oop(()a`auuuSSSdddmmmVVV{z{000RRRqqq%%%CCDvvv<<<///ǺSSTyxyDDDȷomo~}~877޳ttuUUVGHHGGHKJKÉllna`c__aWVW + +endstream endobj 1848 0 obj<>stream +  +  !"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGH.I27J KLM96>NO9PQRSTUVLWXYZ[\]L^T>_`>stream +Hwܹ^^_<<=KKLxxyỻCCDJJKyyz۾[[[GGGMMN۳WWX::;NNOӵXXYDDEPPQ׶TTTHHHSST١oop??@\\]΢ppqFFGbbcˣrrsFFFLLMccdHHImmnڽ778sst呑>>?TTUrrrϴRRSvvwԳ667OOP{{|බ[[\\\\{{{Ǡqqr@@A112YYZܡmmm;;UUVuuv889QQR99:IIJggh™__`ɚjjkaabWWW>>@شƹVVXqqq---}}~ɥVVWggg///KKK||}888YYY223҆001CCCAAAfff++-GGH:::...@@@++,iii 5_j + +endstream endobj 1850 0 obj<>stream + +   !"#$%&'()*"+,-. /01234567899:;<=>?@ABC D21EFGHIJKLMN O4P:QRSTU"VWX5YZ[\]^_`abcQdefghijklmn oh2pJ5q?@$rstuvwxy4z{|}FG~4Ku|hN !"-X!Z%G0$uU(7@ #!?Mc!u#vD =9ujxZkLFGi9@E}?8EBM "|xiu-*pf--iCī#1Fǥj w@}hϧ@j]^6}r4s;vx$[ + +endstream endobj 1851 0 obj<>stream +H ?ZeSL*=!!/֊aGzDnF-I?hhxs{:Mry?0?R,[.WllX˫kǫV5R< ‰]M&wMO46))!!soj#+(xd֍(|W(ֶ/G//M L^SàP(BH[ 77&y``dHO`O"Ʒ><<xvvMMt:mZr9*--hZ zzzzakb1TYYӣ΢kk}}^onmnaxA(pFGG3ηhaaJ5g`Mf9(AP_qP(l0`1H7/..\nT@^L64p8S(Tlq^qYYy$0 %F)`g + +endstream endobj 1852 0 obj<>stream + +   ! "#$%&'()*+,-./(01234567 89:;,<=>84?@(ABCDEFGHI)JKLMNOPQRSTUVWXYZ[\]^_`` abcUdefghi >@1jklKBAmH khnT=/opq\hrXstu:+vQAwKlMxyz{JT|\j}X~a,\]o)Zk,Tw)W@ :JX>@+j?Lz2 zNmAcW} ,!YLy+\{<=)RMBc5X>>N ZMyz®?RRƴaHy 2RzˢZУg;,նh|T=z4 + +endstream endobj 1853 0 obj<>stream +HI ah@qk<Ds~li\ǐx%V4˜T:$Jyx+]TKh I[ + +endstream endobj 1854 0 obj<>stream +HtQ Bzm-ħbiDuK Pu5R>:GamTU:3| ~Ac]K6C + +endstream endobj 1855 0 obj<>stream +H:YYZYZY\'a3j3j(_UQUXXX]9hPzP{;e&P I$PUWWWX[[Z\(`)a:g]v{wSi1gF+_?lZtt}yQPQ:9;JIJyyzùƿ[k({H)K.[,cV}kjjj^^_ԻZ\\365A@APdQuYYZ556HHIsstԫ{{|NMN212NLO}QQR334NNO~~СppqGGH445VVW  + +endstream endobj 1856 0 obj<>stream +Hb`dbA `23!1aeqLȦ1b +,1_@PHXDTL\BRJZFCNIAQIYEUM]CSK[GWCЈ؄A? 0(8$4,<"2*:&6.>!1)9%5-=#3+;'7/9,IL6}Y̝7E,]|U׬]~Mߺmv `@G + +endstream endobj 1857 0 obj<>stream +HrYZXYa^[1m1l(e]YY`ϋ^̈Gs'`WXZ[ҽȲxAb#N$O5^/bXWYYϾK_*xH.{LFcBk"a^\YYZ ?} + +endstream endobj 1858 0 obj<>stream +Hb`d`d@@!Ft# F>ψa$6.FtI6 +ČXXX +\<0  + ;DTL\BRJZFVN^.Ƞ`F + +endstream endobj 1859 0 obj<>stream +HK B}tCЈ J,KU' ~(ru&NJǙZ-(/ + +endstream endobj 1860 0 obj<>stream +Hl[0#?tk) Nj8Sֽ{FCQ t>stream +H|I -(B2*6ޞzrDn6H6"XlO%/\7>/A*ei + +endstream endobj 1862 0 obj<>stream +HtA S!D%$mAkS'ƿ~B2ԛy`|﹌n\yZՏCA>#J7[ + +endstream endobj 1863 0 obj<>stream +H ?Z圉iH1쇙33 s5My/uDDBD^t?0 x +ʊVAptWngqbp5TjدiowiI`R(I]l. v<\SSvv|77dpsqtz3}?p}}c{ZT,TZrrVkjkaJ^pU[/.b|dZr9 @ v{ak+batnnh,/`Xonh4ze.vw\>+lRQQܒNg |yx< CDbT+Z\5~Nn@0,`0yNgBARp Ј>@ J\nYYY{{#46h4=?h4ں:N?;;ǰ{ +CX,p[[?RBy75j 0 +AJawN `0dh$ES XxQ*CZ]<xxad6/I$|PT'kk +gb}JNNNZ,d2[2̥emmblp8Va(t΢( +Eh;|$d76rZww/@lllX6 ət + +endstream endobj 1864 0 obj<>stream + +   !"#$% &'()*+,-./0123456789:7;<43=>?@ABCDEFGHI$JKL MN5O9PQRDST%UVWXYZ[\]^_`abcad26efghiZ2jkl37>mnopqrstuvwxyz{|VO}Z#{~% |KAD2igq5#S!O +[(9" Bh66ih&dŚ:ǝ4rS<|6ҧxYIu<9Ԍe69h6e5Za9 + + +endstream endobj 1865 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?l;h}ֺLx)dYb@hbt|n>e ;.i@wx1S z%wVWY?Xo~L?lS&{07 + +endstream endobj 1866 0 obj<>stream +HTbՏ#dWYܹE{_XZlז\X5qZ#e]YTYYMXY =PVY +x4 COS#b* ?O!Y& +~7FQZS%X% p0 @Z;tQ V)Q" \)}:S]q^3 T%Y+w<S M>stream +Hb`dbfX9XX81Ĺy)g1, QdqN0]%\HIYVRIU#\)('&^IYEUMLx yM-m!BFWOH݇&f:0 + +endstream endobj 1868 0 obj<>stream +H9ZYYBynחo֘gz0mJKҀFtX|½XW bMӂZՋTɂVy\!c#e#c#`>stream +HlO@]{A{(l9>stream +HBl~4pL>|WMn!_YYZКLaYql)fX~iؕ&gWգ"cYnטZsל4pZXXԉD{ݿVՈڪ-kW޽SӅb۲;t^kז]MӁ\ xHr: + +endstream endobj 1871 0 obj<>stream +Hb`dbfaecgg`gG"9yx9@HrB"b@B9KHJI˰"?;PRVQUSԒ@q9utU 0 p9װ[XZc8maj@nnL  ' + +endstream endobj 1872 0 obj<>stream +ڥݾWԈu؝>stream +H X@9Ri`(<#c:/S.ZuA P & + +endstream endobj 1874 0 obj<>stream +HW|2%Nš׋\ѵK:CݟuC hz<^@d2vi;880- F+L&奋Fcp8nV*UpxqݍF??wN#)B0 >>>P(B5LBݾjکTJRI1LDnnnz~qE"1 +fGGGoo1 n^S(4n)uRlX'''d}puNOO;VU*v; M&sy||H$J"F"ѳJe~V7x|WQ4r{{{~ $Ir(z< +z(^\ +@y___s8b-//OLLZL&wvvD"LFcf d>ht:-D6ZWZXǿz"B|_67Zvhh(XRYV@ 0# + +endstream endobj 1875 0 obj<>stream + +   !"#$%&$'()*+,-./0123456789:;<=>?@A +BCDEFG"HIJKL:MNO#PQRSTUVWXY'Z[F\]^_/?`abcdefghi= + jklmn%opq"rstuvwx4yz{|O}~1,o|I +@ 3|M U$3 g ]'od1²|2h Rɸ˧~@KJ'}%W~nR11шDL|~Qـڕlo]; Cb>stream +H9ܵ-k`ڭa[t؝W\ՌXWۮF|XYݾ3oU޿Rӄ bۯ*iYګYp֙[\ԋ[x؟7rZZ`Վ&fY޿5qVݸ@x^٪_ x} + +endstream endobj 1877 0 obj<>stream +HlG0Pi0&@zRm-ӓL3Ȃ(SB8\7\ћ-W1{N: +ѥct6r\lC܏b߻q'zޖO, + +endstream endobj 1878 0 obj<>stream +H ;Kѡ<Ң$k)Syid%JJ)GKZ(#̗6fk2p<$F)ʭ`0822d2QVl9<Qjkww#WWדY&8`0>ߺL&LGGñxz{|svvn- I$2^?<OT*mtTd"Q7@r:7 `D"x>stream + +  !"#$%&'()*+,-./012345 67-89*: ;<=>?@ABCDEFGHIJKLJMNOPQ)RSB$7: +TUVWX'" +:7YZW[\]^_`a"DbcdefghijklmnEo_pq\rRsAtuvwKxy z{|}~$ŦxȣE~)dk֘R!(1AuT8PԻy^P+R# 0 + +endstream endobj 1880 0 obj<>stream +HA!:IL  +vȧ|& M=g)75}zV/8LB + +endstream endobj 1881 0 obj<>stream +HRJJL/////....334CCEediywyw~{yEDF777LLOihmzx98:?>@a_esqwyx~xv}wu|vt{~000221HGIpou}{~|;;;SRUtsy111TSWrpvyw}[Z^zwzw~---[Y^434DDFgej|z|z::;XW[qpu***GFG}&&&LKM,,,cbdǪ>>>yx{ŵ332ggiŽ˜}99:ȶ333GGHֲ ׋X + +endstream endobj 1882 0 obj<>stream +Hb`dbdddfaecbfaQ0+A + +endstream endobj 1883 0 obj<>stream +Hb`@FF,2$BH7ΆU XS գL%ƈz$S* + +endstream endobj 1884 0 obj<>stream +Hgݺkkl555)))===Ƥyxz(((<<<ΧihkSRT^]_lkmŻÁ{y|z~yw~zx}{䅂zw~xv}wu|vt{ۈ߆~{~}ywyx~~|yv} פ + + +endstream endobj 1885 0 obj<>stream +Hb`dddfV6vN.n^>~A!fff #:0YXZXXY;88:9{mTR  +qq ٤fbnaQ1q`AAxBbSRrJj:Ѕ`te_PR$2"KJ+*`bP0ں0t^h֌!E0[mT-`ᛑцaP{o88@of + +endstream endobj 1886 0 obj<>stream +YZYZ + +endstream endobj 1887 0 obj<>stream +H|Q0 Byx;2׵VgYh>DX U@׷=+'IiWI1ִCZEQ{SCGS + +endstream endobj 1888 0 obj<>stream +Hu,,,MMN++*WVX###YXZ%%%[Z\@@@yx{蔓갮 O + +endstream endobj 1889 0 obj<>stream +H7@ CQH  Ӳ-V 4̬4 "*y;v 8$n 5 + +endstream endobj 1890 0 obj<>stream +HaDrGqHqvž\Z[\ϊãY/mDxGrGpV{YXW!cOӃT}jXX_7s:nKtu}ZZY^1m6q3m4grŵôX[#e&g%d%`Vā~ʝ~ɜ|þY[]Y6oԧ׵WWV Tjٗ{ݢnr[b!c!a"` +c + +endstream endobj 1891 0 obj<>stream +Hb` 0213`gecbBAWPTRVQUSd҂kkk-,,--ml%] n^>~ALZ!NMK/(,*ВҲʪ KKKںƦh*hmk @sR--]1ՇXhIC< + +endstream endobj 1892 0 obj<>stream +HŽ¡zxxv}yw~ׯ|zywԿŴ޺}~zx̫~|{y|zչٰ͠ ~_ + +endstream endobj 1893 0 obj<>stream +Hb`dbfaecB<|B\(@DTTL\BRJZFVNVIAAALQQLAYEUM]CC]Z:zF&ff`q0077౴3ԄkZeYJ0yxbP L1 + +endstream endobj 1894 0 obj<>stream +H<񴿹ĽP~q̖rʖo+j>w>v:mMuv~}`#d"`/gN|TɁR|Xz XAJ + +endstream endobj 1895 0 obj<>stream +Hb`Y 83 +6q6vN.n^>4q~A!aQ1t%$edڔUT54utxF&fV6v@]eD g + +endstream endobj 1896 0 obj<>stream +H/cccrrrlllTTT333&&&??@^^^|||___@@@)))999\\\yyyQQQ...'''112dddsssjjj111BBB```{{{[[[===""";;;]]]zzzmmmLLL***334wvwuuuFFFxxxqqqSSS444###AAAbbbaab888}}}ttt99:+++gggiiiKKK,,, fffrrsWWX(((<<<|{}UUV(()pppIII---HHHhhh~~~oop666???ئZY\^^`yxz~~EEE%%%NNNJJJ///000DDDyw{zy}onoRQR545OOOkkkGGGԸ}{\[]LLKwwwaaa!!!ɾkjlwvxllmCCCӺwxyDDE++*PPPߞqpr^]`_^`babcdd彻xxyzxyw~~|¿Ťwu|սyx~{yzwxv}}{ LKu + +endstream endobj 1897 0 obj<>stream + +  !"#$%&'()*+,-./0123 -4 5678"9:;&<8=>?@;,A$BCDEFGHIJKL5CM*hwiiiv'EswwwwhW? vwwwWvwwwwyyʧ˧vvvvvhhhקwwwviiŴ޵ߨhvvvvh꧔hwwʺh + +endstream endobj 1898 0 obj<>stream +HyUUUyyyiiiFFF&&&(()LLMrrs\\]99:++,==>hhizzz111XXX{{{dddBBB%%%***NNOuuuWWX556,,-AABllmttt@@@,,,^^^|||www777$$$001VVW||}oooIII+++//0KKKsstccc665'''jjjHHH---999``a;;<"""222TTTSST000eee}}}CCC(((<<<__`YYY!!!555rrrKKL...888)))DDDkkk;;;```bbc<<=lll444GGGggg===[[\**+445pppxxyhhhMMMZZZ:::qqqOONsssxxxaaaEEE///PPPmmmQQQ###~~~ccd""#>>?]]]SSSnnnLLLyyzAAAWWWRRR poq___JJJYYZ[[[zz{îxwyVUVQQRJJK\\\wwx???>>>嵳gfḫHGGԢUTU434989 C_ + +endstream endobj 1899 0 obj<>stream + +  !"#$%&'()*+,-./0123456789:;<=>?"@ABCDBEFGHDI JKLMNOPQRSTUVWXDYZ[1\3]^0_`abc<,\defgUhAi>c]jklmnop5q:QrsftuhvUgFlDXg hfIf}H;qW{,2-DPq.]:A ]H#Be3,Bg4erahrPt'd\a-]3QaE(T]^^P- Ij ofj\,qdwak,\3]ka}dWgXB}isDtaqX + +endstream endobj 1900 0 obj<>stream +H kW`_w ؘpd"+T'D,a7AD!$YtQйRtz/dvgϪ;cvr}<Dl` %ab6n<j+'ILL*x4cw0&JQ;Sʧ5/Z,f+]Uc4J4",\|[󯙱,獫V]~$kw|I:<>dd-sjC=?ω4t.|j](>oO$2 + +endstream endobj 1901 0 obj<>stream +Hb`F fbfaaece@&>~~A6A!aQ1 bb@b |jd`d%0C S94a4"89**j<"Z +|::`1=}}Ce#cS3>>s USgaa\]=)}|<<xCTCP@xckT@$ + +endstream endobj 1902 0 obj<>stream +H=ѫmlnCBC&&&LLL^^^ɢ{z|MLN)()NNN```͹QPRYWYutvzx͚vt{ڵyw~}{}yv}xv}{y|zwu|wv|yx~Ԏywxv~~ ' + +endstream endobj 1903 0 obj<>stream +Hb`dbffbaeacgfbWPTRVQUSgf60426153wptruquucbbrg +  47a7OHLJNKՓ0IcwuuI̴Maa)`.,d.⒒ҲʪTb ؿA['Q."[*Z;\cPA]gWwOo\iL?Dx}I(@LΜ LIOLff&V-S& } + +endstream endobj 1904 0 obj<>stream +H i;og9J@BP@-JX,V(&I=>>DC"Q +wvr-//x<$)/8dNOO{B<Ve0ͨ[Xs8 p8|sswppR].W&zNMMp4%Hfs:ZmC>ou@W(N"0nh4v>stream + +    !"#$%&' + +()*+,-./012345647%$89: ;<=>?@A2BCDEFGHIJKL;MNOPQRSTUVW8XYZ 9[\*]Z^_`a=bcdef+=UL;g(hijfOklmnoVpqrstuvw? Lxy$z9{|R}~{B:9uKTq#QWim\\/bYi$Q>xV!$+zX;*L+rfHg32qLVV3q )} /0\ԟղj0R4ِ٢_vާd$C.抏`JU셻8 + +endstream endobj 1906 0 obj<>stream +H m7ZR"dh-S"FiCEzhr祡nT5?d}~mZZZ yݓ_%s@0Dwj6 HH`OO陆JzؗL׿ c*:y:?FW2ea/7H$j2ƌ/xv:A"U-,,EQ[g(//V̌E8 +U֜(z[,lpxr'5(Z(..J&ǫ;::z:lH$axE,.azٵP6RAFJ`tZ_/G noNNNn7QLd6|(*F"EGGG'HpJru:@&a +H,++'t:]f2 +B +|Fz766fK:H$l6Gk + +endstream endobj 1907 0 obj<>stream + +  + !"#$%&'()*+, -.$/$0123456789:;<(=>?@AB6C DEFGH@ IJKL.MNOPHQRSTUVKHW'X!YZ[O\]^_`Pa+bcdefgh:ijklmnopq%$^-rstu4v>w5xyz{|}~>=K-^Ka.=R|/ 1&0bhgCK:?y10|[N |H + ^|4&@È&+-:}ʾMKBq +^h.;?҅%(R B) +}P|C'A ,"ޏ߆R + +endstream endobj 1908 0 obj<>stream +H|YZY`^Z:r/j\Y|ș^ˈ"aWXYYȸMo,Q"F*O"W\]\[Zĝbt9lM)[>6kL-Y!a"c!a]ZĚesk;JB1?7P`WcwnŏwКlÍOs)]TV []YX`fc8?<4:8]daѼo6^PT)]'`ZY}}~QQR:9:DCDsrtѴ?tS&uD4RMjTyDy8q/f$\Yjjk@@A>>?YYZƟooo=A@4:7RWU|~֪wɖZy?^'WY[[bbb::;AABccdپffg<:<969]Y]׺ġh}G}\-QU'^3j5l~~RRS334JJKxxyٰTTU889CCDrqrЫ{MWS8UD:lMVj}iijGGH<<>]]^ʜ??@XXYɡpopBABA?`fb 0 + +endstream endobj 1909 0 obj<>stream +Hb`d e LLhP63 +6 lLXtpq 0`A!aQ1q I)iNNNY9yE%eU5u Z:zF&fVL`ml]\=<}2~A!aQ1q LLI)iY9yE%eU5u M-m]=}&N}.F=^ + +endstream endobj 1910 0 obj<>stream +HoYZYZ aZYY?s]ZWVY™Sv<^&{GD!Z(d-h.j!bYwRt_0P=#^9-[8lAtBu-iZZ 1/ + +endstream endobj 1911 0 obj<>stream +HtO0 k0APQ#pɒfDu(X[Xb㘳Q;U#S؏Xya>Z|?P{t/",y5IoG|r[{R + +endstream endobj 1912 0 obj<>stream +H WY9I#%%uvRy$up\]]Jt:m`@oS:XGFDMMͣҝT*}zjچƩi{s 4Z\>t./*j$ye_-,| ·wwwyRd\Nd.(]^D"b(Jd`24_ؘP(h~>%q|qݵ*AP"Zm\\.fffB1r}}|hkGZ''r!A477 _0gOO|hڇGw͟P6]*펇"tn?P*NҒZ*%X,NL&k4~^ZLPaouu,rM$lmYRL"hV]O2h +3lrd2j"Nrjo` f/Y,vPBQAt==oR ='I(z z. NssJ +^Pb WEC`0tt0 +0dY + +endstream endobj 1913 0 obj<>stream + +    !"#$%&'()*+,-./01234&56 78 39:;<=>3?@ABCDEFGHIJ3=KLMNOPQRSTUVW"XYZ[N,\]^_`/Yab2-c?d0efghUijk_lm1nop8qrstuv!gwxyzf{3|}6)~,7Z4)1(6zdJcn'@rQ`qR: ,j(7Vr";"(&Qnr./;qc4]6u_Mx/(jnRtQR_NIcob[ZAJ-q$a_N˂ x{,2Yq{(I6QMӷԂ + +endstream endobj 1914 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?ՊكES䙌z8VX:O7}^#_5ӾgYH'ϔ A[L8 +D^n sGBHU+>_TG>vtIG[~'&1>"?xJV_wR^>J + +endstream endobj 1915 0 obj<>stream +H + =@ ؜]f)օC~("7Kވ-{Q@>stream +HQ + B}tAA[@*IqOdLDj0& I^?e-: ^) + +endstream endobj 1917 0 obj<>stream +H| +!w>"!W iV Gd&LBl"!A[%â fuZM3s_J] + +endstream endobj 1918 0 obj<>stream +H + C}t`~f4lS3/cOie#a+蘳CJs}T)1>4%)|- + +endstream endobj 1919 0 obj<>stream +HYZYYWXVRQPZXPK ; 6 +}6 +|5UF C >q0f+c*a)ZS > 9 +7o/g,Q 8 p1 o0 +m0 +i. +d, b+9 p3 p2 n1 j0 e. +b-YW'O,M-~M-}K,yJ.wK0wLX"_Lq\v_u^s\rcwj~W1nתȺaAyYgfmy[a1d@e?dJz]otq ihj + +endstream endobj 1920 0 obj<>stream +Hb`F"Hl*΀gbd,@b!H1++;'z.nv^>~A4SED%$씒W@gRTRVQUS@3GJQSK[GWOʼn F&fPAFH 0ZZY;8"Lkqrvqusb1yNpHhXxDd@@ + +endstream endobj 1921 0 obj<>stream +HFYY]%e(h'e)bSuɗtǖtZ[`a`^5nGzGzEsaôXWV T(hkٗ{ݣoǒvľ\b!c a"_PsΗr˖pYXY;uZՋYӊT{uXY`#d#d"`6lIzTWXZ!b/lCNTZ^ +z5 =FRl. +s1 q0 ;JXZ['V$R"f, ;LU['U#R"^' s2 ?Oh;\-Y+ +T&W%i-FD\$i>!e<Y-V%j-GPfPe(jB^( w3JXsIgER ȹ + +endstream endobj 1922 0 obj<>stream +Hb```dbfaeca``WPTRVQQ +0021khhji1 cS3s K+kH5;{G'gW7w0Dg` +b @GFEGz )kUOHLJNa@iY"9yEhV30WT2xoF U=P;Uz'L΀ K<0p + +endstream endobj 1923 0 obj<>stream +YZZ![!Z*V?_JlZZZ"W.[4aZYYYXYY + +endstream endobj 1924 0 obj<>stream +Hl  CWTYՄQf[{>iڮƈ$)yY=nr!y9x;R6Yvq0ڀJ??[ Q>! + +endstream endobj 1925 0 obj<>stream +Np\}|ӠFxRWYYZ4c?lXЇ5oVXW[&f`YZX + +endstream endobj 1926 0 obj<>stream +HO UDdWn+ʪnZi&Rei:Cw2apfTa|䙒T\*} RrS}1%`a + +endstream endobj 1927 0 obj<>stream +H y;eϓ#ҡSITݥf\DZ?P"#z{So%}~H$ +XX +. #=Bȶ z}}d#ɴobل"\`K @ \pzW(}}6;HRP T  +%t&΄/#hc@]\ +8NX,JX,{R @@ ==KK||9$ȓT*]=L߇F[[77p8cddylf#J67'?fjDP566IR `4#}Ѵ p NLh4ڇD2u~Z^V o8.F"WL&kw'&//ÜwwrJ}pJH>>36{8 +??Xlpv,.מD"PlǛY,zuTI$ۻ|@ EWXCx<1jCo>kz:I-1V!Mv}cRDS;d\ JZ\z__u:F=L&>2Ldl6HDtbwvH$sH"Q8 T + +endstream endobj 1928 0 obj<>stream + +  !"#$%&'()*+,-./0123456789:;<4=>?@ABCD EFGHIJKLMNOPQRST8UVWXY'OZ[\]^_`)abcdefg)8hijklmnopqr#s89tou=vw!xyDz{|}~!FGJawOYX 3DAaZ[;9cu|mH=aqL9gf%w8SC1[-2h>=]f1 +[swXQ1=%Y4w ˆ"!āuhȲʂ]ИsѳuYF![ܺXȹݬ0eHwH74iM + +endstream endobj 1929 0 obj<>stream +HmTȁR}[{7q4m9jxĵ`_^4lDxDwAp^XYWV T*im٘{ܢoƑxſYX bAyG~DvIroXZ=v\ՌZҊU{oY,k>x>w;mOvv~}ZZ`4o9s6m;k{ö´]&f)i'e+c[Ąȝ|ƛ[] b + +endstream endobj 1930 0 obj<>stream +Hb` 021cgaeceDeD+(*)kHkjj-70426153[Y[;8:9{x2z4y{{[[YGDFAA':&6.>!1)9BO7POjZzFfVvNn^~AwTD{WTVU#z|||jjA1Y[[!A-0KK + +endstream endobj 1931 0 obj<>stream +H7ZʎiaG v1cS6y7]սz=a߷S3 0xFL1W~͵uy/Q$+rX%̠3y}h*#(8|T$8\moﻕU#P0g>X}Y\`X*әx|}]PlO(>6FN+xC +n'I*.?u8\CC\R`..wvt<0Ah457SZ[vw}}*f76nFGǺV AˉINaEiҎN,BiaٗIenwYDL`Է`0ho76F㮭 ZC&7//˂_g· + +endstream endobj 1932 0 obj<>stream + +  !"#$%&'()*+,++++-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTR<UVWXYZ[XW\L]X^_`abcdefAghijklmnopq..rstuvwxyz{|}~HFqnmUkj`Ljrs"1K/E`ØƲnH.ʖ˂ljΜ1kԳqs惌LZ臎괂r[ iHrCq˂l + +endstream endobj 1933 0 obj<>stream +H0|Y>stream +Hb`Y8 +;qN.n^>~~TqA!aQ1q qITq)iY9yE%e>>>MjZ:zFpqS3s K+k[;{G'gE9 + +endstream endobj 1935 0 obj<>stream +HjֺSSTAABVVWѩvvwFFGCCDhhjƖffg>>?QQRoopҲKKL??@\\]͠BBCDDE⿿``aWWXvvxǝkkl==>llm㾾]]]NNOخyyzOOPjjlZZ[;;>stream + +  !"#$"%&'()*+,-./0123456789:;<=$>?@ABCDEFG H IJK,LMNHOCPQ@R%STU VWXY4Z[C\]"0 ^_`abcdefghKi;jPk[Llmno$p-a9QqPrstuvCnS4wxyfz{t|}~k,JI$Dv9Uy*ZN$%fM) @x/gR!]$/I( |eB\nDeDp)5e +kyl\yCT{gfk qB%;xq#v]SUo(XkV Pw`@(B .1|oIcfzG'ƻj=Vɳxm + +endstream endobj 1937 0 obj<>stream +H7  fPJ +AVg=>ǔي=)>#p4X4VP nO4J!,v; + +endstream endobj 1938 0 obj<>stream +H^۵UUV<<=QQRФoopFFG@@A__`տTTU??@׮zz{OOP??AYYZʛgghDDEMMN99:BBDJJKoooڈVVW~~ܥttuAAB556݇::;sstKKLaabȒ__aCCDPPQ]]^㝝jjkGGHAAAVVXAACllmEEGnnoŒXXY}}~ҭyyzCCE˖ccdGGIKKMwwxΤppq<<>EEF```NNOBBC\\]qqrkkl<<||}RRReeeŘ>>?uuvUUW;;<֦IIJffgĔyy{NNPWWXZZ[iii667٪bbd^^_rrrӡLLM112iij¾xxyzzzݠɳSSTppp N + +endstream endobj 1939 0 obj<>stream + +  !"#$%$#&'()*+,#$-./0 +1 23)456789:1; <3=3>?@7ABCD!EFG9HI654J=KLMNOPQRPS,1TUV.WXSY.Z[0\6]^_H`abcdef-_ghijklmn +bop9d ]b${[06^3Ln#$wOcTWe(=bJ_'&W/.{`6SYQ* %J` +%)CiTBd +dQLhP¹B hǓ$X + +endstream endobj 1940 0 obj<>stream +HY[+j;u:t7lLvrywYa&f$a4jSƁZɅWcZXXX]&g*j(f,e]ą}ɝzÙ}ZZ\]Y>uΦXWVW T.mkԕs}\` ` ^"`Y S" + +endstream endobj 1941 0 obj<>stream +Hb`F&fV6vN.n^>~A!!02PTEAUMM]CSK[GWOĔT-,-ml @@UUJi/o_?LLPh0 +:P9 C +"UpT0UM4zT + X' + +endstream endobj 1942 0 obj<>stream +HĿ»覥vvygfihgjMMN??ARQTxxyѳ@@A889SSU}}~ʥ445::>stream +Hb` I0cf``aecdb@gEWPTRVQUSҖe`ddH([0[ZYۀ%l]\=<}|GDFE'$&%30ddfeWTVU3 z + +endstream endobj 1944 0 obj<>stream +H1W˅r|B{XŃ\|]|ra"b#_"^3jQ~VzwXXX^'g(cBsuƖ~ɜxYY[_])e?tCwArJsYVU[Sև|ܤvԜpZX[4pG~E{Bq\WV(hVՉ_؏XĂa~W b;u@y>stream +Hb`q31`gecAWPTRVQUS`Dki[X2jjZĭmlm]\=<}|A.  + OY'&%%gdfe + KJ+*kj `†Ʀֶ8Xڿj?{)S!bPL>cp0sLihSt + +endstream endobj 1946 0 obj<>stream +H Ή7?jkJjh"G˜Ps {ڄ\Y={1 #*.>:ux۟0Z9:T`o(|ʤ3ǹY;%})~̦*p<ƣH0Ga_.@X,Cná5^ZNTCdOd`&;օh(I>$bt-/W(NŶOR(5&xF) ۗe255|c# ,V٩<#X4e ⍍M@Rҋ/I$ȨZ}`) 'y wuEl4'b]Br8J* +/-- i9Nw{--%%޾c"s\f5F~hjhx::>ܸ\cUUUxfdG_Wvrz}`NgP(‚`ryiDK$ZZm0P(TUQaoovK$Ҷ +ZXX#u8mTD9v]$Z#0JZ ]]¥:ٮyI"47-klnn! |鲲rtT*u lmm + +endstream endobj 1947 0 obj<>stream +Hb`dbfaeeC\ܬ<@"/ ($,"*&.".)%-#+'CUM]CSK[GWA@&nlbjfnaiemckg +TOHLJNIMKʎ0/(4/*/)-+6ohljnimjkW,0q)SyM1s9s_p%K+-_=mճ֬]~Mmٺ`;w.Q۳w~'N[T3g;bץ˾Wzv[ܽw{$?znEjW<}=׿x97޺?ywHKs/_d + +endstream endobj 1948 0 obj<>stream +HEü6i]µ])e?tCw@qKtZZ\]Z"anѕضͫ ; + +endstream endobj 1949 0 obj<>stream +Hb`Y8qfV6vLqN.n^>~4qA!aQ1q I)iY\\WO,` + +endstream endobj 1950 0 obj<>stream +HOHHImmnӰQQR778NNOzz{ϢrrsDDFrrtLLMvvw֩yyz667TTU˚iikAAC::;nnpֳ\\]ʔccdAAB>>?hhiSSU<<>GGḤppqԵMMN<<=PPQ}}~??@:::[[\‹mmoxxyEEFYYZiij99:eefۀޙjjkܸwwxSST``aBBCsst~~~RRSKKLذ||}PPRCCDaabɼCCEXXYDDE777>>@UUVnno܉EEGddeZZ[@@A==>aacࡡeegFFGqqsеPPPIIJkklbbc99;??AVVWkkkttuuuv;;>stream + +  !"#$%&'()*+,-./0123456).789:";<=><;?@ABCDEF;GHIJKLMNOPQRSCTUK/V6WXCY1Z[.\2-]^V_5&4`6abcdTSefg hijklmnopfqFrst\uv 2tQwxyz{C|K "}`~3Cl!;}WS5 xNXBe!;1p!xq o&/FAXTck?O&Z/-xRBl;ioO"!3 _:L.=s3hLfpI^),Sz?FEG,NbaC?Eo)"}>kKJIX4.,9D 3`E / 3‹: + +endstream endobj 1952 0 obj<>stream +HyW}C"^DJ"GEbM^{VkOߏJL&s{{{_*'E _7^^^֖Ve2Yd2eaAW,~{|jH3t:=z~P\\4ٟH(Zn/N+ +`0FSƬVkXvo;Y=-`t:`}u8##0t"vɔb {{{Xl~^ z}0zdbA `0ldffr|,G r (H< +w::BacJ~_*\չaA p$1 Ch4 ": + +endstream endobj 1953 0 obj<>stream + +  !"#$%&'()*+,-./0123.456789:;<=>?@ABCD>EFGHIJKLMNOPQR.ST7UVWXYZ[\]^_`abcQdefg,"hijkljmnopq-rs>tuvwxyLz{|}~{]EySh]O%;-fzQ _*~h7v[YNIc;I`bkJ^ iPaǸdɎ`=̍NE^'ѫhN%K/DnjKͤ>stream +H y7OF&242+օ3#D*E)JVuQV}~d2R''r.rDL}]?tz6F$Ϸ_.==mhh@j[ZZggePq} ֍\"T*& ~~zzkjjP(NR j۷W77wll + _66tPȻ\w6j1L]_9=MFuuuBxwv2>ݞfŢ˵=???88'򅎎N8ziI ޞT* lv;5%h==++h4HıXJn 0X,DzjA 2,NL&`0$j+++`p"D$ p8cS1 >}>lP(@P!~RRvk4.9p8+E*t$YUU% +M&bP(t||,HT +Za? ˙LIew + +endstream endobj 1955 0 obj<>stream + +   + !"#$%&'()*+,-./+012345678' % 69 +:;<=>?@ABCDEFGH&:IJKLMNOPPQR +STJUV1W'XYZ[\W]^P0_`abcdeOfgh_ijklmAnop>stream +HvvvnnnOOO000"""(((CCCccc{{{sst[[[<<< !>>?^^_zzzmmmKKK///$$$===SSTuuukkkLLL---!!!***EEEeee|||qqqXXX999AABaabhhhFFF+++%%%@@@VVW}}~HHH'''...III}}}lll222fffyyywww]]]\\\popRRS334('(221MMMGGGJJJxxxpppUUU444```tsu]]^EDF777~~~efe,,,QQQ111bbbčIIJwvwgghDDC)))dddSSS٪mloxwyttuNNN666;;;RRRrrraaaVVVgggԛpoq^]^ZXZUTVsrruvv???555ǫ|{}zy{GFG###^^^εNMNͺ~ZY[VUWihjvvwӨ||}Ե~ύyx~yw~zw~xu|yv}~zx{y} K + +endstream endobj 1957 0 obj<>stream + +  !"#$ %&'()*+,-.(/0123(456$+)789: 0);<=>?@AB5 7C DEFGH BIJKLMNOPQ*1RE5STU*VWXYZ[\"]^_`a6S!9b3cdefghijklmnopqrstcuvwxyyz{|}~u;+ +pXpwwww}f̛wλyXeɩ뚚ƛeex + +endstream endobj 1958 0 obj<>stream +H +0?zmiSJED>stream +HNYZW*h\5pX[\ՌګX\ܵ[ܺZ!cX(haՏW3o٥Z[H_Wԉ^TԆݺaܱ#dy؟G|޿ 5$q + +endstream endobj 1960 0 obj<>stream +Hl 5vsl Lp˜3G'ː/$,ʕvAU֘CzԥVӵ* GNy4t5|\$lfp<)5LA"m1]0#!3| ޟ/j~ +K + +endstream endobj 1961 0 obj<>stream +ZYY + +endstream endobj 1962 0 obj<>stream +H| j++ik-}6D|X n*5"=<Yʮ&Pd!]`i f + +endstream endobj 1963 0 obj<>stream +H*YZY!cSԅ*ic֐XMӁݹ\xؠ^8r۳W.l۰V3oU6q$e]Ռ9t~٣\\ՌZ^lז_=vܴW&fl֗6r T4p?x ' + +endstream endobj 1964 0 obj<>stream +Hl!t{k[{I0 !g _\nC< ݎh P8/XLbf+Y;#)Guu2D_}p?!x~KZ + +endstream endobj 1965 0 obj<>stream +HQ ByЋ:cԀxěqQx Z't?OR m"~0oVGu07J + +endstream endobj 1966 0 obj<>stream +H + C}ӑCAyW:5>$FM,KS;( Y"|% + +endstream endobj 1967 0 obj<>stream +HtYZYZ^\YY9o-b"XYYZNy:f)VWZ\_^an>iO)M,Y>jQ{;qZXZZYjfiADC8`HJ`j q˓7l'^SMVZ_^_9<;?UH\|i˹ϭ]@e>Q[}}~NNP335{{|Ȉ[xg7QB#L3)U5m:p%d\ZWWrrtHHJ668XWYÇXh_8H?0H;Ea`hǍ=r$a[TTX\\^??AAABkklҵMNO::IIJuuvΫwwxEEF:9;TSUʇXv>\%pB H)`3k9q7p@@A::;[[\ėeef667;;;ffgڴW[Y2433WANmhwɘo˓:::AAAmmoٴUUV889HHIzz{ͧtstJJK:8:MYSxʹ®999FFGxxyլxxxMMNQQSќiiiCCC>>?\_^ǰ z0 + +endstream endobj 1968 0 obj<>stream +Hb`@ Bq$E-@qFf쀅I/'7/-`(# &.!)%-#+'3 H*khji05[XZIZ230)Ɂ]\=<}|@A!aQ1q IL)LLiY9yE%eU5u M-m]=}&N>stream +HfYZY[_XY-iWXYZXXZz0V"F+N%V[[[ZYVID D C Bn>tT)]>8hK3Y"a#d!a]ZYYZYS A 9 +9 +8 +~6 9 9W + +endstream endobj 1970 0 obj<>stream +Hb`F S<EQ䘈R +7=0AMdB5LK] T8`bf |B"b`mR2r +J*jZ <:zF + +endstream endobj 1971 0 obj<>stream +HOA !AeTA]:QNjBWinz/}k-rB? +`"* + +endstream endobj 1972 0 obj<>stream +H!YZW'gqؚX1n۰YZ"cTӆX[@w٥X\WԈݼ^کX bڭZ)h۱W.lw؟W?x[,knיW_^ԍ޿`rך#c۳_=w#eRԅ 䶌b + +endstream endobj 1973 0 obj<>stream +Hlg p{kZ{k/</O䝒@0p $cT:5P(&E TŨTG8@hRwm G&N(t6bZonqx:3z?t|?P + +endstream endobj 1974 0 obj<>stream +H0UӆVYYSӄԄ٧6rWZܸ>w`Xڬ/m]g֒^Xm֗,j[O҂ZF| TE|Uuם.l|آ^h֔WݐۯG}Z\Ջ%eD{Z " + +endstream endobj 1975 0 obj<>stream +Hl0hޣVIZD<=AH`Ηh,H "5t&KAj9-_(bʕj|h;!NG?J58 <|4@Z7,mnw֞8O 7s_L*|1 -P7 + +endstream endobj 1976 0 obj<>stream +Hx؟\WYZpך[X۰H~ZXF}Zݿ4pUUԇ!cܴ;v^u؝!bZH}ZYf֓*i=v U@xV7rVuם*h٨__Վ[KӁ ע + +endstream endobj 1977 0 obj<>stream +Hd0DMPA{{{?HLfrdw& +b` pDBh(ףF,nRȥ>stream +Hb`dd#^1`C2j>m 6($p[΀? XT(r j + +endstream endobj 1979 0 obj<>stream +HL~~~ccd??>%%%111OOOvvv||}WWW555&&'CCDnnnrrrGGG223778}}}^^^99:!!"333SSSyyyvvwQQQ222(((GGHqqrkkkAAA::;oooPPP//0777[[[gggBBB,,,...OOPzzz112DDD))*!!!===aabZZZ666)))XXXtttMMMIII^^_???###dddwwwTTT000''':::\\\mmmHHH$$#444uuu***FFFiiihhh$$$ccc<<<|||qqq{{{bbbfffVVV>>?NNNsssUUUJJJlll(()___@@@LLLNNOeee888---///RRRggh;;;xxx```opoppp}}~IIJ+++gfh323KKKihivuvzz{POQ=<=[Z[vuw}|}<<=ߌonq++,aaaǥkjl??@nnmjjjںVUW""" V + + +endstream endobj 1980 0 obj<>stream + +   !"#$%&'(')*#+,-./0123456789:; <3=7<>?@ABC5DEFEG HIJKLM$NMOPQRSTUVW,XYZ[\]J^S _`abcdeN*fghiNVSjk/TN8[)nop;qEerG6[$@#s.otu2vUwx,g)[8yzkz{@vEk)|T18k};i\8~.3ivqd[@"tc^GE1.3iDk{UN qR)s9TGxWii3I3ieG;6#DZ.uE1AWj*U2z#MjD1Vmlz*87;t]NMn^MRs@F>sZPts8`x + +endstream endobj 1981 0 obj<>stream +HI ahA%H*G279dY= R>^Z@#^dZD\KJEi + +endstream endobj 1982 0 obj<>stream +H|}}RRS??@XXY͠kklEEGIIJmmnܼ[[\>>?SSTvvwMMNAAB^^_Ǚdde@@Bttu޶UUV;;ffgȒFFGOOPzz{xxxJJJ334YYZEEFCCDnnoνDDEVVWccdBBCiijֹPPQϧgghrrs{{|GGHZZ[ʝllm<<=TTTjjk99:\\]~~889aab556xxywwxƱ778LLM112ɟkkkJJKͳ445}}~``aQQRNNN001HHIuuvCCC222__`wwwHHH..0||}eef@@@888ccc//0EEEyyzeee667BBBlll O + +endstream endobj 1983 0 obj<>stream + +  !"#$%&'()*+,-./ 0123456789:2;<=>?1@ABCD4EFG HIJ KLMNOPQRSTUVWXKYIZ[\]^_8)`abcdefghijbkl'mn$fopqrVZsntuvwxyKz{|}f\~iZ7A H?JEX iMj8V`t2}+cU7?J2l4u^|Z>.ff*Xx$n*>"YDBL7 nim~\_H@]L.Yci[| M"H+4mb*sn^{]l*_cs>li@ +lnQ1 ?V89Ӌ + +endstream endobj 1984 0 obj<>stream +HQ B}tkYb(̈ zA3CVՐV ZTWw)z} b/S+5 + +endstream endobj 1985 0 obj<>stream +H WYv=Xz?d#YX)RT$v*BJRd~‡ lQ(>s8V$WVVI$r]p>=BSVAT*qs=66`01l{{"R,"7&ӢXH$Q(ԑQg"d* ,\~FKbqL^/J?>fi>ivV s< kkCCRFD"zT*!,WWmXRy==  FPB#;; 9BX//. +<>)J5k[./jk1(F>'lrD"I6V ID"!l6:`lE& lvkz>_⚚Ryx<2`p&T@wjj +B P(N;?h4z"AssFLr1:!H,H$R*mmOK9HD >stream + +   !"#$%&'()*+,-./012345678+,9:;<=>?@AB67CD#EFGHI>JKLMNOPQRSTU5VWX YZ[\]^_.`abcde\fghV(.)aijklm_n?opqrQst)uvwxy0 z/{\p|wM}w~#B %&<;Q U`>Efw}H"r Je+dO ?nC(f$W}(a u8+H 2? h$,e MA<#fdkH[\,Uϫ:ь7iC5&#6,&6V( A¤ٮ + +endstream endobj 1987 0 obj<>stream +Hl!_7G+h_unh<Σr9M*qMɹo];z F{LbϕD~R + +endstream endobj 1988 0 obj<>stream +H yWmܷ!g +9Fn9LUQ{j +YgGO7dٛu7[,2fff@|zzt: +R(EktѸbl޷vJt:ɤH2 +JP(4F_ZrVNNNMy@rJwC D"1tfpX,nrZm?NѼލ\.fs`0xT㉹9$ى~&e3Lox +VkRݏ`P|x<4 Fb+X,|NabA qf/V#Ix\.///OMH$OH$P8ϛ\>>.ommdr"@,Xh4RTV[V~F g:pp.JGFFtn^/ +(/%)^?11rD"ɴZZlPQFh4( +0 +|0+ +0T*K$*zee%p@7^7Y, G @ 0o`0v(&}>`h4X^XXx U*dCCCl6d2!ZW 0 + +endstream endobj 1989 0 obj<>stream + +  !"#$%&'()*+,-./*0123456789:;<=>?'@AB>C4DEFGHIJKLMNOPQR%SNT'LUVWXYZ[\]^_`\a:b88cdef&ghijkKlRmnopqrstuv!;wx>hyz{[ |}~ us\-A~Vy2 ~~=38Bq,W"Whsy6% ]$Vuz|FWÊőGǹ"ɷʍ0#Sg^ qb:7Bΐ]W͠M|1R ֵGݠhރߨ + +endstream endobj 1990 0 obj<>stream +H~ڧшګf֓[ԋۯF}`Տ3pI)hک^Zߓ۱JWW^Ս(gX .Z? + +endstream endobj 1991 0 obj<>stream +Hb`]0 +VqvN\>stream +H 3cwNJ/rE6 n:^_P(ut:}ttlssP(j P8np(x8a0"ł@ A"~LL4 \Vk"q͞nHLRS#ŪP(ɤE&@`VVlHd>`pq\QQo:@ Xlw7/`@ `4ht(JjxCMM  +u:WwB0\__'3j]Dd2 rggL&+//W*p Y]]][skZSR:fJ4M",--D(66Bl6pX,`r㩭^ e + +endstream endobj 1993 0 obj<>stream + +   !"#$%&'()*+,-./0123456789:;<=>?@AB[B7#lw~21"BiQC}Dc (gxI{2Q QD +,Y<1~cu kſƣufi~DR#Eۿ/~Լ㣨+gfX̆gC + +endstream endobj 1994 0 obj<>stream +Hikז)i[XZYYZԊ_E|Vڗܵ:uWrך-kXڪ#dYTԇ\>stream +HP +0SgvrGjj8)GF &5NL!E,J&pHPMK'(bGl6oO1/bCaYa1hdabS& J + +endstream endobj 1996 0 obj<>stream +HrD0.! R!(#nQ1s8<AZ4UELS//p)Oeiԉf_>(iDs էgձ + +endstream endobj 1997 0 obj<>stream +Hb`\ 83 +Vq6vN.nV^^Ty>~AA!^aa^qQ1q1 I)iY9yyE#L\GGWWOWԜQ,.V6vv,`qp220rvqusudbBx({ + +ꠂ e + +endstream endobj 1998 0 obj<>stream +H!:tWY9sݹ0mWݻRӄaXۭ:t^XZj֕`ZWԈZYWԉ%f,k UVߗܴ*iVg֓"cWk֗^YZԋZYۍڭ@xOӄ5q f] + +endstream endobj 1999 0 obj<>stream +Hb`@L, lX9yx0E#*&.!*&*-#+'^ZQIYES\]]CSSK[U +S\WW$*l`hdl_S-+zi;{G'Lq~gWIPTss7gdqSO8@@ + +endstream endobj 2000 0 obj<>stream + + +endstream endobj 2001 0 obj<>stream +Hb`LřYXٰsprqr y~ + +endstream endobj 2002 0 obj<>stream + + +endstream endobj 2003 0 obj<>stream +Hb`L̘ ,lllX؁(  XD + +endstream endobj 2004 0 obj<>stream +H n0@U¸H H˭ D3 '~MsGQ!!x,t 2]ƶmu nuyˮ}N*U8 |AyCENdV,pFQ>۶ǿw8|ݥj#Uע hYBzRzN,kCyDizuCikG?<_ȠH+|q8ON)tck;[zO4Հ/ + +endstream endobj 2005 0 obj<>stream +Hb`dbdfaecB<|B"bbRLLL2r +p EPRRVQUSUӃJ[XZXY[[C%@Q .kg/CB#"Q%@܁Qbc=It␠0[7_ + +endstream endobj 2006 0 obj<>stream +H ےC0#HYk\[jx9y~Ge19vcin&(H() O**U(I޼1* CI#a8 C]˜gKs>n(4},Kl i|5hꧦj\׍mqy.Ί<+M 2/H1g~_UBYeA˖%w@H`78 + +endstream endobj 2007 0 obj<>stream +Hb`@ĄU ;')..n^>~Vt AAA!aQ1qt I)iY91yE%e$ U5u MAiii-m]3U@Oʚ.bckki`bPqwO /.no_ (`c~I + +endstream endobj 2008 0 obj<>stream +YZY]X aW*iW*hY[/lTZXQӄؤNVUXYoי޿ + +endstream endobj 2009 0 obj<>stream +H|PW0Qx""fz7¸MI6˶sĻ,Cxx4 `RYՍHm4/ҍn[yo + +endstream endobj 2010 0 obj<>stream +HKYYXMӁ޻V^ՌX^8s۱V,jܭV1m޽U4pZ@x6qzؠZWԈf֒;u۱lחɻ } + +endstream endobj 2011 0 obj<>stream +HlGD69 愊 Tc/fF0+VF)Vo4[A̐^0)''H3dXvG|8'K]owx<})pgbz+ + +endstream endobj 2012 0 obj<>stream +H ω20cƠKt݊Rڎ?ICwmKe-Zkv`ryX,L&x<> X,j+Jl\nH`y>stream + +  !"#$%&'()*+,-. +/!01234 +56789:;<<=>?@A + +BC'DEFG.H;IJKCLMNOPQ +RSTUV WX%R/} ceJRV.=\\XW y;B!RѦ!dҪԐb/ֲ٪"Ʀ + +endstream endobj 2014 0 obj<>stream +H S?w6DrBbb\?44@ X,۷L(/8<"yw~~^\ T*@DnT:9=t:L" +E}p8B]^^t: /C d0&\nZ4MTJNZ334 &'YkkkTV +E. +nOل@ ZT*l.n;::VTl~dNP(Dm㩩i/$b(L^ZZTNcb@Z=@ ` Fx$yjM\6`p_"B^/z (1Lh4nnntf`0T*rCXd2jNo3 p8"``Yf + +endstream endobj 2015 0 obj<>stream + +  !"#$%&'()*+,-./0123456789:;"!<=>&?@ABCD(EFGHIJKLMNO#PQRSTUV+3WXYZ[B\]V ^<_`aXbc=def:g^hijklmnYopqrstuvw xyjz{T|}~jJ} ^U("yH5!}N^=!]X\@,j/ MrGyJB2jeŬ)J\g.˸*ͥ$r R3%.ְ׬O و~۰XUY0]_z5_2#nDCIi0l+ǜb@ + +endstream endobj 2016 0 obj<>stream +Hqkjl{z{WWW888===\\\ooorrrZZZ;;;!!!###xxx~~~fffIII)))UUU555nonopoXXX$$$:::YYY{{{bbbEEE'''֤wwx^]^MMNGGHLLMgfgxwxsssVVV444%%%???]]]wwwvvv˦poq}|~ZZ[000DDD```uuupppQQQ222"""Ɉggh<<<,+,aaatttmmmNNN///&&&Оvuw`_b>>>___qqqggg+++(((鷴~POPffgkkkJJJ***ÝTST! !ڸ}{~XWYPPQᨦyw~zxzy~|¿xv}wv|wu|vt{yw{y{z|zzxzw~}{ꄂ} ` + +endstream endobj 2017 0 obj<>stream +Hb`dbfaecgeaʱ+( +()khji[(YZYY;8:9{xzyGGGE'emRR329ss @߲DԼ*:! kj[*Zs;:{z{{R}&NBgΞ;%6t+_]um 8H޸qsk@ + +endstream endobj 2018 0 obj<>stream +HP333TTTuut]]^:::)))...LLLtttuuvQQQ+++(((FFFkkkbbcKKK555VVVzz{YYZ667&&&000OOOvvvpppHHHooo\\];;;[[[wwx}}}mmmLLM"""666UUUyyyaab@@@##$***PPP|||889```zzzuuu___AAA\\\SST$$%221WWW||}>>>BBBbbb{{{rrrYYYoopMMM556ZZZccd777eee###xxxccc'''&&'^^^www///!!"fff111%%%DDDddd]]]=== IIIhhhggg---SSS334EEE^^_onnmmn lllJJJ,,,uuwutuvvwnnnGGG(()mln;;>?[[\vvujjjXXZLKMYXYppqsts???222RRRΙvuvaaa!!!۳|{|gfhCBCƾ}|888""#ݺmlmPOP323զ}|~b`bMLNA?ABAB ˬ34 + +endstream endobj 2019 0 obj<>stream + +   !"# $%&'()*+,-./0123 456789 &:;/<6=>?@A0B*C>D EFGHI JKLMNOP*QBK@>RSFTUVWXYZ-.Q[LM\;]L^_*`a"bHcd$e-SfQghib jk[^K=lDOmno;p$qB$o .rsthiuuev[wx4yzq {?Z|}~np>UJ8BS?M8~}oNOg +`A9 +pn8[}Cg{Oi0vQ1%#`BfBH#%+k…` #P*ʽѳY*غ޾ + +endstream endobj 2020 0 obj<>stream +H ω2"п3vrE$4jY¦TB?x//fᴵd_ucc=?Z,6,FmnnB)aٹ\~KK+֟;b1V`00lCzpphwWDrh4Lf}= +Ŏ՚h4V,~>_x>stream + +    !"#$%&'()*+,-./01234567891:;<=>?@ABCDEFGHIJKLMNOP6QFRS T6UVWXYZ[\]^_`a"b cdefghb ijklCmcnopqPSXr$sjItuvwnUxyz{|}~>6n37~cw'WV3Z}mR( }S;2r<FV*o>stream +H Vgsb| v!#D0;  a3e#ɔB 8BPȐ)]\\ +jd Cp`ZdF`p&NO|jQh2a +E8hG+(H$ +x|~- +x +6J}tkkG]T;^ONN{<566DhnVK˷gY^^9;;f$Y$t:f6cXXC :<?44e%n$̢Ph*zpp8;M8N,z#p&@^狋0 E"Fapphbbf* iv + +endstream endobj 2023 0 obj<>stream + +   ! "#$%&'()*+#,-./01234%567*89:;<=>?@ABC +*DEFGHIJKLMNOPQRSTU7VFWXYZ[\]^_`aYbcdefghijHeZ0kSIlmno a8p,q-nEir +pstuvwOCxykz{NOtH3|}?~>-"jdgbHP'gre8ew7pICONv0k|R:?rT%Z|RH qáĤ:doȠ=.̞Q#Q{ҋc&Ӂ|Ta"P֐Iړp,$ݧ0}SH+dY*lW +?䤠t + +endstream endobj 2024 0 obj<>stream +HNZ0A)r +@dȺPq+PG)@*K ċTm&ZGҌFiy4ed2TL&K&q4i\A"v`0t:cR(hTM~Fb1z]H$\k8` RZbٜ?KKKr<zp8 fd.m^L&0 ')J{rrr{;h4H<s*D|Z^ D"2tru:ͦH$d29Y,V bq^yٮVkvH$TC66ooos8\}&tll)JBv@ > +@ 0??rbTZm ǙL5P(, +N VkY\\L$" N?;;FBVPBpX`nn`ήlNVKR$BLRnպDj; +eقVc0H$rsSz~~.#FT*~baXL&j>  + +endstream endobj 2025 0 obj<>stream + +  !"# $%&'()*+,-(./0/1&23456789:2 + ;5<=>?@ABCDEFGHIJ KLMNOP JQRSTUVWXY Z[\]34^_5`abcdefg' +hcijkl/Nmno"pqr(stu v*Aw]'x)cNyz{|}~8"ab$>'%GBf}R(gv@~&~w,U +:cr3{fk}UX"jBjX tJhjXz'KX .g˗9kXębQB {h{{ahӫb>Մ< + +endstream endobj 2026 0 obj<>stream +H W[2GR'd%99ixDI*%33NV޳oor3f%L=== +cuJe>כjNPz`|4bU$kn_d*J6Z b0xtt433C P(4ͱlrV;0@@fbB-~סPHT$`0R闗?- +Hdg'Z"zZ [g"ӹ6::\.'z=A<>Vh}"XY,VK ZF"ZG5LT`wii)HRI&S->FR켹GFFST>z2l~~!__VVVl@ .o`dzL&cX{{ɴZP(<7 WW? +%H*}>_rollbt:TF999ܔBwS4cۇhfCCCFh4r8GPڄBsj + [,V;885+|:}(HD"2H@ "T`0&Jxh4j2i\.?t2dq8x< th4|z +fggtz<OR + +endstream endobj 2027 0 obj<>stream + +  !"#$$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQR-LSTUV$7KWXUYZ[\]7@12^_`7abc$defghijklKmEF3;no/p Iqrstuvwxyzmul{|}s~7 GQ!@*)7}eS5&l]/; +WYu# u\9q7%_>E&!FZmu A +j<e`'ϖ].*je4֐ٿڙXޟkF`*ٿNj.綋jR>N='N7CÙ#` + +endstream endobj 2028 0 obj<>stream +Hb`d`d(`0sQd0iUX(2,vc(#F1;(@" + +endstream endobj 2029 0 obj<>stream +H + C(ɬ ~746X iLEPq!/2a秸 QbtZ_+"l, + +endstream endobj 2030 0 obj<>stream +HI + m ̍ + 0n"+6P`$*) Dǣqy+Z/Cm*?@` 2J:] + +endstream endobj 2031 0 obj<>stream +Hb``dF(0!$ +M0ŭ#"aa`\$22[S;<$H # + +endstream endobj 2032 0 obj<>stream + + +endstream endobj 2033 0 obj<>stream +Hb`L8$YXJ= + +endstream endobj 2034 0 obj<>stream +HtK0B}mu:6Ay D.\ VXA$5c5,fy(>~83wԷ߹GGW + +endstream endobj 2035 0 obj<>stream +ƽ + +endstream endobj 2036 0 obj<>stream +Hb`@L, l⣀ X= + +endstream endobj 2037 0 obj<>stream +Ht10ύML@xRx=S)0Q %xFC>elUƐ6*mW2C + +endstream endobj 2038 0 obj<>stream +HY0_hTB[ +@iqA`y>eYlLmYRR>!:w$qgY|ߢTEV\#Ӱ (yV*E֗5e@۱m.~w]7'vy-?ꚹZWFxi^WeL>fy\[q^@Ph6< {΢lϲ0BM + +endstream endobj 2039 0 obj<>stream +Hb`dbfae\<|B""bbpqI))iY9~yE%eqXF UML-,uum@`kga"W511qUE~ƦA@=&!a^, MX g7 + +endstream endobj 2040 0 obj<>stream +Hb```d ddDULj)x-c$< #v}-$^  + +endstream endobj 2041 0 obj<>stream +HnD@:Ơmlj z؄sY{qaD~i}7:tgR*ض祠#Ҷ m[9ﺮmg,ۦܳ^Uqf|NUsiDZmSv׺') `B E1BG\bARF2@`1^e]m{|91 +V噠H/y!+vb + +endstream endobj 2042 0 obj<>stream +Hb`ڀ MM_@PHXSTL\\I\RJRZFVVVN^AQ I\YYYEU_MV]C]YK[ .o`halbjfnK+k}[;;;{GGG'6g X{p{*#/oo_?[[@F + +endstream endobj 2043 0 obj<>stream +Ht )DGbbt%4TNCGp7ՕMh/k<N{iDŽO]#\z + +endstream endobj 2044 0 obj<>stream + + +endstream endobj 2045 0 obj<>stream +Hb`řYspp`j0Z7 + +endstream endobj 2046 0 obj<>stream +H| + IisXiUQB!)i9 Cn#sYӫOPe_045 + +endstream endobj 2047 0 obj<>stream +͹ + +endstream endobj 2048 0 obj<>stream +Hb` 3ag*> +>3- + +endstream endobj 2049 0 obj<>stream +HtQ B}m,2"2V]ڨY2_'UsTsO\J3V|˕ 2hC + +endstream endobj 2050 0 obj<>stream +H΍nC@w WIDm|H'Yu=Bޙ!)=*Md_`*H!(8eWZ>stream +Hb`dbfaaeC\\<|B,"̢bR2rrr +bbbJJJ`qq PUSSS2D\RJZY{xzy{\a.S!E W) + +endstream endobj 2052 0 obj<>stream +H O@4ÕwR < i,0:)b>{rIӔQVm Hz̰1"]a"֮Rn0TQt0BvS!$dP4M3MSTBSd5M9cqιBkݶ1X|/ !=ϋhGck%%Le8hSoZGQ\'ؗg+Ŷ|> 02A + +endstream endobj 2053 0 obj<>stream +Hb`\8qfV6vLqN.n^>Lq~A!aQ1q >>I) <*\\M]]MCSKS[GWO*n +ff< + +endstream endobj 2054 0 obj<>stream + + +endstream endobj 2055 0 obj<>stream +Hb` YXXX1 j + +endstream endobj 2056 0 obj<>stream +Ļ + +endstream endobj 2057 0 obj<>stream +Hb`@L,l h]1GE ; + +endstream endobj 2058 0 obj<>stream +H R.p`qn3Tש @nQP߯qܧ {Db6>stream +Hb` rvdN^> A~A!aQ.ib%$ed(((*)+k"5ut فM⊊ +fV6"*;#"4bAc4CB4BA4#$$+*DF"}" + +endstream endobj 2060 0 obj<>stream +H yW\bm#V*Eom!5sxOPPu$^Ȗ;Lh0ᗻ-Y}Fp2ĂͦsJ&b.am4:b+yHRD2T/R>2M5knk_g>4,U 2Vmt;^2D@qbʃb`V0d1jqfa}Z)sc:3bl;b;>;jv<=j>[~) 1,GԸfˆMA"Ѩ 8F(P^bNegz#ǢIxZ*f8^DTJ + +endstream endobj 2061 0 obj<>stream +Hb`dbfaecNn^>~A!aQ1fq I)ii8.D>$$!;8:9hypqB+'! [pH(fq4qCe c@XC8d)HtP) @ 'ک8P#! 0&,UȲTc;cO \32TQm.03&\`N' + +endstream endobj 2062 0 obj<>stream +H8~~]]^232%%%@@@^^^xxxsssTTT666###<<<\\\vvvbbbCCC$$$&&&444aab000___wwwqqqRRR333""">>>??? ź]]_JIJVUW_^``aatttmmmMMN///!!!(((BBB777''':::ʱgfgrrrnnnMMO---,,,GGGfgf{{{jjjNNN222***Ǯjjl>>?++,667PPP|||iiiKKKutwMLMVVWmln}|}}}}efe111EEEᒐjilrqtRQR778""#FFFåhfj00100/ỹeeg\\]poqŒxv}~xw}}{yw~zw~zxyv}wu|utz{yzy¿zx~yx~~| B + +endstream endobj 2063 0 obj<>stream + +  !" +#$ %&'()*+,-./01234 / 56789:;<=>?@ABCDE2FGHIJKLMN"OOPQRSRTUVWXYZ[/\]^6F___`a;bcdefghijklmnGFopq;`_____rPPOstuvwxyCz{|L}~PO___Og}bO____OOOOO(bPOOOÁOOOPfȨΡb;____Ϩّ_OOOܩ;`Ƶ㬬ȶ + +endstream endobj 2064 0 obj<>stream +HE˺~|xv}yw~ٽ”zw~޸zxȱ}¿ɘ¿ \ + +endstream endobj 2065 0 obj<>stream +Hb`dbfbaec~ `:Phhjji#Az uLLP95 *!Q[L `nn.E* X + +endstream endobj 2066 0 obj<>stream +HYWWWxxx888 !--.SSSyyynnoHHI,,,ppqddd665''(ZZZsssRRR444""#001UUV{{{iijCCD(((///LLLsst^^^222%%&wwwgggFFF$$$667|||\\\ "333TTTNNN,,-'''bbbuuu[[[<<<&&&===___oooQQQ666}}}eeeAAA...tttpppVVV777 @@@aaaiiiJJJ999~~~:::+++111---)))zzz???cccPPPkkkHHH***fffvvv%%%BBBmmmKKKlml```EEElllMMM"""GGGjjjyyz>>>llmTTUCCCbba!!!qqq~~OOO000ٹfegRQSHGIaab555nnnЮbacccdeef==<UUUxxw``a{{|JJK(();;;###赳cbdDCE]\^cbcXXX͚wwxqpquuv]]]::;ɯ!! DDDƿÀ//0112 ~( + +endstream endobj 2067 0 obj<>stream + +    !"#$%&'(&)*+, -./0123456789:;<<=>-?@ABCD EF=GH0IJ KLMNOP:QR%STU VW&XYS&Z[\T+!]^_,I*`2>:-ab*cLd _Oef_ghfiGjNOk?lim%no:_Zpqr:g]!+)stKu[vw%xqQPhyz{RQk^\Dwr:P !|} ~OV]>g\eR-V\8ns4V,{ 2<)aV`r[UXFJPt[,2=yDvsMuaȑkNɰҊ-ղײdR + +endstream endobj 2068 0 obj<>stream +H NpN9Cma^sf5kY iUBn|HM29D`py݀!<F!s"qԃD.{˳0'}ck44umҪw"|,!@mkCҬ)-TO3#\lևR?㭯)ue08t7j?諝v}I|9g1sxP/Ͻ\ߕi t`0֋bM)5 +b-0ʅj*Yk3ٿ۷ + +endstream endobj 2069 0 obj<>stream +Hb`@` .gqprrr1`<(p|>stream +H vП.dPbUYAU5Z=:T5Vbj zn8K&lTo9a.fvu8~ۜ.3Zy~o3hY]V"3h>stream +Hb`dbfaecyE%eU5u MM-m]&=}C#cn ^ 015513k:88:90X{@ ԀO//o_?@ `^8M͐аHh d`+'n'D.0;)98U# lhg@ z`54*"0ml3\* B;IAvNnY',\usQqL ȔYUTx!`J + +endstream endobj 2072 0 obj<>stream +H|??@XXXˤrrsGGH>>@UUUʥuuvFFG889SSTĨDDE``aۛjjk@@A^^^ޜllm667ZZ[ܿPPQvvw݆YYZ99:HHIsss߷XXY;;>?QQR||}ffgѝkkl??A^^_AAB[[\sstwwxWWY<<=MMNӻ112oopϺ~~aabxxyIIKNNOVVWiijnnpCCD::;eegIIJ__`EEFBBCJJKssuOOPUUVRRS}}HHJĢEEEɡqqsccdppq܏厎``bڅ}}~TTUРFFFbbcppr@@BKKL\\\;;;99;qqrVVV777XXZzz| D +^Q + +endstream endobj 2073 0 obj<>stream + +  !"#$%& '()*+,-./01*23456789:;< =>?@ABCD:+EFGH< +IJKLM5NOPQKRSTUV+WXYZ[\,LR>& ]^_`abcdWefghibjk l_3mnop$qrs_9tduv4Cw:xyMcz'{2*0kM|O}~8X+X&hVXteDC]cW #_30NkEP k. $4 8nso<C'5GK`G8"C{'z0g zrAK+ z1n n+8 ]HW !zGk$`ʰ˔kJ OhS 1^ + +endstream endobj 2074 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?/JxY]S })"|P.V]iZpVgctKUsΖ^#ǔ A1Ï K>stream +H 7c/{yKX_JRՁ%RYsE!bD+SlOK&SN p%KK憆4]^NU~??b08^(lbq+ +URZ:y{ˇ^r1 Q96;8=<R(d1S\Rd766e29@ 655?==g33M4]:k++N|jM&GG_y4JS*_^^tzrk@ b"hqq*UU,92rnBYVFq:Wc#۫tݹ\.ͺ\==THJKryOQQN>>>e2ɩ8U]4Za4mkk`uSSP(&&&kjX,Jry&v=0uuI[kkݾbZm08=#|>rR@1<h4F1Ɍ@ H$ +|\B$Ύ_ C^w}ݕHJkkd2q HX ˴ͶÙ̪p8P V(z#@ VD"QXqhHcۙLZe2@ $JѨl1 :b( +niΩT id + +endstream endobj 2076 0 obj<>stream + +  !"#$%&'() *+,-./0123456789:;<=>?@ABCDEFG'HIJKLMNOPQRS;T;UV9WXY'Z[\]^_`abcd]:ef%\g8hijklmdRJIHOn>:opq`Lrstuv*0wxyg _GITþH;[GȊyDl%#:GʊLҀӂղy?Ȑ&i]"ਨ䕶=T.0f + +endstream endobj 2077 0 obj<>stream +HI ;4M*9e3u1Մ߱ZDݻ Iq4!w+ + +endstream endobj 2078 0 obj<>stream +H[ ;( 6>6e;KDeM˳%S/JS~]!&}1 + +endstream endobj 2079 0 obj<>stream +H @ޘ} yXEaEi  Fg9~~F +!ܜĝ%@- + +endstream endobj 2080 0 obj<>stream +H|A ѝ*8hl(,DuF2"$7Il5 8 0}/ҁIN+*gc+wN< 6hF + +endstream endobj 2081 0 obj<>stream +H rC@Ʊ]ZIC1)?|OI"%(,ˆa8s#cAum۾m Q%Q $Iuߚc\*xzeEHcMeI +-8, O:@8@>%qu4MٵH/9%=h1ʗ}]Mճ˵1r~ +0:o + +endstream endobj 2082 0 obj<>stream +Hb`dbfaaeC\<|||(B"bR22r +Jʬp9)U55UuAA! M-m8 [BUTTT,ml@YOU] !Ҥg$,,,T\-1Ł. +R"20=@Hq+ + +endstream endobj 2083 0 obj<>stream +HK _V}J7">V0SMXFR'05*yȗ`N# + +endstream endobj 2084 0 obj<>stream +H ٖC0#b ؍J=8tRs繮kuǁF4Jt]$ Ai!t]oY$ @pkmޣ%QOy׼t,`TH1|qj(͍>(Qr'HEQ$16sSkeY#mkUyZ^ھiP5yzV4EV 0 #˲. + +endstream endobj 2085 0 obj<>stream +Hb`\83 qV6v6NLq.n^>~LqA!!aQ11qTq II)i.AY9TqyyyE%eU51u M-m uzFƂ&fq(wpdrvօW7wO/Aoo6_]do` + +endstream endobj 2086 0 obj<>stream +Hl -NehԖJD`2}Eݲ14}H`31|]-G^ ꦏP \G]'y + +endstream endobj 2087 0 obj<>stream + + +endstream endobj 2088 0 obj<>stream +Hb`  + +endstream endobj 2089 0 obj<>stream +H +!C}ufA m"O烤\E>uJ&ǤC)zE5#]ji[RDL;>+I6 + +endstream endobj 2090 0 obj<>stream +Hn껹切kjm::;QQRжWWWƥ~}ihjJJJqqrհSSU667_^`ZZ\˜llmEEF778^]^вddeÒ``a@@A>>@fff—mlnRRT]\^ q + +endstream endobj 2091 0 obj<>stream +Hb`"03 +qvN.n&4]<|\B"bR2r( +J*jZ: ::Pq=}C#cS3s nK+mkk+ll]\=<},A~A!aQ1q I)iY9yE%eQmH + +endstream endobj 2092 0 obj<>stream +HI0?).I=0 C+\BFMy.QPqH!,f0=6#8ƴY 'z + +endstream endobj 2093 0 obj<>stream + + +endstream endobj 2094 0 obj<>stream +Hb`XřYX[ + +endstream endobj 2095 0 obj<>stream +Hb`ddd`8 `@aRȀ(>stream +HswwxΩrrsAAB==>[[\ռ]]^667CCDwvwƺɡkkl99:bbc׷WWX223GGHyyzҺۺZZ[FFGwwyԥJJK556XXYzz|ЪvvwLLM889Œ^^_@@ABBCllmϯVVWӡFFF>>?aabTTUKKLʇ::;MMNϦsst@@@ִOOP888UUV˜iik;;>stream + +  !"#$%&'()*+,-./012%3456789:;<=6>?@ABCDE$FGHIJKLMNOPQRSTPUNVWXY TZ#[\ ]8^\]_`$abcdefKghij k6lmnopqrmstuvWwxyz{=|}~T?3ah<6eqksJM3BP L2g-/$M dY=$wT3 KD'9O"|EA&wEbrcWy!>stream +HI CRF%AϾB^2JL?7#S"jx 7ζ'x2 + +endstream endobj 2099 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?g3 Hu2BXq0>溪%?>U4Xz7Nˢ[]=6UT^ %l;q%"EN'oQbop>!y"I} K^r + +endstream endobj 2100 0 obj<>stream +H ;s\a4DZUJSֱBHF*u$މ .PVVK^_8?垓ɔ`q!JhLޮ@}o kk**P +ekKuy;}Fojjjq^oǡL&{u(b;mggp8%Hw''nXJ$rs-%%ݟ$~e&@"u,.NO=771fsتb2ocCi +m5\e%fuu===Ah4JM%2X]]d8Bx>stream + +    !"#$%&'()*+,- ./01234567819:;<=>?@ABC8DEFGHIJKL+M!NOPQRSTUVWXYZ[\]^_`aGbcdeMfRgh,Naijklmno4pqRrstuDlvw;(6x1#yz={|V#[}>~ '-8K<M+-rx )R9:}Hrm Qy(<2rff124:+?[aqv(H !fo U -ˤ͛ζ)?7A70C{?Ԙ=/?Տ׌؃ڠ-fU Hړ+G + +endstream endobj 2102 0 obj<>stream +H 3"ɹpByDE"ƹ +#17J2BmVJEv73È`0VUUw/D.E,^jkk" jr ANl6l^OwWQQճ +APXBQL sMM$RD fffs!B<622ztt|wJ/hlx__@5dr A.tbc9?J6hM^of ].@prqNHFJGcyyfs&͖EԴZ}q}zCnw􃠙#G4W*U?LB +cP4|mX!'&&U*G(?ruOT UW֥eB蚜rb㙇d$fsZ!)}}dE|6Kx2%< xںѱ]Y,B\%R)lvVpuD"DⳳK|KVb2{˿ Q$kjjWWׯL h;=p > }V,+|B(+TjKKTz`0XFHR(o +"noKY,6J;886x<B`ddh~77R ݳx`8rrr:6yxjT*Nc;;T78r5K# ?&d' + +endstream endobj 2103 0 obj<>stream + +  !"#$%&'''()*+,-. /0123045678''98':;<=>?@ABCDEFGHI*''JKLMN8OPQRSTUVWX#6YZ''[\\]^M_X#G`abcdefgh'''KijklmnoGpqrstuvwxy)'\zzi{k|}~ pXR|uf)*#p4<;0)J} )W Ĵ#|;/yŴ/ӜCυ8ڣŎ&\旖2ナ8j旗$L + +endstream endobj 2104 0 obj<>stream + + +endstream endobj 2105 0 obj<>stream +Hb`8ę8@N + +endstream endobj 2106 0 obj<>stream +Hy7"d0MLe(W}) uZaʱ!$-VB52N[ZZB|D"dLgd2300 |{{d"3 +VVrǿbX-L +M&|V*Ut:Bd|q>rCCY+J r~FsUn, d=>>-/=++tccG "ju,dͷ3Lb c JTx<LBuccS]\t:DvL8)?nnnJV;%a$BãT lS\n8߯rV¢D"BGF |~M] s`σvur\2YC>stream + +  +!"#$%&'()*+,-../0123456 789:;<=>?@ABCD@EFGHIJKLMNOPQ3BRSTUCV"JW XY(Z[\9]SM^"_` aYbZcd9`e6fS#\)OPghijkl:m-Wnop6Y4I3q;:rs87ZtY4uv0wxyzvWE{^X3|}wx~@;WD4MFmPmp.I#W:5BMh5P8* J VB)7P)78T(^p' +WE.@#Gx9SN5&x `f)è$Q;\ȯHfS$Tcvp- Кј + +endstream endobj 2108 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?;"_` W1Ѳ:CA}BEd[h&s_ +gn2s*8~Elo復ulKM5a;#o~HU_v/u.?? + +endstream endobj 2109 0 obj<>stream +הoop~} + +endstream endobj 2110 0 obj<>stream +Hb`pI {K;=\<|B"Y!d + +endstream endobj 2111 0 obj<>stream +H ;*I}5Ge$̤Db]2IĴi$]UOj:Dd~~{Hj/P*F"Q~F"t:`s WW+}}h4ZX_"4e29:&RZ_ V$6OOL&{zz&. +Ǯt:qv{D"qg'A$)\#&&s +G-T*U/qZ+y{+h@ Z1ϳ?D6s:Xi BvdA*T^W,XLH&S{{f0J{<DB //}9`tlymm{Z( r0::vvvjkk2X,|X,JUS'DzrN<_\Ba_/jl6ory jZ +eh~^DPz,Di/jopxVC.W>\$08N(\' b$ ^_"]&56Fs?>I"ju(t[upP(j:6dj#btsSoUefs::aR<`0:}hc>_@&S@l6 +h6\.>{d + +endstream endobj 2112 0 obj<>stream + +   !"#$%&'()*+ +,-."/0123456789:;<=>? @ABCDEFGHIJ+KLMNOPQ.RSTUVWKXYZ[\]^_`abOcd`*ef1ghijk&lmnopPZq7rCst&unvgwx2YyzE{|PI8en}~[E?E|1}kb v}l~|q>D2D6tY +P!v3A~llvPsnn/1B;1S'!8&8B3]]7 qċPQ.}4ʨH]_}4&k "Y33M6e?2؄xv|v?#k + +endstream endobj 2113 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?,}X~[G{wW~utK33sHeX}*)}c#tie>#?Qij* [\#WJ[t6%nW\ 4S + +endstream endobj 2114 0 obj<>stream +HkWG:[^"r%r 㸕(LLDJL+2NKcߵ|z>?ñl\nww?i:*u@ j4Z`hy4E(mnpg0dY=7[__/OnٌF +%X,LdR8O ! + +endstream endobj 2115 0 obj<>stream + +  !"#$%&'()*+,-./0123456&789:;<=>?@2ABCDEFGHIJ%EKLMNOPQRSTPUVWXYDZ[J\]X^1_`abc8RdeBfgFhijklmFnopq[rAs?tDuvw$ +vxyz>${|}~"T&o}!Z|:a!`[1>j; +%arxvwn0(0W%|I!i%1>MaI0¥Aƴ~l0u<ˁe4jiϚjh/Շl;&I\ӷxffq!0C~|}~jڙަq྆yqC + +endstream endobj 2116 0 obj<>stream +H 7#Zj:ErTL:G!#*ErtxOBԞי]]}}}˳Zt\sslv7$*x(tT,ʡPhlLr8=vm#͖JHD`67hHiooO"45Qp8>stream + +  !" #$%&'()*+,-./0123456789:;<=>?@"0ABC'DE>FGH IJKLMNOPQRSTUVWXYZ *[\ +]^_`abEcdefgh%'ijkU Blmmno#=pqrstuevwixyz{S|Q5}~=\UP(`g$.}!LHoelUsnm_[,]Wio84h^K) +$Jm7} BĮPZ ]ɿpm5e[JR"~ՎXYڠd7"Z[V"$7ʑ& + +endstream endobj 2118 0 obj<>stream +H\~bbcHGH000(((AAAcccxxxkkkRRR555 ```tttwww]]]>>>&&&$$$VVVkklSRT98:+++DDDeeeyyyjjjOOO333"""CCCbbbsssrrrXXX999###YYY̵wvyUTVhgiyxyfffJJJ...'''GGGPPP111III\\\ď~~lllQQQ***MMMիpoqSRSJKJHHH,,,aaaǿ<;=qqqvvvFFFTTTdddеbadONOjjk!!!UUUٹfefMMN>>?;;;hhhڶhhisrtʇwu|vt{|z纷yw~xv}{yс~|yx~zw~¿zxɐ trI + +endstream endobj 2119 0 obj<>stream + +  !"#$%&'()*+,-./01234567894':;<=>?@ABCDEFGHI JK5&5KLKMNOPQRSTSUVWX8Y &Z[\:]BB^^A_`abcdef:gh%i8[6jk```BNlOmnopqrsWtuvMw;xyyz`N^^B{`|}~yR^NNNOONPRBON^^^^NdN^^^NOPQ^POONNNNOA×R{``ANNNʨRNNNNѵҵӽ_ٵ×ݜ޵ߋ + +endstream endobj 2120 0 obj<>stream +HA~~qqqRRR000555WWWyyygghDDE,,-,,,NNNwww\\]445001>>?kkkMMM---666ZZZ{{{bbb**+QQQzzz~~~VVW../BBB|||___AAA'''<<<```}}}xxxTTT222''(777YYYmmnGGI$$%333JJJttt$$$%%%dddIII===^^_<<= !778PPQpppPPP###CCCfffeeeDDD??@aaazz{XXY889!!";;>>\\\lllƼyxz0/0DEEҳutw==>jjkrrq鳱pnqjilyx{rrr̯truHGI$%%ëa`b556NNO ͓, + +endstream endobj 2121 0 obj<>stream + +  !"#$%& '()*+,-./0123456789:;<=>?1@47AB*C1D.BE,FGHIJKLM NO5P.QR'+STPAUVWXYZ[\]^_D`abcde8fgP Rh:ijklm@Snoap?'qrgBs_tFuvw6xIyz-{>O|+Rb}+^~PwC|-4q30oONpr-7qE5R?wgePP7^-cSqaP^AMV @{f0|JO1r/#NΈ`BRЫƷۃ_@@' + +endstream endobj 2122 0 obj<>stream +H 7ZQӕWפ,2#JIMى<Ɛ)L.'s>}F$(/(jO'w9rw[[F0PVLH>|{^Z~&]]&&-et:}`@l6t@ 88_RkA*ԒHr5m] x<>=//]"dn;H>>=:e6UVVX,^D";=y$F3Qmm)U& Tp8\Ѵp2yPH$sstO` R)//w9d2i|%%Tj]0aaoo?;پDX,Bq}_ !d"]4cۏO`P(Z. Z2fffYq1tSLzLf{{Djgg[@822Z_F4]R="h<g}}}UUbt Ï.CʪZxuutb6AԔ}AA6y<ޅcc՚H$BLV* 蟞+LV]^^^[h4m4hthX,njz=f"(J--k' +-K H$2X7N6oq + +endstream endobj 2123 0 obj<>stream + +  !"#$%&'()*+,-./0123456789:;<=> ?@ABCD)EF,GH>IJ KLMNO(JPQ%$R88ST;UVWXYZ[\]^J?_`abcdeU,&fghijkb#lmnopqrX I stuvwxy:z{|}n~ q E +/%%PaJ*(nYlHtQmg4EXu, /7z]Ig"o%_XL?6`Hu Uz2YcJyŒ;óĝnmŧdž4Hе/h_&t'aٚL_̷>ގn߻B^Y"P/@-e + _=; + +endstream endobj 2124 0 obj<>stream +H|10?݉"bPTEglikAJH226G eEYGd + +endstream endobj 2125 0 obj<>stream +Hܸ(gVZY۳'gVݾ\ՌaWaՏYYMӁTXi֕.kݺ=v_ߐۯ-kZܺVԇ^x؟.l\F| TdՒ+jXѓ۲I"dؑ۰KӀE{8r!cn՗|آ{ءPԄ[YCyAyBy/l\[Z B + +endstream endobj 2126 0 obj<>stream +Hb`@F&fVV60@sprq󰱡  +!B\XDTL C X dtaY9y(BQAQ b 8:\fT-+B zv C#c DT’ XYX;8:!Rs9x) f +  gC"F + +endstream endobj 2127 0 obj<>stream +H|Y G굹d|X +!zaQ: +D`S!gJ>G;~?D&,@~ + +endstream endobj 2128 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?*fKiqϢ;oOtaJL~W +pqO + rNNDS;1IzX}B:<{IGN?gzcO_6|G^NoWW$ + +endstream endobj 2129 0 obj<>stream +HYv0U 5$VB TPj͛fSMKlg±9AMԪu硿<rL@("Mg%Tt + CFqUڞS9e&,LQ$"LOrߵQu{@vHi@ip21cc4bUEUT۝_ۇsg{t'؅9iRV*>WRfar0@tiSc |OF"o + +endstream endobj 2130 0 obj<>stream +Hb`ڀ8+;#8'7/? 8  +TVUMB]CBNSK[GWOHl9PNʚFAщGO? 6#8$4,4\HM"2*:"6.>NY ) + +endstream endobj 2131 0 obj<>stream +H*YZYX]^[,f-i^YU}WɃ)g[ZXXtz͚Gy,e$\RSY͌ƣa}C_&lBGY\\[Zأr~MgX3N>8sPOsfƊp̓`ʉ,gXVXYקuzNXS;D?qç̴Ȥ>stream +Hb```#Ш"5 adDX͈Ba1k3ve,lXճsp23pxLq bxCHXDTL\B2@'JI+(*)";@M]CSK[GWO -,ll]\T& +8 + +endstream endobj 2133 0 obj<>stream +HIb0M; `'ڞ0yVi/++7fe|TKzwyޤie ILu'Iێu=%->(*N'.M3R:7PU,I*ϋ SU2 7涝kV} XQ + +endstream endobj 2134 0 obj<>stream +Hb`dbfaecccG\<_@PHXDU\L\BRBJZFVG^A^.*ũˣ704261530UV;)9K89xxzy+)9Uh넆GDFE'$&$gdfe:&(8GT54Y$WJUUהfe545Պ+WU745555lj +*L P?.޾47 +( jm[SS]]5qbwT`n + +endstream endobj 2135 0 obj<>stream +Hb`@ Xa^͌` "0"c4##&,!.K<$ R" + +endstream endobj 2136 0 obj<>stream + + +endstream endobj 2137 0 obj<>stream +Hb`řY + +endstream endobj 2138 0 obj<>stream +H  C﬋d  4BDtSl%HQ[PSb`&S:75B + +endstream endobj 2139 0 obj<>stream +H)뭹贾뚫眬絿遘吤揣旨믺rpsu{䈝卢勡宺읭iediry{|䞭DkFlFkRucfghq䅛売c9_;a;b;cEkPtQtRu_~e됣Yw:_<`>stream +Hb`䀑0$X9`edDB2PZFVN^AAQIOEU aB\IB>~~A!na0Ȩ蘘ظxĤ$@ + +endstream endobj 2141 0 obj<>stream +Hb` 3 $` 2.FGY;{'kB>Ar!(L !2m + +endstream endobj 2142 0 obj<>stream +HJ쟮Zy@a;]<^<`_;\<]<^<`<`=cAgDj}@`<[<\<\;\<\<\<]];Z逘]yGf>]銞xWt=]륳|vVsyt + +endstream endobj 2143 0 obj<>stream +Hb`F&fV6vvNNN.n^^8$TXJZFVNNN^AQIYEUMM "% a C MB`753@[ZYB!;{G'gA.n qmb&#=<}` a{ Y 6(8$4,dB#"cb'$&%h#3R32n1 rrԃ0X4 + +endstream endobj 2144 0 obj<>stream +H|A hD+Hv@H+,C6h'g`H_>)lbOazk@2P + +endstream endobj 2145 0 obj<>stream +HX맴膜rgNm<\<[떨}p`|Ji냙rlQoBa냚tiEd;[쵿逗seCa;Z脛wm\yA`ĥxgSp?_;ZvSo6T:Z=\<\„q`y:U#@.M2P1P6V:ZkHb+E9%B'D'D<[]x*E32566&C0N1Q7W8X8X9XnUp%A344 <%B$B(F2Q5T4T`zB\9106,J+I+I3Q9YVp3M6103!>#@#@1Q5T:Y@Z42;$B#A+J5S77;$@!<2258 A + +endstream endobj 2146 0 obj<>stream +Hb`dbfaec@0!"A@PHXDE9 2dفUT54a +!5hiKsd`hhdlbjf7 -,mld4X^/o_?аpqQ1q I)ɡff2i\PX/,)-+ dOhhljڊ$~-Ymu]]=V=O + +endstream endobj 2147 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?]eK4uA>i7myZN'ng hN0]#K/wIM^sW~X柃\M#},ah;)$?\O7}np$?Yp~5յ% $ + +endstream endobj 2148 0 obj<>stream +Hxꪶꞯ鐣촿荡ꡰ熛鑤怗쪷sq쳽鎢c~Nh뜬灘]w>Y ,T\ + +endstream endobj 2149 0 obj<>stream +Hb` + 03 +Vq6v\Xyx b&,*&.M\RJZSTVN^AQ SMYEUM  + +endstream endobj 2150 0 obj<>stream +HV댡yXs4O눞vWr1L遘hFa)D雫{1L!<鏢wPk(C9쩷xnB\43ꛬp^x7Q23딦}e1K84tc}$?1녜ud}&AOi">54꠰}\w;V:챼聘qIc$>7655먶ziB] ;6ꕨoUp76떨g"=87ud~,G8 } + +endstream endobj 2151 0 obj<>stream +Hb`@L,l \<|B"bR2r +J*hjZ:z7042615p +.+#CBQ#"cbSRQabGn^~AvaaaN@M" + +endstream endobj 2152 0 obj<>stream +6332212343344455546577 + +endstream endobj 2153 0 obj<>stream +H EѤ6P*~\g"$U""MZa4Sv5VٝM~sr#;jP8nk1RJŷatQTW + | + +endstream endobj 2154 0 obj<>stream +º + +endstream endobj 2155 0 obj<>stream +Hb`@L,l \<| X$Fu ` + +endstream endobj 2156 0 obj<>stream +HvǑ``aDDEIIJwwxֳ~~NNOBBCXXYʠmmn@@A__`ܿYYZCCDOOQxxyGGHƙggh<<=MMNffgѭHHIaabhhi==>FFGsst෷::;ZZ[yyz99:DDDrrsṹ;;>?ϞiikuuvQQQAAA]]]˛EEEJJKoooڹUUV;;=oopIIKfff’]]^@@@yyyܯ99;\\]\\^<<>OOP\\\㟟lll779nno??@jjjōMMMvvw889ߖeefEEFrrrϺTTUcccAABSSTͥiijqqsWWX778^^_{{|QQRVVW223zz{PPQ334???```һ556>>>DDCαNNN445 9K + +endstream endobj 2157 0 obj<>stream + +   !"#$%&'()*+, -$./0123456789:./;<=>?@A7BCDEFGHIJKLMNO;PQ+ RQST9UVWXYZ1 [\:]^_"`a&bcdefghijkjlm>nopqrstuv]wxyz{ |}~ R{8M?  * }QONDjW>`F =&~?,*4+:us#$aT 3 '"~8n*6 T'"! BN?T`+6/s`a/>stream +H R!ר[t`-WE+brP(rJI;iNW^p6ǧNd29 +bz!=<>>2 / NrFJB }zz6=V3Ϗ㷷No V"r8`׻h4^ +h4uVM&b``0A: $ +őHw7,6 dNg:hH$2C +jz' F@ 0翗WZ yR`0BR FK$IPK@ FeZU׻L( +qBbXErrޞd.MV +D"B`z rrssC&(d2P(bI nt:2+d2lf0J%q\JJOxT*~_"xhښ2 <?==m2Ltz177L0؈l6- +9 + +endstream endobj 2159 0 obj<>stream + +   !"#$%&'()*+,-./01234567%,89:;<=>?7@ABCDEFGHIJKLMNOPQRSTU4VWXYZ[\]^_`1abcI; +deSfghij1klmnopqrstuvwxFESy9zp{y|}~<B(4 %_NARj$*ed2zmSLW2gp{29CacWS?an{|pa l00yguR 3Ʀ1md̈́9N2Y31ʁL|ҍ*%ٺږ0q}|{pyc|Wˣ$p߻} + +endstream endobj 2160 0 obj<>stream +H Vau""Q+nJ&I˥HEQl!DSI0;E\jGjGV>lgQ(W*SNG3`.Z^_k>_*!H F 8ۻTpĉ -+r9 +)H#1VZX,:q҂H$b);ZtJ|zl6XsssSsuu]VS^o`2YTj·jRyx<Nʊ,^*2DafF ><eXls__V{I$\.l8::WQT +E&nX,`##[[77otF[ +p`x~{~~а+J///4͞r=̯M C &9h4nRIѲ~Jb0h4F,g\.tpѸzqqi6p2޶@Y~:E Xlf;<.//5Mc#T$9λB>@ % |>^O$SSӭd2E.Bahh +\A>PU*X,F?88oH$| Bj l6 G"j@[$qx\$@"QnT977lllgbvX,Z-( +r)s + .f[ + +endstream endobj 2161 0 obj<>stream + +  !"#$%&'()*+ ,-./0123456789:;<=)>?,@ABCDEFGHIJKLMNOPNQ(RST9UVTWXYHZ[\]^Z2_`aRbcdefgh']i!j;klmno)pqrstuvwx,yz{|}~1oq 4[N(=OaI'L,2[zRBK?!Yi&XõFƉLJFDѵ +D"ՙQ֛(6*ޗǪ{T85΄8R'IDa=ꉯ +_&Q}]{c.̣-( + +endstream endobj 2162 0 obj<>stream + + +endstream endobj 2163 0 obj<>stream +Hb` LLęYX + +endstream endobj 2164 0 obj<>stream +H?޴ꇅyw~|z}}{xv}zx{y镓悀ӟ : + +endstream endobj 2165 0 obj<>stream +Hb`3110`aeeebdccGfGbGLBBBRJJZFVN^^ATQQI"*)#j@o`h`dlS3s3 KC++k66dq5iiISA[;{qqF + +endstream endobj 2166 0 obj<>stream +Hؙ|z}{xv}yw~~|}zxzw~{y{x~¿xu|wu|vt{Ą}yv~~{yx~  + +endstream endobj 2167 0 obj<>stream +HtiP@I)kғe_&;%MΗ{937&IQKfX'To/RIŷe"Wk[5E^u"-Ml #M$ l놶X.Wn,KT ?Mм\M3m_ovp ~^_ L2 + +endstream endobj 2168 0 obj<>stream +HR@i 6E2EAn {aYo轶v֠9v8 \{d/ |9KQs0O#('lU4ITLNj.~Hn## e|o8Ȑmj6_4rH0!He%E=O(4W_eH<( %[Ǻev%W!g :m_^h + +endstream endobj 2169 0 obj<>stream +Hb`@L,lL 耑C_@PHSBDTL\8$,8"/^%.elNSb&utI`,ml1$0-`p0t"ai0 + [ + +endstream endobj 2170 0 obj<>stream + + +endstream endobj 2171 0 obj<>stream +Hb`LXřYX0 # + +endstream endobj 2172 0 obj<>stream +H B@ZkZЋ1\ph"MkJm]~ xr@$al`z0@e+$(k/0)zWV 0Uݻ$*1r-*4߃( +"l z + +endstream endobj 2173 0 obj<>stream +Hb`F&fV6v4lj!/ ($,.!"*&.ARJZFVNC\AQIYECAUM]SAUSK1 :z +c1DT#6a`c[a + +endstream endobj 2174 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?+[ 'NwS^-F+&~]i?TY#3[FRA" Ltq焓}[gۺWQ~'LwMor|~ؿgQʼu$S]R^>J + +endstream endobj 2175 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?> +YY>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?]?>z>$wDtl{lVgۺ.z>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?P9$Ű,QY&dOeUm]% wӳ>Oɏta~+~?JF˸MAow 2n>.?w~gU/RRjωI|֒* + +endstream endobj 2178 0 obj<>stream +H rC0U[h 8l|2 `~_0 4mW|PVb91Ji65ki^,2v B tAv]'Y'6/9k $WfMA2؋ d˲D}~e! ȱ|4E'TU<>stream +Hb``dbfXY989yxxP  + + IKHJJI ɋ((**A%UTUU545utt:@@OBȘԌ.!.lemckc h$VN6ll.& xy=<сHx` @% + +endstream endobj 2180 0 obj<>stream +H_YZY\a-iXX\_\LVZX(hAz3^OSW=wrלóStJLYYVJҀ۰ш&~JG]][^ԍݜ/{NI aa][cՐVj>dEzDy1m`Z.k٥Ȁqt˘qɕRʂ.k]XJ~ݾ͕ɭU˄a^٧ڶ¿x&dWW%e`ՎȊ*fW-k٦ + +endstream endobj 2181 0 obj<>stream +Hb`d`d``b`Q!@vQȈgD!a,ˆ.lq\~A!|pSl#"cbc`a_P6DK"# V + +endstream endobj 2182 0 obj<>stream +H ‰C@!e!AK:"-aVIkiYm}?1cim'IE|]ך~2 +Tdka҂ +As^f4,I/bݽ>?Պ;> D7F>stream +Hb`RSS_@@PC 3*1[XXZY320B%55mEE؜9aӋۇW =c + +endstream endobj 2184 0 obj<>stream +H IKa@)d޴n-N"PHT +rMgfuCs}/ xxh +M\z.q :xdb&ہR2,ʭVhСMz9ڦ`0ToG Mpv?ۨJL|me ~d@,"'+{CdRl:UH_!s|Yg-@NO*ݏ/y:8UehhYq&ibeAjuIT +!fG䩨S]}n&$(Ԙ En$ܠ7 P`0K + +endstream endobj 2185 0 obj<>stream +H\7PWX^36 -܅F5lqkqywtvuA$EQ}T,F  2c-I;5"G@Q$\Z^յ P|sk;# +Vݽ}渴 h`k(ã'Ȣ t/Lop$I2l'gC^sA%,uomaB*[ʖA~zT +YKj3+{h0+9y3xU7fA-9+GJ + +endstream endobj 2186 0 obj<>stream + + +endstream endobj 2187 0 obj<>stream +Hb`J + +endstream endobj 2188 0 obj<>stream +Hsס²/kVYU8rۧȷ0lWZUx٩ʭ>n\XXSԅݽ¾֢]X[أqИZ^ܶУT~ZV3pܷj0h>stream +Hb`dbbfaec.n^>>~A!&aQ1qKJI+( +*)BD9954ut 9MLfV6m N.n0k=<>~Pq@ `xHhXx!ȨXx\|B"!I)||`ԴL#sryyE0[KJZyU5`円u`qƆƦֶή޾`&O:mf;ooE,]|U׬]~C>D9Mlݶ}]ݷ>stream +ƹо + +endstream endobj 2191 0 obj<>stream +Hb`@LL,l\ (_ + b8vq I  + +endstream endobj 2192 0 obj<>stream +Ht ћqha)1Al M1cW eF+>>P1IAR=G_pnj.J#B%S + +endstream endobj 2193 0 obj<>stream +H r0@HfAd KQQ NGGEQsB,˒RB`)DsA$}gtYĞN 4Rz8 CSM[(Xئ6:.y~ݞϧ:YZl]9K# !,"ay}gM?R1fWu]Y4)20 |723sF0iZ΀3 0!%uz?f^.E}.q,́k}R 0) + +endstream endobj 2194 0 obj<>stream +Hb`dbfX9srrq32  + KHJIJBťedUT85Z:zF&fRRqwpsrfvk{xzyqr A_F& 0(3$Y\3,L:<+2*:&F '$&k&bi$ d:* + +endstream endobj 2195 0 obj<>stream +H| @ė +,DZ= rFp(KJ@G * + +endstream endobj 2196 0 obj<>stream +H I0߈RRM)4!)+R*\!!,YGPU۴1ιvmxs' x1ӲWg4IHF/88)NC;mJiQH)T1 X + +endstream endobj 2197 0 obj<>stream +Hb`ڀ8 +VqvN.n^>>~TqA!aQ1q4mR2r +J*2j,h@CSK>6qC#4 <3 + +endstream endobj 2198 0 obj<>stream +HbYZYXWVX_8r?w>v+j_^^^ZV6rݻͷ˷pי3o1n3p,j\ZG}ۯPӃOӂQӄE|%fab]2osלڻͺ;ϤݽbՐRӄTӆ/lWCyڬׁ٥oיsכrכBzWj֕ӼξνΒ۱mטܶڳ)hl֗V=vU>wG|VԈ޿[!cz٠]0mۮ c + +endstream endobj 2199 0 obj<>stream +Hb``d&f&& ȆIfVVV6fff& 98yx@AjAzED%$e00+(*)khjii%XGWOښl??H=@`PpH1׏OHt@II@4Taa@qLt0gb/(,2g..)up0GD + +endstream endobj 2200 0 obj<>stream +Hi`Sh+6i^jD?2?Yzmc-rRf?ch08ykJCc(?18BEaz>x`V(L"0.ބI0c(9V$ ZU5X,-@Le# }] r/xr# + +endstream endobj 2201 0 obj<>stream +Hb` + 30PΌ]0+;VqN.>stream +H]OPP Jt}輮]&AǽW' G؀Ή44MG8麞D3 F/>v~Z+M1tq8E q7r[ŶyY!{-,%ɹ,K2q`ʲ͎Uc\E@b,˲^S5,}`5 #E}}<[R 4ܧ + +endstream endobj 2203 0 obj<>stream +Hb`F&fVTg`c*ÊM( 1q I)i,2r +t()aJ0kh;,EBQOcS3LqVcs K+LqV1k[;{,2N +t:c0V + +endstream endobj 2204 0 obj<>stream +H0(=8=!Fa `hcHHW^On;ϣuUmۻ ; U˲dF>s]c@y 4TcNLj ғC bps=c|$}kfeQ +Q)q 0"yo + +endstream endobj 2205 0 obj<>stream +HtCPFQQ~]Ktg1==>stream +Hx숝刜夲ehq㞮砯磲Zz`p~䇝刞匡妳赿鴾鳽 $i + +endstream endobj 2207 0 obj<>stream +Hb` 333 +;;'77 #/8 @> + +endstream endobj 2208 0 obj<>stream +H K@0ctLbA\tӻV2"G5Ȩ辞m !ai옜%ǦDc8{?v໱}`6 + +endstream endobj 2209 0 obj<>stream +H D_z i:3M&@- ^PHz- Dg+|c2|~~s!$2s)8Nnq^[5P + +endstream endobj 2210 0 obj<>stream +yw~yx~~|yx{y~}}xv}xw}|zzw~yw + +endstream endobj 2211 0 obj<>stream +H HSa?,u~cp8 CUmZY3OكOP;/B#{6Kꉱ jSq"3Hz` + +endstream endobj 2212 0 obj<>stream +H Qk@_WmuMzjl9czhT;@IE4_uǿl6ۭNz>ƣdL{P99sEQ>stream +Hb````dbfaec  +3  + qKHH@JZFVN^]QIK &Πo`Ȁ MM,,P%mlЁ 8a  + +endstream endobj 2214 0 obj<>stream +H=O@_m w)%֏D[ڥ& +(.uة, $!@C H QQYT( Q3Q10f|:Qgp@@ps/&yAl2n6I(8ZI Ӳ,>stream +Hb`@ \DXXXXspppËf0(XD l/(BŕUU544A.Z:zFBMLL-,-٭m`MvNh͍]K] |UۚɰY + +endstream endobj 2216 0 obj<>stream +HN.A<<~t(jnZH/ (ޡFMws6F>+ϯ.*/^[k/ P>stream +Hb`dbfaaecgggC\<|l@@PHXDTL\BRY2 +\BJUMM]CSK[KGWWO.F&&Bf*l**R`ieeeeckg$af%$*ehdd#,""? !Щ:)$UpIC(;+I + +endstream endobj 2218 0 obj<>stream +H6뾼ÿ㍋{y|z~xv}wv}wu|yw~zx~|}yx~zw~}{{z{x¿ _% + +endstream endobj 2219 0 obj<>stream +Hb` I0ō_@ <  JE%IeU5 u MAFFFyhK +@hjii)hI[XZYjsp03u# @q + +endstream endobj 2220 0 obj<>stream +HK +0[hf$Q +-^?q`{LQȶ^ =P@1hBͨ 4ٲB麞 + 9߶󼌃~7tR1 bc8&w 0< + +endstream endobj 2221 0 obj<>stream +H DAQ콗J:сo8d6f02s2~!%agqMbO`wy)ţQ竻+7ԟY^Uuae$M + +endstream endobj 2222 0 obj<>stream +HQyw~xv}ywxv~}{wu}wv}zw~zx~|z~|yx~yxzw D, + +endstream endobj 2223 0 obj<>stream +HtP  *"2C  +Mnmn(mKe($%|AfrG0ی{н%>)\{q 'dq\Wօ/p^Z+:i# + +endstream endobj 2224 0 obj<>stream +Hm@cC 9Yqz{]s] WWwViP)nɓyN-׵ +Ѳ qYA~#8Uf:NSIX&Oɚq"J(#^F;FtHc4v١se7Ȇ>?]tϼ@xu5p0V_ + +endstream endobj 2225 0 obj<>stream +Hb`@0ĄU'76 ||lX$E&*&.!E,6q9yaEfLUdTH0khj1aH0kaq!c`262c&dn@: + +endstream endobj 2226 0 obj<>stream +H]׹箬 L + +endstream endobj 2227 0 obj<>stream +Hb` +lLe4_@PSUX[T (fq I)^iLEdd`<"3 + +endstream endobj 2228 0 obj<>stream +H,YZWWY_^YpכXԉ_UVX˙ܶLҁ:u>w=v*i_Zط˅٨yؠ{آzؠK$e#e%e bۭOӃNӂPӄD{&fa b a]Ă٥٥٧pך@y6q8r7r"d٤nטrכoך\ݺ۲۴d֑?w&gɗܴܱ[b֐a׍ڭ u + +endstream endobj 2229 0 obj<>stream +Hb````dFe/3! d3"8@̂E;#+;V[88yxYxyYP  + !(.!)%-#+'( 7HIYEUM]CSK[GWO L]\=lYY=BĽ}|YA $4,<,"2J+EbbQA<,1)Y !f + +endstream endobj 2230 0 obj<>stream +H|[ ۹PUKG>Ÿ M^xI`OE0[%j8 {U\V۬M7W + +endstream endobj 2231 0 obj<>stream +HI0rbj=B$t6YBN@ɟ)WC<|''fO6& + +endstream endobj 2232 0 obj<>stream +HO +0zcTV+'aìAe ?Mڌd%~M}3( + +endstream endobj 2233 0 obj<>stream +H ORa0_ЭteYMDs@AYak6mZsT֖]릒d1|\?}~}Q+LL,1]cl`5}}81IdbQ`٬]ϯiP? yV]~.?h;%dFehdFu島^崯^g| E3Lc裬VԷKFqϨTk8v( Fa!ɝYfsj2mjb!].ycR][_&ˆ_6&QS |ه}z@C_)/At5vc9Kܧ6aܔp_̇eo FcO4IP~l ukuOmDp[we! G#(Ɍ:u( &Awj5Zܠ6[(8'I ~FQrFP?>sf,4<9~"*"!rWmjKxv燤_b_ + +endstream endobj 2234 0 obj<>stream +Hb`3bgc`&̇!/ ($,$"***b>stream +HQ0C_z6R[? =(N"顺i;~Xu~ #) ) + +endstream endobj 2236 0 obj<>stream +H Oq?7[-gqw%1J/5XX45)isnMI0op|Gt=c$h0GU4EcSݬ֭}K;B3 »1kH4}JBy,%ˬVֻ뛽F[l69bnv8.\j?FR8<}4KE#Sb,[b:?/ͪխf^6(΀l?@QC&4 j˩]!C"3tbib&4X.w7ͯ[&Uk=A: Wyx ŒBvPugPCwx8Id }@SE +ml?Ao@>vHvKy^AٵMc1Cz (P@~_' + +endstream endobj 2237 0 obj<>stream +Hb`dbfaecWPTTRVQUS70426153wptrvvqus7 + + + wOHLJNIMIKuˏ(`.-*...)-++̮khljllnIIIimkkqo撒 'M2k4xH9ezyfϙ؈ R;::慆U75A,>}z椅M`+ZTVbXnK LF" @UR4]MXF + +endstream endobj 2238 0 obj<>stream +HfW,jz؟V6qݺU@xWCz&gaՏj֕٦ݼ +J + +endstream endobj 2239 0 obj<>stream +H0Aa2&)⍞;0q4s򢬔Mkw0*Eº)uKgmx>  + + +endstream endobj 2240 0 obj<>stream +Ho쎢捡撤ru}喨榴磰᷿gkv䀘䆜农䅙ۣ RW + +endstream endobj 2241 0 obj<>stream +Hb` ȈU8++;'7/  +8@v_ + +endstream endobj 2242 0 obj<>stream +HҸ*hVY̴)gz˜#bW̩>stream +Hl@A`Jqww]y]$a?:f&EVt 8u  +S"ј P+ $ҙ,8\PTT^7-Nק>Ɠ|\7ĥn8Uv|߫-_ > 2L + +endstream endobj 2244 0 obj<>stream +H]OP%)\YNsZ !J/2C;kGcX${o{qR[84*PBN|m 6#4kRy&ς Aot-JĝÎ8z gSٸCBU۞X1Zdxtx4|Q׻QeY6h$0ʶj7<_2Mr$A b BQ[.W\=y㺅UP4 3 + +endstream endobj 2245 0 obj<>stream +HlPBDSdDvVw{kpwNan?;]f<xY R(16*8 +JBNlNP, BWkFt^)c4a2͍V7&qB_owx?:  + +endstream endobj 2246 0 obj<>stream +H + KYV )x *m&㦇Gy gYPpڬA cY^! ^ + +endstream endobj 2247 0 obj<>stream +HkP^O[QNrE)1"tg렵J/Qt^{Z,`|>?u"2ih4}?N]\fβO>f%cDAiv;D nHt]sש"A٬4- +8 Cb~I55aU.I<,&6ryz),&tid\qG<„F )c? +K T*+a9" `aov랆V 0۩ + +endstream endobj 2248 0 obj<>stream +HdPPg(vww3.Թ,A|I2BB&SFb!;ˍr>?œ/1&HbJl._ E]\Wk\lv!#r=apY 0_,W Op>stream +H/ձFqZYYˣDp෱X{,eͬv%aXἹt!_Wءu#`X˚r#aɤj!`pBp]X[|(c[ܱKtXΩMuܲz?oؙ.gțx.f[Ǖ&cY#aW + +endstream endobj 2250 0 obj<>stream +Hb`@F&fV6vVv @ffgcgGdgGWPTRVWUS7#SA IzVV$q[;{G 'vT]\=a0^>~@@hƊ>8$4,<"E}CBLX\>stream +H~<[<\;[=]?_;\?_Cc;ZDdOm;ZIgWu9Y9Y;Z<\:[Qoh'D'D1P:Z:Z9Y<[Rpi:;,I7V8W;[6U5T8X>]Vsj 8 + +endstream endobj 2252 0 obj<>stream +Hl DƵJ]ZB#y{&CHA$EFlW9i5BZhr#9܉ҌuOl%;]Asd}v29bID1K"X7ۢ<3t9w+`R0 + +endstream endobj 2253 0 obj<>stream +H|O  ̥yki ڠ`C{^6ҕWw B#^7}n(Ȯ"2`14 + +endstream endobj 2254 0 obj<>stream +H ]O` >V[u"'&5ÖV K!/h.CHv׽ P tt +7X4V딇nrOӢj%b 1Lr  +*t"ZRiǝլ`B!{ k.};k'msRXSz~6I/?fozˣΗ" }];^~Z+rո$֩`9 + +endstream endobj 2255 0 obj<>stream +Hb````#&fV6vvvN.nn0/??PD022KHHJI01g@< +@nLJLHPI1"0XPIIIYE CQ +jh455utuP MLQ]jfffnaiemckggg98X8::9{x"ͼ}|}C Y + +endstream endobj 2256 0 obj<>stream +H}44;#@#@(D2R4T6V:Z8X.M;876&E0P1QKiiq22687!=.M1P3S:Z)G1,,*=+KPnt11003!?#A'E/N*H:*} (y &x"t."?3P[vv22680/.){ 'y7B]c}u03:5/,} (z:Nhj10.~,Gg322Nlx#>A\mw38R[urC^t3/JZtuE`ev4*E`zr.d~41Lj)DHcn43NTop # + +endstream endobj 2257 0 obj<>stream +Hd?BQq)D6docq73"( +su>?דae펬gn+ܭy +YjsB:(` dr#:Stzfv?EXZWVC?.=,ͭm#c޾ף1ĸX8:>ai 9O~./^]Kś[`pLyH<*F!<<=,iƔ';Z/Rr + +endstream endobj 2258 0 obj<>stream +H  &>3I%AZlf+WluOJ)BcRaRES7U +CGRJ=W۝!ĭrb@Lg}M`9yc + +endstream endobj 2259 0 obj<>stream +H7 @{C콠'f)BK db$erҢԺ!o~ yuֹO3 + +endstream endobj 2260 0 obj<>stream +Housrmb~`|_{PnFeBa>]tqlkjXuKjLjEd?^vvia}WtNmkcvpiuuvvvvvvvvvvutus kU@ + +endstream endobj 2261 0 obj<>stream +Hl0Ju-N ;?&oZY;Rv{J@|ZGy>t6?c`!r)Z6e}3}=>stream +H B@bۑMKcN?R+}4u]W~|>yP}8u8|X̊8I!Aظ{Uє9Po0E<)2q۶}>  O(Hc|Sla aL( +TԗS{.STB0 j c<۲ Ry:kdlR04TYCm!7.8NRJBal[8Mug^mK\l`7 + +endstream endobj 2263 0 obj<>stream +Hb` 021cfaecFBE('ª.5PSK[GWOOPTДG$a&nnV6vN qugs ktust{ZCAY#иPH?k4` &laœ, I'[b0%5 + +endstream endobj 2264 0 obj<>stream +HiSqVCdG&&2yG81)lF(2pYvawYvx0xKy^Q% -[fJ[ W6;BJ4Q$ACIa=l 6&1&j>GDޙA?ʚ|Ԃb +V弆Cd;FqVve8 ;*Ȣv #)”gصɨ` kw (8ɔw0=cUT4L!apj*Ҵ +y]l*uj5CQ +Uۀ1Lsbyar'o $ep ŀ0``ׅ1ϱ@L`˦δTR;{˲ll>=3illdUbjAGivwɓ7 #+ҵSؒpXE1Fu5m<މX =%pL'YZ + +endstream endobj 2265 0 obj<>stream +Hb`dbffaecD\<|B¨"bR2r +HJ*jZH:zF&fq KKK+k[;{G'g {xzy!GDFEs ԇ'$z(%% +gXefe" +KJ+*5u M-mмή޾ 'M +O7m fϙ;oPE@K.[bk֮sm۸i۶Ωvo۳wߜ*aa + +endstream endobj 2266 0 obj<>stream +Hi0@HY[L[ +Fc6a)@ 8_qӃe9#!cJ'8IØyey4";M mij+)jU?]Btðyey}׼LӂHJawQ)E<2-Xqm[[+Ѩ :gBy#x뺎Up40 +M?z + +endstream endobj 2267 0 obj<>stream +Hb`ڀ8+;6qNN.n^>~4qA!aQ1q4q I)iYY9yfFfEqq%D\UUURBFQ\,vFNN.nq8@4z + +endstream endobj 2268 0 obj<>stream +H@ %|s-MOssBDMqu>stream +Hb`dbfXرsprqc&. ($M\DT 0$Vq)1XEYXdpc7e + +endstream endobj 2270 0 obj<>stream +Żú + +endstream endobj 2271 0 obj<>stream +Hb`@L,l \<|h B">stream + + +endstream endobj 2273 0 obj<>stream +Hb`   + +endstream endobj 2274 0 obj<>stream +Hv )@ZjvCYI\Y^Qax^@~?4YSȥrw]g^mcRj}1&Ivǿ|># +y*Їvo겑m0 /8XoXlxYRJ>,#lh[8+dū(HPIfu]wZnBB͈9vI~l]X 0 + +endstream endobj 2275 0 obj<>stream +Hb`dbXX991ĹyXyYQ$ED%$ed + +J**jZpqm704263Bk˛[ZZY" '\݃SO{WW fQ} }K77 + +endstream endobj 2276 0 obj<>stream +ٵܼ + +endstream endobj 2277 0 obj<>stream +Hb`F&fV6v È)/EAP( +G6`i + +endstream endobj 2278 0 obj<>stream +HےC0ڕ+([(1ǡu~],4|&iBMnQǦ!|%m޲oۧa,dB$aۻAJ-)eSkUub &I2,e3qR|1ƊPe+VUxуǡ|A_Һ + +endstream endobj 2279 0 obj<>stream +Hb`ڀ8+;6qN.nvV6^>4q~A!aaQ14qq I)iiNY9y6qE%~eeU5u M$q---mm-]=A}C66#Mc18YXYY۰ŵ] ]=8< x5 + +endstream endobj 2280 0 obj<>stream +HRPkjrj+FƦ" zA siwU〈/X XaLN='lN$yN"Z}QA^xmұ|JCVtӳCYMÑJ}aT@o9Lu+R$ Glcnb)wW-D&Y];S6+ev}5>stream +HlYPs +ҵ%'=̙C HXd +%$Si5D8/K(W n 7v:xǑ̵?Gx2a;_,%fgn8*w 7L3tnc % + +endstream endobj 2282 0 obj<>stream + + +endstream endobj 2283 0 obj<>stream +Hb`   + +endstream endobj 2284 0 obj<>stream +HBPJRNӉl^<o޶Tm&ώУlzOsT[\˛;G,OS#}$] +Eap3-ӛ?Y4=)٣%Q._[PrDO1;]]\c8 .X_2t + +endstream endobj 2285 0 obj<>stream +Hb`@F&8;'a.n^>,B 3b(geƴEFVVNCQAQIYSYUM]C.M-m] z*\Fj&-,1/cmőX>stream +H +0@"+Vyy\ö)}ճI c~Vc%H;EP,(g*09#:alb2^zyzqۈwQ>ߺiBk䨗&g + +endstream endobj 2287 0 obj<>stream +Hb`.8 +;6qN.n,:xx`ggfQPTUfgSQUSD + +endstream endobj 2288 0 obj<>stream +Hb`qH + +endstream endobj 2289 0 obj<>stream +HB@4L7(-Zwj7[/~2jin[Рǿ$*2RGY/3֟*Gkb]; P9p J +Ğr`xӰu&jҨ4N0"1w<ؖ*bw + +endstream endobj 2290 0 obj<>stream +Hb````dbfaec`@\<| |B" bRX$ed1l``RPSgPVQeS&ɏ$@ ]=}Xu0az + +endstream endobj 2291 0 obj<>stream +H$춴¿}{yw~{y~zx{z|zyx~yw{xxv}Ŕ~|zw~yx  + +endstream endobj 2292 0 obj<>stream +Hl @YBDBaIMrv9"T/J3̛ɂ\DX"tTPZ>hXkӝn">J@SIf|\)jP*5 [0vL(/&FM ?fmqT,|X7Dd\  >stream +H9樦ᖔzxxw~xv}wu|vt{yw~{y|zyx~zw~zx~~|}{  + +endstream endobj 2294 0 obj<>stream +Hb`833 +q6vNFFt}\<ܼ|̨\B"b(%$ed9 +J*jrrrbp@ +o`7B 0241437``g׀K-++kcS[m;{{>Gt + +endstream endobj 2295 0 obj<>stream + + +endstream endobj 2296 0 obj<>stream +Hb`F&fVl(05$ + +endstream endobj 2297 0 obj<>stream +H@JZ"GkQ1SSF#IOo{h~IH=oU(5g3915e:bx_Ut,ILUy"neS@0J0u^J3Oj ,=۵# Q*M=7!ꊁ+ˌMâ$?>}˪ + +endstream endobj 2298 0 obj<>stream +Hl0EiHL)ؚGս݇_(|A8?TRQ_ Ю@4[m = {%TGa"zdLg+'b:l@ECz3{o8#} + +endstream endobj 2299 0 obj<>stream +HI Z[ 'L)R [7-dY#qqG|S*OT$s. + +endstream endobj 2300 0 obj<>stream +H + w=DmIS+V:օĐXE +dtEU9^ӲDŽ-{xJM#. + +endstream endobj 2301 0 obj<>stream +H|[@BzFQ PQXJȎg"N{sd<KY + +endstream endobj 2302 0 obj<>stream +HYZ)i?x@xw>stream +HtM0LprݽPiCHg`gr4`fw8]n#$H4O$SL6'IyaB,rZ7v#L$h<拥ϰWv?O ⡉\ozН7>stream +H]OPp"_~ +fJ-*`QA-gPQnEwꞫ D0iDZ7}~|)U%13FHd>́O~ea^c%{{'Es P*Jdj!CWzTo)^A~_F ز,oR (FU-fm9rIŐgqv;OVQȱ~})J7MSaBS$n/a + +endstream endobj 2305 0 obj<>stream +Hd@@QP11, n:.A +JB?WkN@Mf pj;./s C|8<d +4|sX*W57b 4 l#iG豓x\}$ + +endstream endobj 2306 0 obj<>stream +HtQ!BmK%3?y:@6k(b?su1j4ڞs|ygߍSWN"#kO + Yn + +endstream endobj 2307 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?pE9.vVih}{~C19v|y>TkFZXpmY4a8ÞC~LŪʌRTYC8н!_3$s0aؿ@_?R_?$ud + +endstream endobj 2308 0 obj<>stream +H[@/?l!´)Þ5# cY uI/ph$k@1p! 5 _j 0#;1 + +endstream endobj 2309 0 obj<>stream +򐏔鐍萎 + +endstream endobj 2310 0 obj<>stream +Hb`dbfX9srqcf*/ U\@H(Vq1q,؅Y$q`9Q + +endstream endobj 2311 0 obj<>stream +HCtљ׸ί6qG}E{AqV{_aa]2kj͒|ўtŕzYXX`8s@x=t>mZ~{~ZY\ b#d"c"`:oWɃYɄV}bYYa+k,k)f0f^…|ƚzØ{ZZ[\Y3mӨغƪþXWVU%fiؕ~ݤs̗s[_``\QʀqΖ,j;u!b 4 + +endstream endobj 2312 0 obj<>stream +Hb` 02`faecggDBagEWPTRVQUSF70426153J;8:9{xzy{@%|CB#"cb9~vI)iY9yE~pP\\\RZVV^QYU]S[ohlljnimk|{z'aV}Q4vO0J + +endstream endobj 2313 0 obj<>stream +HTϦ˥Ҹ͹ OD + +endstream endobj 2314 0 obj<>stream +Hb`F&fV6v ̓!/ ($,!.*&.! +bA L + +endstream endobj 2315 0 obj<>stream +HTzўt?x>stream +Hb`3 v V6vNN.L n^>~AL !aQ1q III)i Y9yE%eU5u M-m]=}C#cSS3s @  + +endstream endobj 2317 0 obj<>stream +H`뼺ꗔ⋉zx{yyw~|z rH + +endstream endobj 2318 0 obj<>stream +Hb`31asprq`jcbEf@###+#'$̂HTT54utȪII + +endstream endobj 2319 0 obj<>stream +Ht + :T+H:Tp`3abQ-zb|7|^k潍"JS"BlJC6= + +endstream endobj 2320 0 obj<>stream +H딒ꈆ|zyw~xv}~¼{ywu|yw~|zw~yx~xv~σwv|yx Rj + +endstream endobj 2321 0 obj<>stream +Hl0DB{*^{#ќdzw,|{q} (I8XD&IIg|PQ TTkl;ݞTu}8a"̴BzjĞ҃eg.AUz=)nຽ3Z/}` s$R + +endstream endobj 2322 0 obj<>stream +HY[%f-k,j*cFtnŐsƔpZ_ b a^-gCvExBrRzƹĽXYXVU^]׍ݥuЙsYZ^``[@urǓ|WW.mY·^b~i]!c"`!^(cK{[]}\3p>p?l`__X #ԡ + +endstream endobj 2323 0 obj<>stream +Hb``dbfaecaQ1q I)iY9yE%e8)103[XZY;8:9ŁU7g0b?P$s`@%,<"Q1h,*0U9.n,5 Yp! 0`z + +endstream endobj 2324 0 obj<>stream +HV0NrGEB"!B"")!ZнYu'In6BMS=겍B2ӌP次tV0x^ǨߝH2|e5m3R~^ lLiYfX_8|f +E?n0,D" T-^čJ$ Q]lk^yeё/= + +endstream endobj 2325 0 obj<>stream +Hb`F&fV6v ʃ!/ (āCXDTLH 6& I)i qY9yE%eLdUT548OK[[9t 8C؄CL\9# KS,NᳲMG-'f]L4M  n + +endstream endobj 2326 0 obj<>stream +H@0#cH5 C% [vcK9{//);fDwNk']ϊCj\ȉx'qel>FYv] +ӰFfy6pv#80>^̅2˺xA/S-2d^=n_? + +endstream endobj 2327 0 obj<>stream +Hb`F&fV6v0!``Ged@bRҘ2r +2\LJ*2Մ1ā60hh+ȱcJ `70426b9@:,.U $ + +endstream endobj 2328 0 obj<>stream +ŭۺ + +endstream endobj 2329 0 obj<>stream +Hb```dbfaecg@\<|X0E1DDx0Uub ގd + +endstream endobj 2330 0 obj<>stream +Hryw~|zzx}{ywxv}wu|zw~{yyxyx~zwzx~ E' + +endstream endobj 2331 0 obj<>stream +Hb`F&fffV6vN...n8/  + q$ಒRl2 BW^^APHJII1+a! A +'*1A䈑QX  + +endstream endobj 2332 0 obj<>stream + + +endstream endobj 2333 0 obj<>stream +Hb`]8 +Va6v\$Cj 8###V Er + +endstream endobj 2334 0 obj<>stream +HxѯҨܿéƠݟٰӺظ罺 _ + +endstream endobj 2335 0 obj<>stream +HĎ@0XwPf1g",EI+U7m4r3aRBhXն:qN_'1T&N:%o 5W + + +endstream endobj 2336 0 obj<>stream +H jP޲uxFE 9_ANH0 }3蝲ݮ7q[4-]ח?N7MSUU]_FQDcЋISLfAݘmkڗ9,m,\0Lk4^?qb~;*U2qYyE$ !{}:J̏ + +endstream endobj 2337 0 obj<>stream +Hb`dbffaecA!!aQ1q I)iY9yyy((*)kJhjiH=`MQI E`]! + + +endstream endobj 2338 0 obj<>stream +H kPzS{O&;A.k..[ŪA1B?>FAa(!aE_+ + +endstream endobj 2339 0 obj<>stream +Hb`@ 83r0@ePlPōi #//0#-"bR2rrrH@ŀ"#+o`hdl"g +T ,,%m΄K;8:9rq!KX`p>stream +yw~yx~ywzw~zx~zw{yxv}~ˤ + +endstream endobj 2341 0 obj<>stream +Hb``#!PDU+gsprrb;|O@PHXDTC@F> + +endstream endobj 2342 0 obj<>stream +HWyw~yx~|z}~|yw{y}{zw~xv}wu|vt{zxyxzw Qp/ + +endstream endobj 2343 0 obj<>stream +Hb`F`bffaecf ebba@bb X)^ P]8$0%'Q Tk/s"DpqS + +endstream endobj 2344 0 obj<>stream +H =O@-w|4:դZڥ82ƞ@ 0x|BTi< '2eq۲#rDĺ(;ƚ>#8mv]$)40 c4E+0M0 }>stream +Hb`dbfaecggn(` %TT54ut@TBAXZ1[  gNXć0* q + +endstream endobj 2346 0 obj<>stream +H[kP]^9k^Zm\Db +A>( h}x}c ֣~H``5EUՙBYEQDZ8lj0D! HⰮ<ai_6~x`zrg4SI^G1M~j߲)ẁcny{t:alr躮eYm}b۶UUeYyN4}i$I `M + +endstream endobj 2347 0 obj<>stream +Hb`F& р  32#! ,@<6&&vf@e`bB_@PHXMTLLL >>I)iY9yE%2khhji3@GWOE\ҚY98:9;I{xz)coo_LqU ?g + +endstream endobj 2348 0 obj<>stream +Hۢ{ywu|yw~~|}{~}|zxv}Áywxu|vt{yxzxzx~zw~yx~ j + +endstream endobj 2349 0 obj<>stream +H|@FqAu]Y;D[l1w'(23a_e-Ym)8]nM`>/ HT]B$q%TT:"D"rR^(rZPӴx4-1ƅ!}}0 Ȩ7,זxV6Wx 4Y:edOY~r`e+ + +endstream endobj 2350 0 obj<>stream +H0鵲}{yw~{yzx|z|¿xv}wv|wu|vt{} x + +endstream endobj 2351 0 obj<>stream +Hb`TL,spb`a@H!IH+(*) Ihhjji+*+ I%//5#L ltm]ظ + +endstream endobj 2352 0 obj<>stream +HtI qh$B&8 2>"Q PGa= * _"U::6tKx g=`B_ + +endstream endobj 2353 0 obj<>stream +HA1?z68l\2 ,%0XO5yK>stream +HtOA j+0 10$u?s7x~4^&U)ɩXm'y˹m NZ + +endstream endobj 2355 0 obj<>stream +H + :H&63,`>߼)*_u\%7"E=2*R%Qa ,4 + +endstream endobj 2356 0 obj<>stream +HI +03t +%ь +9yTս)D Ina`% + +endstream endobj 2357 0 obj<>stream +HO ?:[fdr4BQL&J^MU6ŗ9,H8⩓Ҹ_LB90 / &;fK + +endstream endobj 2358 0 obj<>stream +H| + |uNhъJB`78:C`OD*$e 0$* + +endstream endobj 2359 0 obj<>stream +HlP!%he%>stream +H[]|r>mj´YXW U8suڞ|ݥpYY&fBzE}AsMu|ZXWV^LԂeؓ]΋\}{\4p>uw]_ !\ + +endstream endobj 2361 0 obj<>stream +Hb``dbf&΁M_@ŅED%$88edUT55ut ML-, 6ZvN.n^0qok__?а(8hE'$&%C/#3+;'7/@ć)).)-+F M-m$PMQ{G5`c `!>f + +endstream endobj 2362 0 obj<>stream +Hf׸D{@sNv}¼_](ddΎ~ӡvǖy u + +endstream endobj 2363 0 obj<>stream +Hb`#3 +8;'7/882L 4 + +endstream endobj 2364 0 obj<>stream +栝텂 + +endstream endobj 2365 0 obj<>stream +Hb`FFF&l,L@.͍,' Ņ #Q + +endstream endobj 2366 0 obj<>stream +ʧȞѮ + +endstream endobj 2367 0 obj<>stream +Hb`dbfa98H `f$6 VVI#T + +endstream endobj 2368 0 obj<>stream +Hi`llɑYsRr+]Jo}x/FκX/9QXnԋ?cǂ]oQw#)$?b?uLWmKՃ|]>` uVicBiC&MBe{VP'$Iݽ|fG| 2nO s0ȱi + +endstream endobj 2369 0 obj<>stream +Hb`@F&fffV66vFŀ x0a.!($]BDT q ⌒RXf*! $%eUljZL6142615Ő03250j + +endstream endobj 2370 0 obj<>stream +Ӽ΢ + +endstream endobj 2371 0 obj<>stream +Hb`@L,l \<|BB X6 q +0 + +endstream endobj 2372 0 obj<>stream +HkOP\S 5hĀT|M6E;g|߉nJ\&ϱiDѽ^x<~bL4bDB~E$(Mӂ f9,:i8,$;Glβ~t* cQ(.Wsި> iWn6`H"Ea-3P(q8jٶmLf#ypeD&o6[_;ȤETUVVS, +d`AP/& + +endstream endobj 2373 0 obj<>stream +HdPEaTk ( + +b+vawL3gm0dVʆp̽>X̃p$C=9/HrQ7R*WzIv K`8> 5E6GKWv\<ә./]pESǿޟ D + +endstream endobj 2374 0 obj<>stream +Hn@Я.0Ze\ch$BmEb HTCb,`߃r p2'8I$ Ȧ9XSU"K͚$l6:G+cp8l[01t)uXb^UUQyntZ~Gñj5x߳,s]۞6 +aEad_.R)FZʲLt5}OL .Cv 0jı + +endstream endobj 2375 0 obj<>stream +Hb`dYXYY!L6&$%EDErP &.!.)%-#+',ɬ-""1It M :*jfVL6 93[;{G'g'^^d W7w O/o_?Vt$!trHh(@۪1 + +endstream endobj 2376 0 obj<>stream +HBPп"ϦZ$DASZz94 i +pDp<{Q%I4MOe9㹮o+8g~GqYUU5MSvomv3㚦YPW M5tTdca]׶m]7y8:8 _xr9 + +endstream endobj 2377 0 obj<>stream +Hb`F́13BD 4]0 ơZɄ $@*aeeH00S_@PPCBXDTL\BR[Z% eUT؀!Z:zB$ ML-, ,a + +endstream endobj 2378 0 obj<>stream +HW⸷~|zҶ~|}쨨 X@ + +endstream endobj 2379 0 obj<>stream +Hb`F&fV6v6N...07///(&"(%̌E[FF(@G + +endstream endobj 2380 0 obj<>stream +yw~yx~zw~ywzx~ + +endstream endobj 2381 0 obj<>stream +Hb` 0LX`|t3#b0P fc׏BLcp8,8`P+ + +endstream endobj 2382 0 obj<>stream +H'yw~xv}}yv}wu|zx{y~|ywチ͍zx~yx~zw~yx э + +endstream endobj 2383 0 obj<>stream +H|B`eo#_B+I6-(Q(?癙3t&%(rZIADl;^_CYQd/@Fx2M#dsu- X\om*c,|8/nSmz\|U J|G=X|ߧ]# + +endstream endobj 2384 0 obj<>stream +HOkPO|O}Ob-C#mAm Eh*>0Xó4O. CMUU[,^Nq\˲]syxkBHY\>stream +Ht0EѠb `A^AFOGu.@i> %WDx,^Oҙl._P%XxBVkF%m sѺ 3GcA043zujl}# !qH&OJv۝쎇cO)- + +endstream endobj 2386 0 obj<>stream +H C0ώ<$TxTmAMfk,8&6P( j C4yhP WU"0HcMӴm|A\'&Fl۶yqao]:اK,eY[J%|ܟ9y]׾ﻮSJH!Dv?g + +endstream endobj 2387 0 obj<>stream +Hb`F(`-1 r2V6@gggDiaoB"b,,HIJJJI+(**! *jZZ +(:zzF& `7K + +endstream endobj 2388 0 obj<>stream +H괱ퟜއ}xv~xv}zxyw~{y~|wu|yx~~yw  + +endstream endobj 2389 0 obj<>stream +Hb``%K.V6vN.n4 ^>~>AnLB"bRҌ(+(*)20TSWWД֖70K%*H#8PW2wppd ;Ig!!Y%W7v=#J]DDXUY9/o + +endstream endobj 2390 0 obj<>stream +HOP=g"V̘%,K&Ts&DpӜ_VW^γf"ωR|1~?,=_UtM̒`Ts +(dFes yvv]Nw$hA[>0@`T,?Y RiIDB Nø^k8PZ=&S!8ͺr2 8.ϊz"c:i붝J$K%Mr"Nq6_5EA,{ 0e + +endstream endobj 2391 0 obj<>stream +Hd@@Ѭh-jG(!zo^%o@CRV߈Hh(fg:]nA0|$ t&KKX€-W5SVz(`8O DŒ/*R{IC(TElwx:r|# + +endstream endobj 2392 0 obj<>stream +yw~yx~~||z}ywzx~zw~yx + +endstream endobj 2393 0 obj<>stream +Hb`@@Č! ,(\6E\8VCOcpicDxӰ{ p0Q(^ + +endstream endobj 2394 0 obj<>stream +H;o@*T)pq#>X M:;EEH0'j`AQmYʶ jؤ8xcAq,$I]"d9|@[*ρ,lK4N# Pú +\̗i=2')s0 ˲bxEC}(Nnї| + +endstream endobj 2395 0 obj<>stream +Hl0(b! ++$[绋d5=/@*yTT 3BٲV6-^to"Wh<"տ&7і?)?E vu !LzSsbk + +endstream endobj 2396 0 obj<>stream +Hcʼxw}yx~ֲvu|wv}߯ J + +endstream endobj 2397 0 obj<>stream +Hb`@F&fV6vN.n$a^^>~A!!aQ4 &.!)%-#..+'&&&.> + + +endstream endobj 2398 0 obj<>stream +H kPzS>}KeRc$ 5 SD; +)D"YYu + 3AZ91se,M(D4M$V#e82<te$s|8^ְF>B:˲kZ.GHǮVUiv X,hO)>stream +Hb```DL, `13spr1kBB ( // +7044406S3sss K=+kMyFF;{qptrr6qqusSt{y{{ispcLQqP-0lĩ  % + +endstream endobj 2400 0 obj<>stream +񣢤 + +endstream endobj 2401 0 obj<>stream +Hb`dbXXٰspb*Ë]8 9 + +endstream endobj 2402 0 obj<>stream +HC=Hr=It=Iv=Iw=Jy=K{BPHWIXHWR_Ҩ>K{CQIWJYWdȝ=Hs=Iu=Ix>KzCPGUHWVe}ۮ=Ht=Jw=Jx>L{GTJXJXIYiu¿Ƣ>L|GTN^uᵲFUIXL[Yhٞ=Iy=Jz?M~FUM\esә@N~P]n|̗ESIVJZZiΥAPHVL[bq纸BPIWGV^lңJYxޱ=Kz?N~CQKYUc۩?L|HVR`p|Ԙ>stream +Hb`dbfaecDBQYYEUML]CSK[GWOP".ldhdlbjfnaiemckg/ `2bqp4wrvqusI(1%}|CBa>Q1q 0I>)iY I9yE%ebU5u  ݍM-m]H0vdd)SM1s9s_$0{%K-_r5k׭߰q-[ #;vڽg}Hw0Z[ + +endstream endobj 2404 0 obj<>stream +H%zxxv}yw~yx~zw~~~|}{̶|z{yzx~ʜ}{~yzzw}ywڱ~~p|y{Ժ`tsk{vxv~xw~xwƌϵVpi\~oxvWjʸ`ƩRhukgm|x{ai_S̽flUN[}wxutvvgg`ZedִJ֮BǩQrtlmޥybgdaffVRZǾnbSTcHP_cbfhfghP<=£>u*˷EKJZiiha\\q/\q6=<>stream +Hb`db,̬HlH2XT'#T'*Af/? #zaQ1qq II)i$aY9yEaa%eU5ud o`hhdlbj3s K+k[[;{{SS=L\? 0(88$4,<"2*:" V_PXT\\RZV^QYU]S[Wohljnimko,0qR)SM1s֬s윿`K.3[bk֮[a͝[n0Q + +endstream endobj 2406 0 obj<>stream +H쬩|z~|}{yw~xv}zw~{yzx反wu|yxxw}yx~zx~yw + +endstream endobj 2407 0 obj<>stream +Ht0EmbCA 6{*X<ԑo&370,t +H 0T'dJŴg|!_YVn80R,Tjhjjt{iƓiWku>hHlq AW`&\zcY1>/ɹ{<4k#*i/ + +endstream endobj 2408 0 obj<>stream +H S_\ݕ6cLy%#g-fHtQ-BKL~B}?O GR4c.gNKs9/=$%6޽+B8\/x=`?z1L`_a4,˷]bq0/RA=M{x=+:b$.MNFbiK8nCQS^Ҭ땷F5ߋ éUN73yoQ7>dzZ ' ZUGe +ϙb6/jk+\< +!6ITM>OVXo'O*Ptj BTxc -l0a6aV3Ch2I2mVMR`2f + +endstream endobj 2409 0 obj<>stream +Hb`@L,l \PM~A!a'EE'$&% +gdAV@`vv`Nn^FAAQ@@aH. ʨ=jj + + d3K + +endstream endobj 2410 0 obj<>stream +Hryw~ywyx~zw~zx~xv~xv}y~|~{zxrt}~|zӛ˼xwϯ}z~zx{wzyzw} lR + +endstream endobj 2411 0 obj<>stream +Hb`d@L X#vaLψMRD$.ͬlllX98880bcE/ ($,"*&&Td<R(*)khhji  + +endstream endobj 2412 0 obj<>stream +zw~yw~zxzw}{}xv}ywyx~ + +endstream endobj 2413 0 obj<>stream +Hb`DL,,l\@78///?#aA$!01@hB>@ +b4"pn0z!+ + +endstream endobj 2414 0 obj<>stream + + +endstream endobj 2415 0 obj<>stream +Hb`qI + +endstream endobj 2416 0 obj<>stream +HےC0$I(ڥ٠]&%q]۱gt#*>ϾB!~+e\ȘI:X hH@ERJ91!D~Tih} ơ4qN,ڌ+F ͢ + +endstream endobj 2417 0 obj<>stream +Hb`dbfaecA|B"bR222 ^ WPTRVQUSЄH69DZLI hi:9{O^/o_t &2&: + +endstream endobj 2418 0 obj<>stream +H{崺㑖pt$%loTWGIACACDEFG"#ilIKԖϒճ Y + +endstream endobj 2419 0 obj<>stream +Ht0A{V<5)uݴl<Ƀ0B4#ϋb#}|ۏ + +endstream endobj 2420 0 obj<>stream +ļ + +endstream endobj 2421 0 obj<>stream +Hb`F&f`aecĔTm + +endstream endobj 2422 0 obj<>stream +Hn0 DP)rM&<|>kzA bDuiLt j# B}x<¯۬t;smYPΎbGcÚS l0ƔREQ[ɆQ~$ٟBAp1)*2?yd-R%yI#!AkbI)/0 MsΧ]!e B] 0Y%2K`Gk#]C<륻Y[4I [g + +endstream endobj 2423 0 obj<>stream +Hb``%K;;' n^>~AV @bbDHHʉ+(*)H!1D [XXZY؊K988B$ڜ]\=<=}|MP@@``PpHhXxD$PS@TtLl\ POHHq0$ + +endstream endobj 2424 0 obj<>stream +H iVOi⦾@)q*m7tl>j:t/MY>stream +Hb`: 3 6aV6vNl\<|B"KHJJbJHIbWPTRTPUSQbPB Z9# + +endstream endobj 2426 0 obj<>stream +Hϭnmpmlqutzzwyw~ēxwzsrxwv|zw~Ù|zxv~ywyx~}wv}xv}鸶~|۬}{zxtsz◕ut{̐vt{ڮwu|ɋdž{yļ 䕆 + +endstream endobj 2427 0 obj<>stream +Hl@Cmp zbW` ;?L&H>Yp$'Jg|XΣSjf٪T^jv; jw>stream +H }OBCɲq9kuh\]qݱ" S!~{l Nkk}FJX(z*U}O̲EW%̱2E2 *+Rm6ݷHߓb8\NH>stream +Hb`@hL,@Ǝ&Ǐ"% ($,"*&.!)&-#+'TPTRVQPPUSԂ70426150ԴIX;8:9븸 {xBxyqG@d"cbSR3d2AY)9yE% +ڥjZ`ʪںFDX d7(u + +endstream endobj 2430 0 obj<>stream +HOp?kuhkҭf^LşE\]%fJC,n[Z]]?wC)ҷi-Jca<Ʀꢨu4&Gx,Ftt:ڶN6j9`++SE8Ovȋ;#wlyfV{#z]QĕDQ:ĵ+Rȫi_ +eE5X>M@.LRnqk, :LnorBX0v!^MG[yFq$AӖ5EeNHdKrI(*ߖ0 +MGQ#! 05 + +endstream endobj 2431 0 obj<>stream +Hb`dbfaecA|B"bR2r +<(@IYEUM]CSKU\GWO_UUAM]x_?9@ 4`5504ky#"xAZLl\|B"xErJjrt̬lLqܼ|L¢b,KJ$0 ,A + +endstream endobj 2432 0 obj<>stream +H#Ȗܳ͌ppqzz|mmn\[[ffg~~fffWWWaaasst\\\bbbuuw궴eefWXWZZZ\\]jjkȓXXX[[[``akkmssu追bab___aabϛdcdṶdde]]]^^^ΥsrtZYY^^___`䌋```軹ա < + +endstream endobj 2433 0 obj<>stream +Hb`@L,l \<|B"bR2|r +J*hj@qu M-m4q]=}C#cTW+ZXZY۠;XZ;:wvq"e*d!4*8;4 ]?>stream +HlȘ߾Υەݙ񧧨 TW + +endstream endobj 2435 0 obj<>stream +Hb`dbfae\XxxI + `g*&!)]BZFv El~%neece]@/  + +endstream endobj 2436 0 obj<>stream +HW!VWq3ΰ)l:6RBdH`frDv+K6'>yYMg2l6L& 뽳:d錋dV`2ZL?>$rkY?xES@4fJ&Q~ys~}:]f{k44BF>ʝNd1r40,W4FXڅB5A8D0 +t6[ 2AqT*5&# Grydžp8HJ0`(|G4bNno.V}3=P(ZwZn}yu:\EW=O%SM&ja bVbTzFK|kUJks r^wzztx<>`0rn6t;` i( +F[[[pD"RR5`0vG.S@v٬G'Jc2YH (˕jV(j|[u=:hNP766Y,"P,Ib lmq\>/ +EJ)>3*`Xl6#tDb>~~`B@$J3k(G)e + +endstream endobj 2437 0 obj<>stream +Hb`dbfaece``+(*ŕUT54utAF@&*fV6v vN@qg^W7wO+/o/^^;_?@xPpI(Ir]xD$ި`X8PDjAԸ̬\ۼBxQqIiYyEeUuMUN]}CcS3HIL3mfϙ;a -^tX|9V^Sv 7m޲$,m9+vܵ{}8x">$uc;qiggl?w~{pDh-fzka7nߺ}{ ]m + +endstream endobj 2438 0 obj<>stream +H iO`3WGAVzUDqL[߱=0qlNd +r[m{0$Mq<++i;N/ĢVmZǢ(M9.gtL0R{j2oI |-_9}v{@q4_VzF.C +Wj4Xlue[p<~Vf!,|FdkDB0p(jӱ-q<1TaEBzuat#T^d@I3+^\T*MӚuu#%&p49; $QrX,_^VU%'0 (42 $xgFQq$OD& + +endstream endobj 2439 0 obj<>stream +Hb`F&fV666vN.nF$`f@agcf@6JF\LN^AQIY">)\Ԍ܂Ma/+Q` wO/o_@`PpHhB}LP"2*:&6.>!wIll)iYٱ<¢ҲJ3SdohljniEXk!&׏bHpS:N> eD4h + +endstream endobj 2440 0 obj<>stream +HWӨxv}yw~zw~ɗ칷zx汮٨ⶳyx~ܞڔِvt{¿Ɗwu|ˡywÕ~}{zw諨xv~ Dr + +endstream endobj 2441 0 obj<>stream +Hl T%=>stream +Hːvt{yw~zxߺwu|ћxv}{y¿Ƅzx~뻹}wv}}{ܮ~|Ѥyx㨦zw~ᖕuszyx~Քyw|z~֧xw}}z|{wv|yw}}{ Q2 + +endstream endobj 2443 0 obj<>stream +HlЇ@ ¹E\(nE{9$\ri T B`{8x"b Ocpa|NȓmDT6T\~]Zohit.kt. >}QAu< x2u|r0>GS8vC˖빻frɴY~1k&܉iZt + +endstream endobj 2444 0 obj<>stream +H nP_oɼJ :n.&  T\Z;30s^A0%EMWТilaU޿noXsǁ,jEQyee`1 P${ߦi#ˆ(j2 dt^^_,0\AhZZv0 v`[E9eq}:]*I8%n>{yiH([Q\:?~}~inYvβ4]~8qEpJӏ)Qm5ͭm0p6Y$qN<+ז}(f|cI,M kmY0@ǣt:].h4k6+p!`0@Qqpn^ zO=Kf + +endstream endobj 2445 0 obj<>stream +Hb`ddbfaecA|B"~A!( + wANKLJFHI5OuuIByvNn^J~AaV1%e9U(xjjxP$[Z:::xPAwOo_h0< + +endstream endobj 2446 0 obj<>stream +H[K`jmtG4-M +:XXa" e$$fEx9F q +b:dӫɄN$`E3 @EjTI2if9.,IukAP A)XalN_̺=qXQAQ/? `wfn;R4et @~ +M3\<vk <,GԨ,ATs=ǕJ\=(ٳaG?N\ςZ~pw{o-k8%[p0ɧ=|&v͞ȱĂ^+7v~puq}UJv 0΋ + +endstream endobj 2447 0 obj<>stream +Hb`F&fV6vN.n^>~0H\XDTL\GR +*,-# +WPTVRBe54EttAz@37476k[X¬I;hk3@4X8T=X? 0(M<$4,<"2*:, OHLJ +O@OMKJJJʆ  ƂB1EžpqX ))- ".T^$ΐ g;@ + +endstream endobj 2448 0 obj<>stream +HP[0Z(j~DXP7h#G뫏=Ɲwγֳ#EET![ۣS;=Rj12gkw:R| +UEUDl[V)E_{3RQMERf̣qL_or$wAo9FO֍`g E#àH7 0:ps,ኌ//3 + +endstream endobj 2449 0 obj<>stream +HL?`ZIaH[sS6bK-C&Dɟ):prGF=cIwG!$FaN{jzf6 òbF/KˡK/,ADت7BEQt nž_p,%_JEjMr{׺JBjnw:Oy&g5{Ej~inJ} 07Q; + +endstream endobj 2450 0 obj<>stream +Hko0ETʖ-U +3g8I!!WՕsNlOq[A6HVkBfez^VⅬ"6Rkn7Yw|[d%[nl>edd$Y;BJ3 +6£d+NsT'!Aɤs TzЄr2X  H20ـp:m7kvsA].XWñCx_6=1]8^~(J箊}= mp=o16]BiJ|låonC7c9_cM{$ +? Ә| + +endstream endobj 2451 0 obj<>stream +H,_`^,Fh(HH)(Բ-N Xy~Ϲ ) !-Vq0JndֶN1LA>stream +Hn0 DuIZ2 m\pqF43.BRtRBHǾ#)!ۺ,iky##O9y^wȉ6!tm~x΁V]jǡ[əl^nBuJطmiu%jzBCH謹e~>:& k$%)@mR8<#} +oXIPbk U?Ƕ.9=-eND_LoGkVؖuzRtQHDk:;.lmm"P+4Ͼ" +?PЗS9?Ă/! + +endstream endobj 2453 0 obj<>stream +H,;Qp4h(eP0\eɾ\;- e/n={("UTHTSEkLV7$,[R"'77/ PZ€o"c102J7kjjHU}CcSRتi"l`Ά[o?F0p F'&i6b,I B oP"/J>9ϯX$7ŸX, 0t + +endstream endobj 2454 0 obj<>stream +HOY!+ʎ]cRIA"tmmTCęYtNL5r)CʝU8f[ԉz}9wխԶ1BFoBg +u̷27\!$c g}0' 1QEy6Ze̞wŻֳ Z6R>DWě9Rjm%;)׏\'N 9˅ + +endstream endobj 2455 0 obj<>stream +H4gS@EI{Eĵf-(;Q0FJl +!6X|o杹st=BKk[{Gg뗀< cgPF!) + $Gljg\Xr/1^€+UZs* +q 146p4x<,;M|iT]uL'to86Q8;qC=C;_0i\KqipX/΅U,0"W׉9te|RB0oQpˡvTd#!4)-F8gD\c5bHji5Zi؞]{j$_ߘh4XU.۬)7Q~%/"[ + +endstream endobj 2456 0 obj<>stream +Hn1 Eh%EI:3v%v&)4-}牸 V-VVva./.\|r^_>?}}KeAfFE[ʱd`#J +PCc3Y@cAi+k kiK&rJ-ʩF19}̠`|=rDiqg se.1StHƠ66±r\"zc 1{ +Nj%^%|lu\T"ιRƜǒ;Pj{[j=KJ zG>stream + +  !"#$%&'()*+,-./01223456789:;<=>?@ABCDEEEFGHHIJKLLM NOPQRSTUVWCX/FYZ[LJ \]^;_`abcdefgEEEhijkJlmno7pqrstubvcweeW/hxiyz{|}~r``vEEx23qqqrrweVFHHqqbvWXxxqqqq`cdUBWCg|||rvcB|qqqrb||q`||qrrXV + +endstream endobj 2458 0 obj<>stream + + +endstream endobj 2459 0 obj<>stream +Hb` +30` + +endstream endobj 2460 0 obj<>stream +Hٺ0 E, + K"< U2gRF$0WEqtj$D8abbcQdDabF G1!1VXXQ ^B "h( F:‹H-ݮp]o49yՆ"$ + +*uYVgw%n!,m?  +x4MUUV4?/7mз9oX2NǮO[7uYEQiz_q0]4,E>X^/~ + +endstream endobj 2461 0 obj<>stream +Hb`dbbffaaevvv cgbfaCgfgpe%$edalJ*j Z )Q0##(86m#ccqSS5ay Ef@`calie"ak`̢/dfckcf($o 12s5SvsttҖ@M:^^:>~ARJ:`jo& 4-:<6.>!1)?%5-QI-=#3+;'7/?X։AL(WRZZUV^QYU]S[WߠҨ[ܒV?a"@L + +endstream endobj 2462 0 obj<>stream +H Yr0_HŽI:e ̈́Vm+I9$ Ȓ(8n,1Ɣu]?O0lC~!dYN/#x5v@D AV!IEi>x n6 ~ۙqLtm$*i&I⺮4MㇲPv9l3})Z%1@1 +adY0 Cp<ۡY7^GvcO p + +endstream endobj 2463 0 obj<>stream +Hb`dbfaecA|B"bR2r +J*pqPV78;8:9{xzo_?Ӏ`Tа0(q4 !#)i.&>@ + .b + +endstream endobj 2464 0 obj<>stream +HPGr! |2̐&Z7..:JDL$*baV#Z{=yޯ19ߵ9џޟ)3 Y8>stream +H,Y[PE2 J,%YV$9fde4fZ_<bNf9_GH2L 8/&Ka5LӀEt&MQN\.'I\|*]rsA:uj7 Q}ǧgQ + sm,:, ,p.*_x`}[̏3}U>stream + + +endstream endobj 2467 0 obj<>stream +Hb`pIZ: + +endstream endobj 2468 0 obj<>stream +H }spvuM6!RjbzR9~nyy0 -jV@VaB+xm5ȏF^V0r8ʲBM693| 8 !?q E|v9Cmr#@|[+Ŏ7A +]6(SGI@EԙC3lrI2vQD H2>stream +Hb`F&fV6vN.n^>~A!(WPd@J*jZ:zF&fV6vjjNH.n`J8: $.$ 7&M"D\|B"6 Ƥ~ij$D33Q$ ̗96 + +endstream endobj 2470 0 obj<>stream +HT䯬뒐 Y> + +endstream endobj 2471 0 obj<>stream +Hb` ȈU8+;6\<,|B 2"bRRҨ2M + +endstream endobj 2472 0 obj<>stream +Hn@vE83s{@`7;06\ϰT?JU,GP[R%dDs*X289؂ J`ɑXRL +*8V llZ##Iڞ)VU@D1<u-H8 )+SªZ-D F&˘,4l4^tFjUԭ* iMbE<=:yJFw@UXIj׬`Z1s%͎p}Wџp< a'h9Z]Rܒ*X懲8^znfmuZOq*#-@Qiq?r:bo6 L-;ZlV.ݏ?_~߲Ԫ]ϟ뜿cGIœt}^'(NXx<=>}uVɉ + +endstream endobj 2473 0 obj<>stream +Hb`dbfaaecbn gcʈKHJIȲ +(*)򨩫 kHjjjIJi +0[XZYHI;8j99{{ +zy{3KJIGDFG'p&&%gdfe*ĕWTVVU7465ĵwARggRrWwOo_&O:mz)$̨Y9k泊 $J,\h˖XjR4v]E K@[6cZ$:ތ + +endstream endobj 2474 0 obj<>stream +H B@BMa,BMn-}C9ݑOSIy'u&Qv QF~I%T4,Gǖm]5ɚ Վ|pv冡Yb"P$,ŜJ0φ)lj2$Q)?:t + +endstream endobj 2475 0 obj<>stream +Hb`X# 21bvNN.n^^>~$LB"bRpaiY91yE%eU5u1@ä + +endstream endobj 2476 0 obj<>stream +H Yr0HBuima30RߛIkBiabG8Ϊ4 8dif >Qٺ!-K)%{&s7gA('KrҶmɫ{ ! @jJ6,X.&w]1K+knſ; + +endstream endobj 2477 0 obj<>stream +HlG@Q +" bر+rSwL|$BpryMXrdm[!Rݫ5xl۝ny0`<>/Uvg\oQvoSγS|@OO+ + +endstream endobj 2478 0 obj<>stream +H B@jn%YL-20tA~v=Ϣ(rHD2M0 ]ף(ZĈf:kZk62`%Z=&%F HTcAiz^yM%ZZkTS jMӜQ":=j:c[6tDz8nhB  Ov.޵m+#}ɂ`y8Oǔ{+Bd + +endstream endobj 2479 0 obj<>stream +Hb`XęYXYٰHsprq`  + KHJI++*))IkhjhB MLd-,جlP`gr X? 0<$ o% + +endstream endobj 2480 0 obj<>stream +깸 + +endstream endobj 2481 0 obj<>stream +Hb`LYXرspprq#W + +endstream endobj 2482 0 obj<>stream + + +endstream endobj 2483 0 obj<>stream +Hb`30`d!1 +HL + +endstream endobj 2484 0 obj<>stream +H‰n0іYn>stream +Hb`dbfaaecF<|B"b0 II)iY9yE%eU5Uuh)DB-,XYYmllU8 0`$,*㫠 =1$@`HUl@ D- + +endstream endobj 2486 0 obj<>stream +H샃yy|||qqsttvvvxyy{||~mmoppqrrtxxzeefhhhjjkeeedddgghmmnssuffg^^^aabzy|yxz|{}; p + +endstream endobj 2487 0 obj<>stream +Hb`dbfaecD\<|Bh"bR2r +J*h55uu5 Є9ML-,ŭmlŝ]\=<Ž}|dCB919#"0Dq A9 8 + +endstream endobj 2488 0 obj<>stream +HyW[{sW4ša"^zU/e!5su|~]=H`@mN*hFPB8 ("߭>c.{i1)"}dΠ8 V?8Q+8>OH!D=CAvyݤas Zc!0d22\}R)W/΋L[8eJ؉V\lk|"$CHb<̰hnڍ^.X2N36VRt,<=+M.~w8x/\>&ߩךsk>O + + +endstream endobj 2489 0 obj<>stream +Hb`F&fV6vN6.66n^^>>8$//TBJZFVVN^AQIYEMRV*!%ojhd `4153`VRTH0;9:9 ں{1#@`* `RF``ddc`@! I`m)t + +endstream endobj 2490 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?MvL+!tm'd^gzxkE־Rh餷h3"TGL:9gw:H}".sD@ ҂ + +endstream endobj 2491 0 obj<>stream +Hyw~}{}xv}~¿yv}yx~zw~zx{y։xv~ހ~~|ꖔ̂⣠ץ , + +endstream endobj 2492 0 obj<>stream +H\i@גFED!d'dwi*Go}Ν3h,I$ǻJ#3 +eE}A-{Rr+(uES6tg 'Ɠ)3f`^-vГ#h?N ҞGB/6_ + +endstream endobj 2493 0 obj<>stream +੦˽ + +endstream endobj 2494 0 obj<>stream +Hb`@L,l \<`ˇ]|  t + +endstream endobj 2495 0 obj<>stream +HSp5yKtmZY4T*Bӹ0tDžeHy +=>Ht+rB&[T(*ZYZ^$m9fWd:sܸC‚LTVG[vsuX`uYZ8./3 #Vt鶅G|kx,¡h$LE#Uٝ{LN岙|.S`l '8ġ ӗj^k $ow,Se˯89<rFҨ>K{؟b`a;vp0>`̄[liqNj|-ݺ Ğuh3$tC``ۗI + +endstream endobj 2496 0 obj<>stream +Hb`dbfaec!a&&Q1q I)iY9y.\\P@OTL@[XZY٣(~GG'gT@ ⸁͂~A!2aQQ1nqq Iɞ)A.ĬT]D\\N\nZK "1U k? + +endstream endobj 2497 0 obj<>stream +H7֘%5l9sa" %{N H@aGñh7~|>#Li2?`eh# 2ޠC8,@r/RA8lպ0gՆy Xז)jwJb2(K2S]3ZK*_.r + +endstream endobj 2498 0 obj<>stream +Hl@ P-NESf&)L6/+½*ZL2ڀt{opHp</ځU1h+eGӯt|v]LOJ`n + +endstream endobj 2499 0 obj<>stream +HrՑ%bĦrV*jG=ז4NDb{o~t4τovuxip ʼnBy<ڣnk8p+Q^;nW_Ft>stream +Hb`ddbfaeeevN.n^>~~A!0KHJII3eUT54utXXR,F&fV6v@pPPptrrRwvqqqus20hs##_?`PE}PsKxDDdT]L,202211OHLJNqBFiiI|NN&@mn@F&BB@S6Y` Se + +endstream endobj 2501 0 obj<>stream +yw~zw~yx~ywyx + +endstream endobj 2502 0 obj<>stream +Hb`F 8&`&V!`A1B 1QALt'"\D4q$ + +endstream endobj 2503 0 obj<>stream +H`ywyw~zw~xv}|zyx~{y~~|}zw 8 + +endstream endobj 2504 0 obj<>stream +HI ip_}C$`m*= $ꦅ 8˺9@'(cE߀MX\& ]

>stream +H Y )7G*JF,?qm$8GRM,5T7MSe/{@6ɼ>hR,$I\ɼ9`uo]WJ]1sӰ81 /nWt!i{TkTqG<3ƤT@zϹAL_ + +endstream endobj 2506 0 obj<>stream +HlYPE{2DB27eL![rٸj ڽzo;]!!qw qO'әh|h_,Wk!lv?9_=%g" +$ + +endstream endobj 2507 0 obj<>stream +H Z!6'[Jy}uucEQ B1FmǯOF[Xk0Ikp>stream +H0$H>nG-g3c7- #q"rUT i;HӗeUD_Cu?D+ + +endstream endobj 2509 0 obj<>stream +̺侽 + +endstream endobj 2510 0 obj<>stream +Hb`dbfX9srqcfj ?VqAƳaea!>\@ + +endstream endobj 2511 0 obj<>stream +HN1F*h&3w{ͳfi EEh *u}KhYǼLT鶈*bjs׬vݹmlӴ6:"a +p< +22ˋDV3dUa$fP0 ͉>JKddvfhcЭnڱpydiimGcERCXNN~=Y4;7ֵD3/TnyMfWq +~S[Q-l_盱M Q>H2?HhXK&H +=N4ũy!9a4)%ڷʰ(AHP PH".d96Zp{Fp0g(88<s/ [ +ŊK@ +oWW_/[& +08d!(럿pY wMUD 8h! ٩" + +endstream endobj 2512 0 obj<>stream + +  !"#$%&'()*+,-./01223456789:;<=>?@ABCDEFG...H11IJKLMNOPPPQRSTUEFVVV/011WWILX7NY:Z[\]^_`aaabcdeVVfHJgLhijk +lmnopqraEEqqstuv//12wxy7z{nqrr||r}sc~tvHHIIwpoUUqFFba+vvfHHWpppFE|+ttsvvvHnr|Fcsabbbs.aa}uFzEEr}+uŪ̢Ͷ|oUnG + +endstream endobj 2513 0 obj<>stream + + +endstream endobj 2514 0 obj<>stream +Hb`FFFL,X%980$ +Z + +endstream endobj 2515 0 obj<>stream +HiO`?*Xꛮ!7Ӭ <S@KP<;Cs?kZif۬r5O;4WDnV8]A +$x Odi,j}:lD^D-5s M$զIpF߱\T(Tas8GQmd*"~rAl6;PL`X`j>_dP(v{|T%#Z чH>u: 8zau2 %ab.v;dAX_ + +endstream endobj 2516 0 obj<>stream +Hb` +X\

~6A@M#77{аȨؘ@xBb[`rJjZzDFfVvV@  + +endstream endobj 2517 0 obj<>stream +HB@-L GƲB0~De]ui>1۶}^8,˂.Ii|~c" +@P%8qEcz)LAL㨩:iZqGYeUyߔVF@tr4Mv$B VqKAimR γ:ۓ!YS5'P٩A%İ lB0 d + +endstream endobj 2518 0 obj<>stream +Hb`@ X$XX981%yx0 + KHHHI+(**)Hkhji배m3cS3s  K+kkf+'L=<<<||yF@`$,b +BL#" o + +endstream endobj 2519 0 obj<>stream +HW0%Ӎt=_i}-?I +'E01IOGkR*PH@(!HML7`m[օc`DT |\>!~-҄Dlpwi\0mcU*\aR-uou_[ϹwmWe!Q.Hʂk]?t>stream + +  +  !!!"#$%&'()*+ ,,!!-./01234567+88889:;<=>?@A#BCD'E*888FGHIJKLMNOPQ%&R(S88888TUVWXYZ[\]^_`abQcdefghijklmnopqrstuvw_xycez8{|}}~}WtaC(}W=.}KP6(}666}VĠ766677}}V + +endstream endobj 2521 0 obj<>stream +ʺ + +endstream endobj 2522 0 obj<>stream +Hb` 30bg`c.> +) + +endstream endobj 2523 0 obj<>stream +Hm0 8oծ:qq>0%e>stream +Hb`F&fV6vN.n^>~0\AAAQIYEUM]CSK 0S3s K+ktqSS[;{ qps*>@% + +endstream endobj 2525 0 obj<>stream +H ےC0HTmlEPXtlpRӼpƙ((}?õ=0l! а$In*$A Bs?(n4۶ֲ"ϒ@CFtqrR.\:&1i>stream +Hb`dbfaecD\<||B"bR2r0yE%eU5u M-)m]=998k[XZmlly], + +n^> +!=_  ل+sE`@=$"0U5 + +endstream endobj 2527 0 obj<>stream +HR@ dfΜ`T ˲wAnϰ50# X)X8r%G`Z2+y2RhX.| )i%8 ^)WG +W yEdip +ڍFr]ᔄ~xQ +4[qNG\MJgr"EҜ.*/|l4[AtӲKFYK* REo밿_rzf=ڝzyѼdi{9}?}/Ob=M*8.kq )ɉ(OKar~m٪yLiwj &%CFrvaLۤZ)3 ;>fϳaн(YUtYܮn8;ϓ?i<Fy + +endstream endobj 2528 0 obj<>stream +Hb`dbdfaeee6vN...nn^>~A!aaV6QQ11NNN.qn I)i&f66Y699yEE%%eU5u M-m]=}y99 +,F&fV +@llYX]\=<ܽYl||mCB5""cbmmSRS32sLr" +mKKR+*kj[I4L-ig̘9k/XX$1mڢKZlŨ`ڢEVYMd6o)n:8>`%f + +endstream endobj 2529 0 obj<>stream +HiO`?fvfEè -m-G :E߰y}{C]H@+)TXsy䎓TY+EWAEMULM5VUd6?YF-DFM˅0aY=NO-(ETzxCH0 &x +s?M$ Lt(76-/\\\ZZzw?xfiNo&Td(:EqQ?McM1 ˒ IЪj +qPQt]7EPi^ir,Gg)JTXVݾޠ:N\ϯݾ,iH(XY{8|NgeM3M1>E1rNNZ۾Vi?_(V,ӬW{}}ܹna^$gskg;iaT-aNҬ )9$alUqx>~ .Nm˒ci bIy\ZNy_U + +endstream endobj 2530 0 obj<>stream +Hb`F&fV6vNN.n^>~ ,T\N^AQUYLFT54굴ut ML̡V֬62*vvN W7wO/)C#o3_?@DPpH(X",<"2*&HHLJOIMHgdfe/(,*.)Y^V^QYU ohljJwvvuLy|SNS1T>cs‚e m.^tƲ+ar5k׭߰qśl"u;wcw%:|'N:}de% + +endstream endobj 2531 0 obj<>stream +HaS@Q$q{q"(ā%:M(3 +ˠJF)>纮mG˲#:2t2y=Xy3`@ğM'IJ$ +}EB +iTA%y:L#j‹C5x[buT$sP3P@ϩ5a$R녔!BkkcMݚT昗gt(Z0Q" +1[WwY6(v-u4Ќ)/ + +endstream endobj 2532 0 obj<>stream +HLgW0` +ԭ3hRҖ +={zi^l!I$%)aP"TJ 02fK#c2(cPZ+5.`:¼LF +cR#$esy5Χ) !PL̖rs +̶m@XX_X\Z^ɖW3`[;{/kS{,R߿x|z~y}{?\ i@ + +endstream endobj 2533 0 obj<>stream +H ?pՋ\ODIʄUڮl6=oՈ<ǓsCq4WeV.'SsEH'GO/o?ϟ4-OQ|@NT4GW +͇gATR*J(OǓC/ c٢[퓫;Sdr @2 B.7D~ʢ#^@TԚblb?b_o~_xPg2(k 2;>z|6[rY??vvpDbaDMʂV*Id? +y oZƱk}Ih&Ʌ<1EzYϏoWxt}S,#pjlf2+0<A6[nXus6\*0I<\o Xg%I2HY]]G|~㔾@J()S'Xg1C5n[VĬU^_cGJ06M^E26GX +Y`_jV֨(#(@:;MD. 0\y + +endstream endobj 2534 0 obj<>stream +Hb`dbfaecE|B"¢b(R2r +J*HRjjRZ:zP=*@@U,akk,c + ʨFE['H%&%)gffeJIZpQRZV^Q ᩭOKJ4465II%l;@]=@>~ I L +2V2m<fԩRs_Q%KAY>stream +HiO@nARR2Ӄ"Szp5٬ՖZ@VMOϧw7_yz#UFz]nK_0nӢW+s$I !.'`"k VpSaulfO(HE2}cU6"4E$'~:ޝ={i&:߽7[45"JCņ"Yz?Gh7>stream +Hb`@F&fV6vN.n.dq^>~A!aQ1 .!)%-#+'*Π4S +ЄG[G[U\O@PI"T[? 0(8$4,>stream +H RPmrtB$((( " ut2lj (0YB fp==2QTIuH8~hc[0R*Uj:ω4 ]iY(ҳ5AS<;YQ.iO-(aމ<'t8FFAB~odSߵ"T+Wme @%e5yVjOyFLb|IP_z d>[nlvO,twK ,%m>k`9 + +endstream endobj 2538 0 obj<>stream +Hb`dbffaecQ1q I)iY9y!E%eU/HXL܂WXBВ +\X +@l!k[;at`M C\ۇW]O_xw +A!B>a EFFasGzc +Dxa/`9Ĥ@0t + +endstream endobj 2539 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?2]uLcq;` OQoe׵p..i}67nk1OSczEN}Ӏˇ &qg%C[Sc6ȍW/I>叓^J + +endstream endobj 2540 0 obj<>stream +HN৭ڪomeAjRB'x g=Bgꇭq-]dlAlIപB!OqSlӖLUư/wAe3yJPwh0&߉?f/QjR.j }fՏsy%8V IRzeJj#pDswL#bT3]8]3yI B')xoZέN M@|9__Zm;+ci=Нq>stream +Hb`F&fV6vF(29yxaA!aQ1qNbgE)/,WS`cD22!XH22Yj[X"lN.ƮHn@qyV%O/$k}4] }ăCB#"e5fWILD + HOKJIMfB甑pLd@LY9(,y&ihģ" + +endstream endobj 2542 0 obj<>stream +Hl˯都񪨯۞ପ|zޠ}{yyw~zxvt{ 9LF + +endstream endobj 2543 0 obj<>stream +Hͷ0{ Gp'8t5~C(枤C^ UmÇ#>L"lq⵽NO.} + +endstream endobj 2544 0 obj<>stream +H~|xv}yw~yw{y|zvt{zw~wu|zx¿ƶЕ∆}{}}룡좠yx~~ׅꅃˈä ZP + +endstream endobj 2545 0 obj<>stream +Hb`dbfV`cacA|BP0BBDTL\BR +] '#v yl>stream +HȐwu|yw~۲xv}̐~|DŽzxƿŀ~Ŀþ¿|y|z{yxu}xw}}{zxzw~yx~yw A + +endstream endobj 2547 0 obj<>stream +Hb`dB,l eKHJK (t+*+++󪨪kp kĵuAWGFWFEOЈI*ήljfcaiem#ldc2N.n(^Et! "a# ?0ݛ + +endstream endobj 2548 0 obj<>stream +HByw~zx~|yx~{y|zywxv}|z|{}zw~xw}ُߙ䃁~|wu|ބɆ q~ + +endstream endobj 2549 0 obj<>stream +Hl `,MԬ,L}_ +g + +?39FlZ6|#*Hh;z- BOQ[@vF ϊ81)T⹭8Zoh?,9=OC!;'`/ + +endstream endobj 2550 0 obj<>stream +H M 0Nݖ}S աAb|xQ@ 0#k)v:^`zk{[ l4iﷇR4eYt4M۶u]g'EH!aŖ;80c~U"/ʲT2 0` + +endstream endobj 2551 0 obj<>stream +Hb` 0+D`IM+va `CS vfts!rp"DY@BajbC8_@P@É"b RRp :&iM-m-]=}Cf!N)s < + +endstream endobj 2552 0 obj<>stream +yw~ywyx~yxzw~zw + +endstream endobj 2553 0 obj<>stream +Hb`Ft1$U2Z͌!E0G6pF{pif´J T !3 + +endstream endobj 2554 0 obj<>stream +Hʻ +PnN?pQA| 3mu +ByE4MC/)dG 4M۶eY&Iǟ0 O3$b4Aaexy4Sջ,ɊD( +ݷ빞㼖e뺺 p1s + +endstream endobj 2555 0 obj<>stream +Hb`F&ffV6vN.nn^ `>~~A!a!Q1qq I8 HI+(*)3(khj1`m]=},FX.!>l@% + +endstream endobj 2556 0 obj<>stream +HOp࿺xi/r&aܰ 8ȬtU}(DbES +DQb^9L,۶@tDEuKbh((pH4I F G)&N{~4hw2K4(fYn=3MSl]7V.q[h:1> C5MeUQ|8ZB(?leYVUy:~X + +endstream endobj 2557 0 obj<>stream +Hb` 0"XL803H6vN,:yxąED%%$`2+(*)r5P olȄ"nd,jhjfna.LXY]",΁<<}|1155CBB=°`' + +endstream endobj 2558 0 obj<>stream +yw~ywyx~zw~zwyx + +endstream endobj 2559 0 obj<>stream +Hb`Fl`b``"L[[ VۘpƄSn"m8[bBVTq4) + +endstream endobj 2560 0 obj<>stream +Hyw~xv}yx~vu|usz㣡us{zx|z~|wu|帵}ywvt{{yڀ}{ + +endstream endobj 2561 0 obj<>stream +Hb`F&fV6830rprqc30 `fc&."&.&".E4qFY9yl +JXUQUSg&Τi1 +2,,5llz N.nH@K=<=}|ddxCB v + +endstream endobj 2562 0 obj<>stream +Hٖ D?~FBvUqBH.9$*8g1(-Js4 SЅ(5еmSRR' '79f۴ZqhRܹm]GBx{ySKSK_Vȷ˗KϰiZvR4NaȜ$gK_Fc̾ط > m3)Rn<}۶uUB +GqjUM4]S5Wxu8\f52tpRLz:]6I~ 7P + +endstream endobj 2563 0 obj<>stream +Hb`ddbfaa6vvNNNF.nn^>~A!ffa6VV6aQN1q111Fn I)iY9yf6E%v%eU115u M-)m9An]AA +""z@3U LLxXD,ٕ8,ml$L\\݀agfcs + d sw1RgL KLL6+dT ̬XUwdn^~AaQq H< eU5uuPĆƦpZ j6v2ήV,B;[;j&L4(PPWW0&oc + +endstream endobj 2564 0 obj<>stream +Hr@E (l,͌g_$yEb-qpHH @J + +DUW?>}$͝"P}Uvez-<5"XDh"Y76ߴ,U˪r{%W4  0l9񒦆/bbv(c\wu۶jYfsc)fhTA(ī̜Xvno<ϳ,ZS(Y e+npvLMAQp,ԈĮgIu]塪> b*qEh7^ Ø x*ulfw`rB a`fV@ V;b (8#h$Y9%Y$(K ;S(QQ0~GD!lJN)h89ct "˅뗇xx[dC(ay0z#`tJx!/~=^<k릩iU5%` !%Ipx{zzsxcSo%M T 0 0A" + +endstream endobj 2565 0 obj<>stream + +  !"#$ %&'())*+,-./0123456789:;<=>?@ABC*D,EFGH0I1JK LMMNOP%QR'SC***TUVWXYZ[\N]^_`a:bcddeeBfgD,UVhijklmKno!pqcccr@@Sff*++sEtuYvwxoyz{|}~ddd@eBggD,sWim}cc}r@@eSCscre'SSff++,q~?@@@eeSCC++@r}r@@'Sgg}reeÖĒ}?@eЭԆrc} + +endstream endobj 2566 0 obj<>stream +H[ DV^(B+m?c:qN` A_WJR +RzMa\&h(VK\Ԃ1bD粬8uoV+B +8u86VXgZWiӔm5T)f8k{Zgt\>9ŇRrx?t~iUK9*Mj$70w1=/Rٮ1(0X25;Bksgy}\-N=~n*9U +pJ:hg?0 Q(" + +'24L)SpT MKÐ>:] +{51W#^8ҩ9Zv C]#jUe*e-B?vm + +endstream endobj 2567 0 obj<>stream + + +   + +      !"#$%& ' ()*+,-.$%/0 '''123456789:;/<  =>?@ABCD5EFGHIJKL MN>OPQRSET78UV-WXYZ[\]^_`abcEd)efghij.klZ[mn]opqrstRuvwxyz{|}I~K[mEf)* ;[mruvFh%%Zmmm^aRD*LLLLL`JLLLnqM + +endstream endobj 2568 0 obj<>stream +H PNQLc6j+}kEƴMXd{s qAVZt +KO$;r;PB#FB +4ll)of]/~7NONٽݝLS j&0^MO=;=g'٭픭\N% bveddxxMyYk^\M7CA@{5;374t}u׸hJd+L\זrjj``;KQ+UyKKm)Vw֓Oo{G33p8 +]ݏLFCD[ + +endstream endobj 2569 0 obj<>stream +Hb`dbfaec``攑+(*)khjh[XZYrr;8:9{xzyIHGDFF'h'h&%gdfe3KVUVVU74665ƶwtvuO8iӦϘ;h=0o -^t+W^*޳ׇlXq-[mуӫwڽg( Pٟv C"w l'O>,.= `׭ + +endstream endobj 2570 0 obj<>stream +H [?}atS] [ckk6VcfQG*>Q! qw{V=0ݶ͎P~|j= +2AP$LR)a M|ҨWC1j-ˊ޵Զ6h8ۓ|NEFыD"cGq㍞5^mx? +6]P붡 BQdI+x/c[m>W7/^xznrJBn6qfKg]-߽s}<EK&y*5Mzm6_;ah>stream +Hb`dbfaecfdWPTRVQUS77126153wpttrvvqusdu?0H(Zظ$]11] p?䔔Դ ̬l ȉ\"RD_t.7ЯF pNKo 4F׀9jRM-H +[a*\#cR)io_nWwX5X ~5 + +endstream endobj 2572 0 obj<>stream +翾ܼýܻº¹ + +endstream endobj 2573 0 obj<>stream +Hb` Ȉ]8+;VqN.<|`& + +endstream endobj 2574 0 obj<>stream +Hz3Hj%T+Jmhݐ +;r>Q[.4e"p wO=MAaU&r$:8{CR5L =g7]3yLe6kr >9.Y/aw;TYR>7 K `\Cp=tɢS1Usf:#!Ԛ.9^mپPAzI$<9"iǧq/4m + +endstream endobj 2575 0 obj<>stream +Hd0DX@ݘQIfy x}@ +TMGJ2dqnF *[TkFlڼҁu{}ј9iK踁#b<pC- +ZgHUDluNr?0 ΐ闫䷻d$F)~; KcP~* + +endstream endobj 2576 0 obj<>stream +H n0ahWH7)5V|Yl-et7y>Cߙ3S;B\rؾRz;\ ccT},u^y [A6rm!h#h!k.Sm}dTJ&1[SBO(ycYk<(%&ڋΫ[NM% + +endstream endobj 2577 0 obj<>stream +Hb`FF&fV6vN.n^>~A!00KHJI+(*1> +0`f6426153Gg`f6̶v`0c ] + +endstream endobj 2578 0 obj<>stream +HI E #v+\HQ{>>5 Dl jY! oS+pu7hT6Qi |NdE05HsrM@KBR+/+3=#.AOrx/݌v{(Ge##_й + +endstream endobj 2579 0 obj<>stream +H\}W0m0T@+5-^4{;t:=;BZ-nmRJ7M}жyPW록e~a >6 `8oF2&@au]:"AFQ]?5A0o@6p +!Ĝi)CP?PIrNxv%5>stream +Hi3"@ +;cgEA[-Ψt0kKQjS$ʶر+kx!j4d2y}]HT"zz>ht^ttgff1,bXOO owwnGRS4VdED(m6˟Jp8b|^.6?5Lv{TJ Q A1SSbW^===goHdZAT60ok .,,lo7F#drZF b., , DR:~ox0 2̅</Ht:=>BPV20O \ǧ\.g4b rb}^7*x<$77?nobB766&''Ax`(BDE/=w6Fcl6J..jRJ CGG'3VThd2(:(d2] +ommH$>H ۳l@ D9HB$`CC}>_0ZZZ t&Ŋ㝝pxJT*MRt:}yyB`&I(|@  +frDbrNLLTl6G(r8aZrLBaMM\.Oӹn7==t?"Z + +endstream endobj 2581 0 obj<>stream + +   !"#$%&'()*+,-./0123456789:;< =.  >?%@ABCDEFGHIJKLFMNOPQR STUVAWXSYZ[\]$^S_`abcdeYfg2hijklmno>stream +H`gfgVVVYYYhghXXXZZZ}|~poqrqsqprԞ۵ EA + +endstream endobj 2583 0 obj<>stream +Hb`@L,@q6vLqN.n^>Lq~A!a!LqQ1q ILq)iiY, r"؄50B + +endstream endobj 2584 0 obj<>stream +H NT81D@dJdD26Y,-ցZX+a߫k6M{R@R,FqBI"B=9iFN7 Db6tH$d.RֆF R"$۟z홛0|~Ju "NjGw&\_Nb|~<jt:H$*X,vso2NglJ8@@@.h42V[{> + ?[[9DR e2rٷB`ٙL@ J$ _W\h4)D"\.W0 FjBTP(`0Fc\FDLBpccVz燇}>L&sv=N;iTxُ|jfv\xjNNNjX"t@baTPדp8\*s`LMM1T*Á L3@  Ϗa(a垙X,l1F !*Z,'&&VWWE"^ordrww7@O+ + +endstream endobj 2585 0 obj<>stream + +  !"#$%&'()*+,-./0123456789:;<=>8?@AB.CDEFGH#IJKLMN7OP4QRSTUVWXYZ[4\]1^_`abcdefgBhijkXlmnop[qrstuhLv^wxUFBgy.z{|}F~HK]Da4E4 M2X2TA0JqwDW;0bdU'HlB5#AwH}G^{xA–2Ĵŕ~UNF;E̼ʹgFAt}{WцgҞBßiHԤղG + +endstream endobj 2586 0 obj<>stream +H [ @,A#N`n:ɬ`:*[^f[뷔oΗOο)]N3#ƽUK|(!5Ospidl5].$ E#=;H{JG*ǃ?d,ֻ`|E2b!DdQa FSۣ.} +!!Fc7s׊ GMX~úⶑڌquni_I3 + +endstream endobj 2587 0 obj<>stream +HTg[0aM +WVGZ-u բC/=_y x @vH$YQT 岦ONi,AH8U* +"T.VVEJ076EBM0[;Hb$|VU"^19lGu1Ʃ!v>9=W7/.=8NŷMyL]C2yʄr(~A: I8NfIf di^0q8/ + +endstream endobj 2588 0 obj<>stream +H)QQQ***)))EEEbbbwwwqqqSSS444"""###;;;ZZZvvveeeGGG222OOOuuuTTU)*)FFFcccooo!!!%%%===\\\tttaaaCCC333~ZZ[KJLZY[babrsrjjjLLL--- (((AAA```zzzpppYYY777VVV|||ªfffsrsmmmMMM ,,,ddd}}}555<<<[[[Ž¿Ŧllm???)()545NNNiiilllPPP>>>]]]ssstruEDFNMOedexxy}~~jii///&&&BBBnnnېedflkm~}rqqWWW;;<'''DDDȑkjl?>@&%%kjkɺvuxVUWnmoҟվȽҼyw~|z}~|xv}zx{y랜zx~}{ yO + +endstream endobj 2589 0 obj<>stream + +  !"#$%&'()*+,-./012345 +#67 89:;<=>?@A.BCDEF/GHI JKLMNOPQRSTUVWEXY/Z[\\]^_^`abcKdefghij-klm! nopppqrstuvwxyz{|}~0 XrpoopNppp^\p3rotproppppoװq佾rpp㐓ss + +endstream endobj 2590 0 obj<>stream +Hv~~~```>>?)))&&&FFFlllxxyTTU//0**+==?bbdnnnHHH&&'112aaa{{{yyy[[[::;'''IIIooosstOOPAABffghhhCCC$$%334dddOOO000%%%///ssseeeCCD""#(()mmm}}~XXX888cccEEE"""444TTTwwwYYY999NNNpppKKKttt___AAA###777WWWqqqRRR...QQQuuuiii+++,,-GGHVVV <<=>WVWɤsrtMLN?>@UUVާ{y}srs$$#ͺ101վžDCE++,A@A k + + +endstream endobj 2591 0 obj<>stream + +  ! "#$%&'()*+,-.+/0123456789:5;<=>?@AABCD E/FGH &IJKLM>NOAPQR?STUVW=XYZA[C\]^V*1=X>?O_`_aN>bUcdefghijI_kMNalm/@nop@*qEbrs&/t[N>uv*wxy:a*z{Tr|}Q~+,Do^KX-Q%{\x=cV9shicU1_+b>1l -HH>|%V?-WI<]T{_WtLs]bE5_]?R}ypR5/ȦɧvII + +endstream endobj 2592 0 obj<>stream +H WY?iȥBn"wN Af'nT+zرJ%DiKv}BۭVAXr++?zz4|~:gWW56[W|K&Umm +fgB1͢( +ßNyz~x(UL&tg8Noo׻~}0l| +[J@鷶PA&Z[**  ~ϛnE"8EF[SS+JV|?55}ttɜmllVW3d2ATzJ$TBn;.lNeeRL3GKK,KVb*.JplZhּE4ElF~ ht4v{{zX5|c4vvvЉ K,ST//@`00 R`Md2{"^ohoB'󭗕~js| jv.555L@ rT*3ͻA`0D&-$esskhhdzl{xxHd:Ʀ +|Q3 ‘c2H$J$I tfAy+XB&S +Ibpp2S + +endstream endobj 2593 0 obj<>stream + +   !"#$%&'()*+* +,-./0123456#789:;<=>?@ABCDE$FGHIJ@KLGMINO#PQRSTUVWXRYZ[ +*\]^_`!abcdefghijkl'mnoLpqrRstQu?6vwx6y*Gz{|}~$:98iK\$VXR3kfzNb* m *1r!q:Fa#a*>stream +H k7dl;o*)հFySIr%ZP!*I5%=))\70]H$ lnf̞yF" ,+e2ONNrX,THږW|AiZ? +]/-h4ZZZj :kbqd]Ӊ )5@$⡝ݻRP(`2J&ovg]]=HսxlZ oWNK*!F: A靛 BАdoϚJݐdͶ69) kkJRh踸xqq):. G"RTjZ,;-ߌ? + +endstream endobj 2595 0 obj<>stream +  +   !"#$%&'()*+,-./01234567892:4;<=/>?@ABCD9EFGHIJKLMNOPQRSTUVWXY +Z[V\]*^_`a !bcdefghijklWmnopqrstuvwxy:z{|}p~A~AHY"%HIK|9QJnHvx;]3BqHN9&'l"-ƹ~#˯͘ΊϟJѯӲ+jB{iJwسI`Lo۸5# No"\" WM( +lpjIQ> + +endstream endobj 2596 0 obj<>stream +HNZ0lP6(!e +RVXQkm$ZIF_Rh41Up2#Hnoon4kt:}>D@8<<|drZ*`D"Q$77hAXlvux{nwN%!J~\m6;@  ru2JIv b@ b2H&SjH$!?pCsd2 3,R.Fr9Gѻtz2x<6M$K24C*fb +Iфrr +z>stream + +  !"#$%&'()*+,-./0-123456789:;.(!<=>?@ABC8D?EFGHIJKL MNOP:1QRS3TUVWXYZ[\]^_`HaAbcdefghijQk6lm%nopq g n{?%3cz_[VW3PdbArVUX}K´DQMƥǓct_æoB[χV9m\i/9^kb Ԛֹ`ש78D ٞXn=oD:b8ۦ1;;Dݼ\b3;KVɺ + +endstream endobj 2598 0 obj<>stream +HMC0[Kދ(Jtk:E.m.`pBN$2-R=|"@.0uD(}?Zۙ>M<ӯ(Hy۶,˺4[>#.c۴ͯ>*F&UR + +endstream endobj 2599 0 obj<>stream +Hb````d@LJ038؄XLF IftqFla XYYU0ll\\yx`~[#($,"*&'!))IJJZFVN^AQ\IYUU -F)))u!!i9 M-m]I9- + +endstream endobj 2600 0 obj<>stream +yw~{yzw~zx}{}yv}xv}ywyx~ + +endstream endobj 2601 0 obj<>stream +Hb`@L,lv̓xHl$6;\@ +TEĨK3>stream + + +endstream endobj 2603 0 obj<>stream +Hb`dq3`c!K#? + +endstream endobj 2604 0 obj<>stream +Hਥ퍊vu{xw}yw~xv}wu||z{yywzx¿}{ʒ + + +endstream endobj 2605 0 obj<>stream +Hb`C8 +vq6vN.nTq^>~A!at Q1q qI)iY9dyEE%eU5u M->mm]=}C#cYSN3s& AV6vvjN@xADXXJ{{zjÁ xy + +k h7 + +endstream endobj 2606 0 obj<>stream + + +endstream endobj 2607 0 obj<>stream +Hb`LęYX] ' + +endstream endobj 2608 0 obj<>stream +HN.Je5jh1Uk6JAi(}_Uԋ9u-X2^kMjwB +q@8' +` +,pg0#pëP5uE~GIrO]ʃex5^cHT$tĖ,݌op4RMVk*J +^.Q*K`eI+a`ju@; + +endstream endobj 2609 0 obj<>stream +H@PBЕ$wOpwXrHwꩶN~1 #Q |Kbl(15");P&VcQ z?L&@ l:/e|b[Run80𝧳|f}*7YW):/ + +endstream endobj 2610 0 obj<>stream + + +endstream endobj 2611 0 obj<>stream +Hb`8ęYXX2c0- + +endstream endobj 2612 0 obj<>stream +H [O`_]AZ mqj+ ;LPz=c"*:ɭ9 ݋!(B)@›[ cCGe*4d$}.<̱JNfxyatADY*_C<>n纮eٶwbbWX.$0ڝ׺5TQH9x[h6 pF.SRY??jSfS +h4L4MUWi:VU Op + +endstream endobj 2613 0 obj<>stream +Hb`A,8tspppbHpq F/ ($,"ĂML\_RJZFVNYAQQ!*ׁH9;; BJɉ!..n^>> gg/(aWU&Q8$,T ~t + +endstream endobj 2614 0 obj<>stream +H +0Я](Ь/H39}5C製(ls,1Ƅl;ǵ,{MFxL}?0ƚiۖ`(#fJiUUEQ>stream +Hb`F&fV6vN.n^^^88HHJ1I+(*)3UU5uuF M- ʠ)g4*1"@! + +endstream endobj 2616 0 obj<>stream +H ; S[>-֚xuӊ])  ܀/ EaO1`,WFOb5H + +endstream endobj 2617 0 obj<>stream +Hb`FRL"] `/H?P003C,Bs`!! ($,"*&.!!l*Q0? + +endstream endobj 2618 0 obj<>stream +HkW)a~)+2tи"i;\FĠ>i^a+h%@ڂF [)vF1wA 35[JK(j@\¢k-nb$`/F/ i +پP޴E 朲oYyCÃ0s}[gH5\'T%^=_熑7Lw w47pǏ E {a;FYyKrޭ0mu5_-p/VtVٶE+7HdkP%Ybխ0Ki-75A\ǂwd)^hgFXel^*RjEC>+!ՙ!).#oP(V +cdENQ p#x+^Q7[Bf2lhAf'zbw ri72Vhft o%TzbPMOt!x{C6a@L6~t0YgE΋ܥ_JH5xaT*JS\,ǂUPStڽW!oD32%a|8e8:ch6;|wq^ǮcX^t<_.NO + +endstream endobj 2619 0 obj<>stream + +  + +  !"##$ + +% &'( )*+,-../!"$0123,,,4'56 789:;<=>?@@@@A,B/CCDEFGHIJKLMNOOPQ,RS/CD TUVWXYZ[\]M2^_Q`-a./bcdefghijklmnoOOpq-'CCCrstuvwxyz{|}~\l]OQQA!Zmn2O@@xyZ[\^auj + +endstream endobj 2620 0 obj<>stream +H ‡q01"$P;No]ԳS]: 8|.˵my޿ejjQ74\BR;>Қ{ù&'DR} ÊĘ%*QUضS1)Ƽ,My^A)% L8p0L(<׍>stream +HdRPR6C[(D+ACmà~?.kInlry +-X*me/)f8/ GcBeSIVTD HDZ\QU5%0 -~q׮"w,-n4( +\H GtК50fM@LO)hjL] s@,+a_>zɝ}^<K3i +a ~|&ICk +[$F + +endstream endobj 2622 0 obj<>stream +H ‰ WhKuͅy0g +DG4kM[Z~]<8@d*R"@ݷ&|"~ >stream +HD PjkllTDqyژDz<_u}A.Ƙm[dΡz4>stream +H ‡ g^A& {!p]>stream +Hl}B0aIZ(mC %2"?\g94疵%B+Yo6ao&: SBQ!14c >stream +H D k6K(R*zOX3g)Zߵ~8~)ƸŸBYmy.!q\'kaZ;cRcOB]gӜk!sI#$87 !3=iH +n7xmK))cJi aA + +endstream endobj 2627 0 obj<>stream +HTV@a3l q8Z22H$+[jr}DIe!1VTU2L" 6㕪َaEfPg,xϧ`k._C> +#JlNA)N0JS0~e!N)l9i"[.XF7QY=e^uEo~eՀet}]?,c~v]jOɿA%k + +endstream endobj 2628 0 obj<>stream +H2w1 ?ݦcJd#V (еȵ)bHK1ffj쾾) +bJZDõS,VkXJP(x^ o"H$rsL[,L&mrr2ͽޖ\Ri33])=<<`0q8l%./~ i4o''Yi1/--j + +].')&SC9O Ggg>DЀpRg//>O3FFc$h&é%pzeeFPhr+vB!;J(NT&Kv;bqG"xǓd"@ (V VF#BA1x<Z,f.຺2^'P(Ų٬rt:JR*r:`}}v t\.(":11ىBthmmmppf#H;:\\\FL& `0noo9P(h4p8|jjJ,3l0l6H$ @ P}}}kkj5;; I$R0%JT*ݡj FufLD6 Q + +endstream endobj 2629 0 obj<>stream + +    !"#$%&'()*+,-./01234567893:; <=>%?@ABCDEEFG HIJKLMNO PQ$?RSTUVWXYZ[\K]^0_6`abcd7N1efgahij9klmnop'qr?b"Sst]fuvNSwxyc3zY +/{|}2~O*> C1OzWcjkb%qM,t@2 E- DŹQ;\hkˊHETkθR`H׃fخں}XIܾe9,^Mn&RJ}hXG`^Ӑ + +endstream endobj 2630 0 obj<>stream +H ‰!W$ĝ1">ϯkݭ͗ .2jRPĖ3Zqym}G"[``E + +endstream endobj 2631 0 obj<>stream +HT QA@RA67J/RB\HYJkS2F IJD +wBERd +( {#ƅRhhmPU#ˋsVtG|vBhvAEp4zy6Ũܬ鑢$N=_>[2|UMm-,i[0p?9l7 + +endstream endobj 2632 0 obj<>stream +HN`ූVTP̫Z[Qb4Ҍ@Ad**h+}0!A?Yꆽ'H(2EA|0G @,ǬQx:M j9(Zۭ/yL)H&&aFSjh>stream +Hb`FvNNN.nn Ϗd0|@@DTL\\ FA '#Y P&Xh9 ?((0537wcpԄ;???ȅq&sO/o_? &S8 + + u 5EZr.Q@ `$ + +endstream endobj 2634 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?֯_|Hûū$v`'ht-a*~ + +endstream endobj 2635 0 obj<>stream +HVտnvI(If#D8!ɩ"IJm[n }x.^3y8\]/_m'—4—X~}ԙb" + +endstream endobj 2636 0 obj<>stream +Hb`dbfaaecggg璐fY9yE%eU5u M-m]=}CCNv06615330cku03strrvquswbc1s_  +v`sp0Cа0ˀ($ 8ĤT4ozoX8K,H6N' FJ1ˁKZ硪8' A%e@-T + +endstream endobj 2637 0 obj<>stream +H [r _!Oy+(bҴ3Dks[9ұm5糔qk9۷[}ri;V):8oۙs;f<;ZesE,јDTb_@aH BrCHS@x9vc躱_ie + +endstream endobj 2638 0 obj<>stream +HEUu<P? ~FpO`: + +endstream endobj 2639 0 obj<>stream +H&LKM545'''333RRRqqqwwwbbbGGG,,,FFFcccvvuvvv\\\>>>%%%555UUUyyyVUV?>?566tttxxx``aEEE***HHHeeerrrXXX:::"""888WWWuuu]]_WWXrqs```???---LLLiihsstjjkPPP222&&&===wwx{{{jjjܜmlmJJJllmsssIII+++BBB___aaa涴IJhgiXWYQPRlll(((DDDooo]]]ܽvuxwvwdddEEF)))JJIgggҷfef878)()>=>VVWihipppOOOyxzFEF98:aabᳱyyz¿;~ż{y|z}xv}wv}wu|yxyw~zx~|}{ލ Ú + +endstream endobj 2640 0 obj<>stream + +   !"#$%&'()*+,-./0123456789:;<=>?@ABCDEFG3%8HI JKLMNIOPQRSTUVWXYZG8[! L\]O(^_??`abcdefghijklmnKo Ipaqqqqqbrstuvwxyz{|}~Oc????cFOqqq`7qqq?cbqqq?˸``cccc?``ضڼbbbq?????픔b + +endstream endobj 2641 0 obj<>stream +H !DQ\z]NzT&v̝I"`)P+~={$A$,s>{EVkQխ8.ة*ӥ5/L*mbt%>sw)Ya10ZJElZh~:p>x}!1F] rqֺ3@3{W}Zb>ZrfQ1; >YkoV +!P% + +endstream endobj 2642 0 obj<>stream +HLVPhQ f%87 +*@!JXZ ][EPxi_Yh,#Ir P4Bt=ވln 6gYb-G@%Q +^HĔ \r)>stream +H9Ǿãyw~xv}Դvt{wu|yv}Ӷ}|~||z͚zx曙~}{ۼҮл Ј + +endstream endobj 2644 0 obj<>stream +Hb`dbdfaecc@.nn^>~A!NaaQX\TTUUy44A@Ttt &fBV(llm 1=#8ȅ ) .2 + +endstream endobj 2645 0 obj<>stream +H  kK!_Y{)Izcgo-g}1?hTZR !{ΥјJmPBW.I + +endstream endobj 2646 0 obj<>stream +HlY PwE0 +QQ\0L+w^ux~Q$i<DŽGYUNb Op] %2 $DP1)֑K ),eum)c%KJIK.Řg"kWHa{g#B(z)6?i:1rhu]+)[Pf}׶G# + +endstream endobj 2647 0 obj<>stream +HY[[[===&&&EEEggg\\\<<<))*../QQQ{{z^^_778**+<<=``aVVV999HHHjjjzzzWWW777)))222UUU}}}XXY223(()@@AddeJJJ000,,,MMMoookkkIII+++(((::;]]]lllHHImmn@@@"""RRRsssxxx]]^==>!!!'''BBBccc~~~%%%PPPuuv###444TTTuuurrrXXX889DDDfff...SSTxxy555:::YYYyyyiiikklKKK//.666YYZ~~???___CCC***///OOOmmm{{{^^^>>>$$$))(__`,-,AAAwwwnnnttu888 bbc99:```vvvqqqiijMMNGGGffgDCEFFFbabttteeehhihhhzy|NMOZY[qprNNN¿Ţ333aa`SSSջȦrqs\[]GFH989aaa˥]\^ZYZvvw;;;ԡpoqVVW##$pppٵMLN''(zyz D6 + +endstream endobj 2648 0 obj<>stream + +  !"#$%$&'()*+,-./01223456789%:;-6<=>?@!A"BCDEFGHIJKLMFGNOP+Q +RSTUVWXY6Z[\]EY^_`a>bANcdeMf0.g -hijklImnopq^Ir8EestuvwxyMz{|}~GhV6{Er6" zX!\z6Z#}R WEw59D1!1BSRNcd<5/-zM30w6r8U{Iw;z18p; R/tc}b14[oU# Rv #UxeBSRRdWX7B@|sO| + +endstream endobj 2649 0 obj<>stream +H N`ЗA1$Hb.Z^QPse44o+A EZCk!#h" džbgIIC x@8x=n=ߕ\Ε:@a>S!: +PCI7ӧ.?Ax(o_v>]6E!-$i*׵tJ~#( aB"s~yiwyS<'"q{fG,fd4{zVa tza + +endstream endobj 2650 0 obj<>stream +Hb`@LL,@8;'7r^^>~F$>A(SHH@؂JpQQQ1$!..!+ ^FFFVNR^LAAQ Y\YYYEUM]CSSK.ddhdPV"26ֱw7du;9;{xzy5  +*ꠂeP4qp" + +endstream endobj 2651 0 obj<>stream +HyW=! +(06Gfe^E\I<733ƺ?BYzL/^Lb$+<$7qDBL2G?G*fv:<.;Z`X((\}jv 0$ + +endstream endobj 2652 0 obj<>stream +Hb```dbffV6vN.n^>~~~A!!A*993'PG? 0 (dj xyGDF1Z(+G31 @_\|BbRDDMJJJmZzFF *HͳQ + M!T,Ɔ>LWQЅŨK|}||zb@ 6Y + +endstream endobj 2653 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?{ֱXֺ GYCdHhe?,e.htYmp,:@yQq\2dc 􊸖?gދ^&NocsV?R{no + +endstream endobj 2654 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?_>t<@+9x&:FB:~GRǷq^b-#l{!u^K0p#ć4_/}.Jsk ,K֪wqpqqG?O˭Ux + +endstream endobj 2655 0 obj<>stream +yw~zx}{}xv}zx~ywyx~zw~ + +endstream endobj 2656 0 obj<>stream +H1  +(fm"RbIŖ6__cZRTFl +0O + +endstream endobj 2657 0 obj<>stream +Hɻ +Pп6WˮE$bCiR >@nb/|Ug=04MSu۶Ix<麡Z,,+p@Yj}iQSw(! +LyIH,q\MӲy(paLM +cl0pDL|>stream +Hb```dbbfaec~ À*8?$304RFg4666153GhlaM0z Z + +endstream endobj 2659 0 obj<>stream +H =o@_]jClI֏N" A,6h7M1Qox)IH`9AP(M!d&M7 /r$"*t:vvjk zkYtjpxѺnQfΠ?Rx`ǣ?+`!ïih5Uպs,˒$94=;Η<0>t}bL eq\g + +endstream endobj 2660 0 obj<>stream +Hb```d 3˄CbgcCgdgCWPdaaQ+T,+%)oohd S3s K+66vk6vN.FFF(@K[^U\GG? 0Hc!`h" + +endstream endobj 2661 0 obj<>stream +H옖냁yw~{y}z}{|z}xv}yxzx{zՂyv~}|Ӫyw~|zw~yx~ 3 + +endstream endobj 2662 0 obj<>stream +H|0@Q `#E,(7"hٹx}~0)I:b~Blȗ ЂʂXTAe +CT`r`8 +))t6_ U?W6" +I?1X@DCa{^Ӵ.%-9_.~{0H/s + +endstream endobj 2663 0 obj<>stream +H쬩厌{yzx~yx~yw~|yxv}wu|vu{|z}{懅~| J + +endstream endobj 2664 0 obj<>stream +Hb`SSUB@PHXDTL ]2.UU 5 IuN Mq-mmV3BH[XZYٳCu@\BUSGQQ w + +endstream endobj 2665 0 obj<>stream +H= @SK`W3SқIz/v\*͛x,dV۞yGq"P9Yv^nImLhL|/Cӎ ?'E + +endstream endobj 2666 0 obj<>stream +Hb` r &tf1,8 +dqd'Jrprqqc1_@"!aQ1q qI)iY$EUXUՐ + +endstream endobj 2667 0 obj<>stream +yw~zx{y|zzw~xv}wu|xu|vu|ywzx~yx~zw + +endstream endobj 2668 0 obj<>stream +H D29vԜi=;H)$NkÂ+D'(5/Xx2g=d^^WNN[DvO}2 ( + +endstream endobj 2669 0 obj<>stream +HMB`-5`|ԡtisR.n6GcL3'Gϳk4iq$IEQ=mO E8u]eUUazmX`%q Lu0 EQX+!TA#I$1,,>stream +Hb````dbfaec~84XddeUT5 ) '' 1%LLM-0% ! 3 , + +endstream endobj 2671 0 obj<>stream +H9o`_]8U-GZ+a`qc@C (Pp삡y n\.=D$}_7S%IWP,˲,0^zw>0ikm8OoI,)ϻ +4`Ɍ0 ywϿyQ] a^6A૚Xfcmۺ DZ,EQDQdf]i 0IG + +endstream endobj 2672 0 obj<>stream +Hb`@,&|ʠ++;V N.n^>^FFm ~A!aQ1q vv3%edĕafݦoJȘ[XZY$C]X]]]ƝW {xzy8KIKj@@@ 6 3 ! + +endstream endobj 2673 0 obj<>stream +H 3"B%JmBHKzUJt8*{NەG׃ 7f;?ᛏ1P(Z_ߨVk77bqנ70't:ciIۗ׿u>jtl6AzxxtX,q:]muNg4-̀QMҝ?v'O,,jT +敁`uY#Lh4~+u.{zZ0YR,6DHى]s^V^"tkwW"tHDfU'0iEWx0 u{uR-j/bg3JZ.{^lftJ2 +5F?Hd01Rvcdb1[ +B22L[V*ryԴ Tj+{vdpd":H$J>;j6gg (ʅpxlrc/0-L@ Fwo8ވVmaarmL& +jaiMy<ޙesvL&&JNݏkkd2?Fi L) @Rɤ3"¡b'|̀? Ibn'B +$bfmB!@o擉d, +uiQ#$fzS`( + +endstream endobj 2674 0 obj<>stream + +  ! +"#$%&'()*+,-./0123456789:+;<=>?@ABCDEF0GHIJ5KLMNOPQR6STUVNW!XYZ[Y\] +^_`aFb5cPdeOfghijklmnopqrstuvwxyz+{K|}s~\FQi$ Y6 Ylit5 +~tbncuu<'M;"6E3F +CmYũc4Ϟ\fޜg?]E#{ӟc~皁mT] + +endstream endobj 2675 0 obj<>stream +H  dˢAmΛfl۩ss߇aXq}em_}?{]q Ժgs-ZƘNJy).RɘsT] !ccN\Ki28B*MqX8I^,MI3"H#c$BzE D + +endstream endobj 2676 0 obj<>stream +HD{Pq +DW9eme_ϾT\Xo4,+yv+i=a>8x膒ԗͲlK693i6!,576\,q܈zpR d4C( N ck또x?1&Q_' vWP1.3'M!!_tpyY\"m 0)6, + +endstream endobj 2677 0 obj<>stream +H G 'ł HQ^iinuiz1>stream +H>stream +H G _ֹ} R9RZgkk{y>s>k}YZzZ^`mT) BX)]s# PӜk!IdL a0q9BlqBm/j` + +endstream endobj 2680 0 obj<>stream +Hlr0rLMIb șzwfS*Ee۶Z~7O/[,#gmgB9u;o@ADCGY^bGnŌ8a Dl!7 ,BE8(RɃj Yq1AHSui_v]ҔZa&ն]7TY,3&.pI`f]C~`.( + +endstream endobj 2681 0 obj<>stream +H v _!12 `4iUqӔ9/ґҙsY{ݶksR>~k0,އRL-9J JyGk'f)1c{s+eL ]'^1f( +B8B=ƌCk[!Ÿoz @ж_5 + +endstream endobj 2682 0 obj<>stream +Hl0ak-*Rin_LN(L& ŢT*Wr^o4[NhaF"j }MO ]M>e()sId&m/qe0 udK#DT(?5o ⃈~IĿ >stream +H E JEJ,W9 cmU5ք(%BB^ +Z4Ia;)!zY躞1mkf4 Jγ7-KcҺF.kOo?11>!)Rz L{ + +endstream endobj 2684 0 obj<>stream +HdB@a CRrPazVTUCHu4MkGm;*i`Ӛ`yV},BCn +`ClEН1b"T ##~b AeUҦdV-8LP߶OJa6qNgA~Y s + +endstream endobj 2685 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?~9})\ +C#3MW GS2Ew V`AVdQ tRkt$l1n4@$ku76}Gz}g⦔o_^bhؒ__ko> + +endstream endobj 2686 0 obj<>stream +H ٶC0@d"(RbõyaazA@7u//_H(F[ޓ&8;iA63,ˊRiX$LoˉPUJKJ%!W#^e'9%i>stream +HT{{0DeZ֍aZ]6# qA4NI= 1I­iDL%e8a J5˶Ukڸw#ff-RDzlWqvFR:z~Z +x"{Y^b!mTX%5M~p{ŠS;~ 8" +ω]! + +endstream endobj 2688 0 obj<>stream +HL)(),,)ؠ'Թ**'њ$з(/ǟHͺ&@dzſ(($E̻(($M˽''(+YӜ%֞&ߥ''ۻ}Ï"Й%מ&؟&ߤ&'())߷dƨܻ Й&՜&Ԝ&ӛ%٠&ޣ&')*޽wءvǒ#Η$Й$Қ%'(ۤ/ռَhrqy!ȓ#ɔ#Ԝ%ڡ&ݢ&١(џ3͵uUwWvV`{!Ǔ#ȓ"ƕ-MsTtT|[lqp~ 2i¿rSrTgww:rSzZ_cr2ŰtU]n3çp T` + +endstream endobj 2689 0 obj<>stream +Hb``d&fV6vN...H_@YED81%$RH30s"*X\M]QCCC +j1X\WO@PYC#c/5XړǗ,lbOHLJNLIMK͋/(,,*.)-++Hohlhn)(hmkr0q)SM3[ZZ[g͞=g .Zd3`Ō+WZf6nڼePв`]ݷC je + +endstream endobj 2690 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?g:OR]Z NV7ӕNxd0q>2͚zlsU>stream +H ‡ WaCƲA{ٻ֬jK90B:WW΃.MEQ}WD/8hSr + +endstream endobj 2692 0 obj<>stream +HLY YVFA@&Zd7)0<ј4˺nV*g64/HRj-FsyKDiHƤb$琰RZs` [Z)L!~{!ih3S8G+ Rjˡb !tHA.R.;rſ'E5)%+'z + +endstream endobj 2693 0 obj<>stream +Hdxxw~bq+g}\g)j^e*xl|{ Wi + +endstream endobj 2694 0 obj<>stream +Hb`dXv ffflH\v8<<<|L!!!aQ1L;%$ed1%JEUM]CA`GC#c=,F[bgAdkgM@  + +endstream endobj 2695 0 obj<>stream +H ;0 FXM6'${uN)۶iNYgb4i Mk c:l6zޑs@gc,K9Xh&R23‹l4YoM[)[ɲ^xe^n' + +endstream endobj 2696 0 obj<>stream +Hl0ZѢ-6f$c҄?Wg8j{o6p:[>"R3Bt;cX0=x[ BKcG+ubr٦J5.!:ũϗ*2ӯxw' + +endstream endobj 2697 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?:dTw氭e}bnfAj;Gk`8F ++ +1c#. "Hp3kF[v?Il^Z_)+~kwa==+z~F^Ė6}D? /I^o[5W + +endstream endobj 2698 0 obj<>stream +Hici\ELVtseF;}7T<l<Ja>n=ZD f ׷_khcmZAB)^'ןd<>Dkhz߻" i8d;:FMb ,BΐR5254pv Ӵ@QLɚQp鯏Ʌ<ɱmiZTsg3uȝ +0,벬bA²m`U-0\]Ut E%I.<ӆhN8[Hӆ d + +endstream endobj 2699 0 obj<>stream +Hb`F&fV60`↉3A%@HX"'ʃIHHJIÄedYr +b E%e8*7B\LMj3PB!!UG[Gb1+.>+V .C[MM`[Y;8:vVsqKH{xZ{yAB- 0(8$4,:ֈH *Q1q I T%32b dF# + +endstream endobj 2700 0 obj<>stream +HjMnHխ*-h,kKS*))r*-,oUS]RYVUY^?mBwuq^eY9ʫ+j++]4}쪊򺦆:j)| + +endstream endobj 2701 0 obj<>stream +Hb`dbfXٰspra&/ U\HXEcEˊK`3E(4VYd0բE"pk0`v + +endstream endobj 2702 0 obj<>stream +߷ + +endstream endobj 2703 0 obj<>stream +Hb`33v V6v\<$xY$$,"*&.)`7h1 + +endstream endobj 2704 0 obj<>stream +H ͉Rȑ)GC!O@P@H!q!9#`AV`EE3)PQ&fz?z^>ZBJI$@ X7bb*V$}{jBMRzQ(T}$rpyzz<>dZ㯬pTݓïoi.!T*U&`0!H}|||}mÑMD"\,VѾw_`XF M&mP\.wnnN,{j2m$;L&l6 NjN*JyL +ZgyP((T*xO`hb-Ŋc@jODG^1H\^^ !x +%Lh6)2n?[ + +endstream endobj 2705 0 obj<>stream +Hb`dbfaec1q I)iY9yE%eU5u MP\DԚΞQd@`PpHoXxDdTtLHP [|BbRrJjZzmbfVvNn^>XSAaQFqJIiYoEeUufm-zƔ&=ffӖֶvM8xBގή^ 'yƁ2>stream +H +rSrSqSvYl=yhwIw[qIzl~|n>rTsStTc>Y~ikmr~{Qt*sT{Zqן&͞=OPT~xvyvsayc4uYqRtUcޣ%٤5BBFZfepMu\'rUvVyԜ#'*+*-͞;C@o%yX'()())/ާ3آ1spQ|[,*uoQqR{Ynʔ!*)'Қ#opQpR]y)&Ï jrR_zΗ"Θ"ܢ%қ#v^qS}[nsrtŽ (((Đ zYfig͘#Θ#͗#Й#&wVwWxWedj vVotTuU_^ Q + +endstream endobj 2707 0 obj<>stream +Hb`&fV6vN.n^>~F$ A!aQ1q I)iFFYP*o`hddlljfna ӕwpptrvqus`ddbhU/++ KIMK!+ yVP-E% +JY%EU5u@܆ƦfZZ;:{#{[2?aIL6}Fh:ײ3g͚]5gy="ZϚ0sQ<A%K.]h5@T + +endstream endobj 2708 0 obj<>stream +H!!!;;;[[[ppp<<<"""889VVVmbLxa1tVrSrSrTsS;;<\\\nnnXXX999:;;XXYl_Cu["sUTSVKKLJJKbabvvvqqqVVW556!"">>?\\]l\8ȫhgillm~}]\]444##"BBC```n^;rSʅjhg;82!/,#LIBda\o^8ؓSH.G9XH&j[;mbKp[.뢚`P+\HmW'yd7qa@pY(cjx$v(z]!qT踣is~v|ZqRdirmyXqRᯝ``c`vVqSҧ|`(}`$\uUzxzxyw~vdDwdBw]*vVsTxv}wu|vt{~xkXxjVv`3uU{yzx~yw}yw|veErTyvxudByw{yspub= 8 + +endstream endobj 2709 0 obj<>stream +Hb`dbfaafeccfg%eUT54`-m]=}C#cS3s8?/ L\=<}|A`cx\]CB#"yc 6&.>!1)9>Դ נ̬ܼ|~_[aaQqIiYyEe??S@P]S[W·]=}M&BL4i)SM1s9!Λ7E,]6a-Xbkxy̟kיI|k[ + +endstream endobj 2710 0 obj<>stream +rSrTsTsSrS + +endstream endobj 2711 0 obj<>stream +HlK!C[>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?y+_[YS?Fëj0+F'J"u|Shey9H))os( Q]ٺsTvqg+ҷ3" + +endstream endobj 2713 0 obj<>stream +HUutw[[]BBC667<<=XWXoopwwx`_`BAB(()%$%223POQono|||lklRRS012!!"ɡjilSRTAAB==>XXYpopvuv_^_@?@&&'%%&545qpq}}~ihiNNO-./ !ɰ|z~dcexvxfef""#&%&999WVXttt}}}ccdGGH*)+! "ʫxwz''(,,-?@@[[\vvw``aBBB#"$ںːcbeMLNQQSaab~~ABB$#%мttviijEEF'&(־VVX213112ϕZY[YXZǘ¿ D + +endstream endobj 2714 0 obj<>stream +Hb`dbfaecWPTRVQUSא70426153Vwptrvquss1` + u pssHHLJINMI LwL̬ܼ|¢b57()-+GwZX^Ҋ,L? Dubcw.N{`o + +endstream endobj 2715 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?+*PQ,ЛXWuo?P݅u9-Ǥa͕RZ_Q40u=:K}MioRSW>stream +H W +?}ww6I4L2*(L _"*HH <Ycܝo2 r-Ƴ\p-*2s[Y0e8Z/7CQ~;Hb0|jc+ZS!tItCUUjr| +yO~o.[MZxTD̕܅$e3BdKsy ʑNN乪hMT(4W_?wB6q4_>$IPcIӉMz~$'[/Tz$MF2&P(vټV$\FPGXq^oe2x6Os eTaDSAd0d2}r /Pͼ-cX&]Ҵ ˳#;8~J (>stream + +  +  !"#$$"%#&'()** + + ,-./00012345&6789::* + +;<=>?@@@ABCDEFGHIJK::**LMNOPQRRSTUVW"XYZ[8\JJK]^_`Qabbbc`defghijklm88nopqRqqqqqrs`tuvw7xxyyl(z{|c}~cR#ccr7qbc`csc±ŵȷsʹ˻ObTZRqѤRQT}_PRS + +endstream endobj 2718 0 obj<>stream +H ͉VP?8ff[0 ƾl0sjQ8NaXlPNnO!O_笻p8eEHa$&;>кz.4-p>Ȳ):MNk"aǞ'4@]U$yaS ɓkľq]hY4}UUل  .yN/Ad0 /ii-v@ O ó$m(_.78NN%ʳ:#_W8Nn<ì p2fs]. +۝Xv_h4LPS`V*jQ5Vi{`8Rc~ BT,Krzhz{(_77noRҨ혁kOpg + +endstream endobj 2719 0 obj<>stream +Hb`dbfaec!aQ1q I)iY9yA8PPTRVQeUSFH2[X"$mlML$ tt\\m ^VVN>H"~VA:H!aFQ(1@)HĤ䔘ԴtLKܼ¢TҲʪTںƦֶvqή Q$&M9v3-f\ bvI + +endstream endobj 2720 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?ڍ{:o'V-Ͽ#c Xu 6؏ηԦhn\̌4y;T5/~SZ@nKۈNEcuĻ ?n(SRE/4πI|ВJ + +endstream endobj 2721 0 obj<>stream +H S`A~)73E3L/H/*C~C{(el83[x;WõՍV;8?}=~i(3vX,g<=X>|}B1!DSU0,bzM{҃rkg I B +1UB5Bٷhz:N(2@PYձ+A}a._;x;X<~gj*H$S f2FL\: |, QLF|98.|<#A5-Fɤ,! 4Ww;#^gw׸"@?{65 @&o^vƢǛ[7d /FZ ߃J + +endstream endobj 2722 0 obj<>stream +Hb`dbfaecA!aQ1q I)iYY9~>P\Z[YE\[O? 0$ !aQѾ1`qOHLJNI  ̬ls(g_`lXLWTZb\U]SPohOI 75coijud~[{+zY{Q + +endstream endobj 2723 0 obj<>stream +H yWJ$!6cE5&RD}Jt}zϷ4UBZ0NM̪U&m1̂lfl9V+[a++BRjlԃӓ2!&g^-,×AV(y.vzAՠtZ<.VmRgWo[/L:]fU(W+;Gv'Me[#V +07wcT{gRTyjH/ryԫ~{댲Bx,+ZX+Լ. +s#Cs4A6/L4-䎝uN %IESQ8pqԉ!D!0"kӟS + +endstream endobj 2724 0 obj<>stream +Hb`dbfaecf!aQ1q fIa)inY9yTBPX\ +&.h`mmchkg&. =< =}|сg8в@@C,@MJp 0 +  C<<}}} ""#cbSR3L83urr]rM , +JXJ˼y+*- +kj\Xdj, IA + +endstream endobj 2725 0 obj<>stream +HWϳŮ iFG + +endstream endobj 2726 0 obj<>stream +Hb`@L,l \<| @PPGHHCbFal"LbœR2- + +endstream endobj 2727 0 obj<>stream +Hk{`I7BiEL'nZ\f ;?ں+1] edxu٠7 +r G><$*/)5ܐ/NcD|؞"NaM.cH$|)K*(8o/Vbn[Se3 hɗ +q$МTUzat-g\SKԵYٵLNU=4:x\ٳzm@:jjk,Cp>$9*|L!_ṳ + +endstream endobj 2728 0 obj<>stream +Hb`@L,lhœ\,<|B"bhR2bhr +J2hL*jJ2b(šZ:jj22bz<`qQdq-izT{9 b2F2jjjF&&ffHN7*Ebg`)#`el;BܗOFM@?@QI}`PGH^X8JDDFEĆA% + +endstream endobj 2729 0 obj<>stream +H y_!~mm:QD 3(j܌]mװ=-Ma;j6ڌ6ʝΰjS.z.WdJdhxL,`Fϓ^on~֭TZ4(l9.g|qz?L^f9Y;jFx8.O|Hܫf1LE\뵦d2OZX/f!.@g&x>RdB\^V?ezmN'wssw{bX"HR\DӵN9tpy`$/H$I b-$Y(U +J5h2,!p}*|l\~!)..4^3 ^A$Iz0y d1_IETz.+JZhd2YV070~v&BDU*-VaЛ{xu.'jQRXΏ-cLb}L66X_l66y$INOepñ + +endstream endobj 2730 0 obj<>stream +Hb``dbfaeccceab`KHJJ+(*)0k4ut t yxLL\VP,h褧keek`Q%gkcm +V + +u2  +GE'$&%ئggfeyyWEVfUU74&45?iS SM1s9s_6mK.[bk֮]"-[nb{׎5;wڽٽ8x ]v_v [ԉN9{[.-|*V׮߸yw޻IQ҄- + +endstream endobj 2731 0 obj<>stream +Hn07QmT4nBڸJłΨdc/PNcY#B^uY^m ǔi4~Od)ĬP# Bh(Kav7Mn($E >VkՆ;w%`:Q Yğ%#mu;gfQQ41v)|~ +\27S/Si9 + +endstream endobj 2732 0 obj<>stream +Hb`dbfae\ܬ<|XąED1%$eWPĴMVIYEa6M-m],zFXčML0]&onaMƖ =vD؜=! + +endstream endobj 2733 0 obj<>stream +H Yr l@ETd V[)R}]}j_J58nKgJ-w͹u469WQI +ޟ%șfLma>Rn;7dJ)R|t]9B8c2!,#!PsqB,`aM +&DR $u0 w_* + +endstream endobj 2734 0 obj<>stream +HTZ@AD@(RJˤ$۬,(dHJ4M3 S.W r|Xt# V32%)Z (2&QGUj2>~6 M[HrMa`Ѵ[Q3Nez:47}hs!<>98!>~p6q= s&/~tyuf37c?4Na>)_^(Ip73/. + +endstream endobj 2735 0 obj<>stream +HRP\ Z3q,^"Avfs̾g8qg2ո+}ȋgF8Lp&nsoO.@-Sa::LM4bl }7ܮIjH4TXZIߧ!R>mp[~2} +FT+mi:tm?d+j5N\ci`ZELS.Vɫ[0 kp1[^&Z r,GB|I\RG`0JX)TQ|Q'l& 7vo>_iX3,E69$u#CЛwX0 _ +0u* + +endstream endobj 2736 0 obj<>stream +Hb`dbfA̬l\<|B"bRB"(R2r +J*q5u> yM-mm]==}6C#cSM 6533if深Ih;88,⪭ vnnn^>~p m.;@ɠаpMd Tf&q I) 55=9#MX;+;'76/] +]Ư [!A5 + +endstream endobj 2737 0 obj<>stream +H W $EEIu^fg)U)εF+T:={z +'oJG_M6IΘV[;bcA4&zw3]1'DpnIeʴΤq\i:<4a]7=0Â17жUAA(ڲ쪪{Dx<ۭk#cy^6H\cD2}>jj@8,+U , + +endstream endobj 2738 0 obj<>stream +HLVPaI ++5B#++, +DK-e4ZYߤtfqITX^Y-o+W* ]ՁHQ#/jVvU.US3 wt]t%PW)E0-[׻ XkVnyw\ g +==FoÈް9k}˶#zÆǁ72<K阈?tf[O޹}|&|+ﻔ BO + +endstream endobj 2739 0 obj<>stream +H.ƕ+ȓ#̖$ӛ%ؠ&ڡ&ޤ'(((())'Қ ӥGؾwu~xv~%!ƒ#Ϙ%қ%Ӝ%Ԝ%١&'('˕ ʣSåwu}xv}p| Ȕ#ڠ&ݢ&'('*ե>¦kyw~tTsTdvwz ˖$ћ%ۡ&ޣ&ޥ&5lĮ~pR]klln~Đ"К%מ&٠&ݩ*Bψĵ~{vt|rStUuUxWdkm͗$̗$͘%۱6bƀ~wu|zY~\]isrqv!ЮSћ˸}vVwVa`gʫaε̰~|rT}ZĨl̳{yyx~zXooѤzxqRzZ{4Ųטvt{rSpQ ~` iŰ՗sSqR c#|ȺΕsR g,Ƿ{]{GrRsTd&Z|z \3 + +endstream endobj 2740 0 obj<>stream +Hb`ddbfaeeeca摓WPTRVQUUUSbf70426Q1533bwppptrvq65usss + 7507O`JdJJNIMKIyfVvNn^~AaQqIiB"""(ljfQQf-m*MMM摑]=}LF5Ae`SNnjfDD̜5{y`$,\xRDDbز+VZ7 +h3Hߌ5k׭߰f96EGlڼe;v% y + +endstream endobj 2741 0 obj<>stream +H,[sF=" eP qI5u d5R, + um:-f:Qrg1} '7ecn?Gw_akLc8UQ*Yffގ輪b:N;G0N~LyaXV0uN$T6KO\_j_v<8 A^\d|.W,2Dz~c CP4!q$E7na,j4{\.ɉ X4wOUC1t^csl-LN!lZvfE٥ lA 0 bl(:GJDĂ8RRRAFA6~2t,j!D" +JA-C6CG`XjbD +JUԓ$bv1b2 % H]>?KE„s: +Ǘm( + +endstream endobj 2742 0 obj<>stream +Hb`@L,l( \<|l(B"blRҨ2r +J*jZ:zionaiemckg"i",YĤȴt$S22|sr +ҐċKJ+*kję[Zkm믘2c)SN1s9s5_y%}K ]@ + +endstream endobj 2743 0 obj<>stream +yw~yx~zw~zwyw + +endstream endobj 2744 0 obj<>stream +Hb`F 0jF\JqKʌJ\I4a + +endstream endobj 2745 0 obj<>stream +H i{nC iƦO1TA)#etzQZj+fq"VX|> P(bLN.W(JxR4'IU& D*vwp`6x=6ׂz#D"2+p n +A_ d2M=D,UȕzVi,fK݃"$ DG##j@jA 2wZjq|$uGe^E&NQԇ>܏Hfxzmm~v4t^v3^Ǡ?v=vŅ`2{x~z|?.1@^rxCm/"D8|AQ zh"ڼB:KEǢ#B2.Vb=e)f2ybE<](MyݭV[uxW2EW6CAѯ׻ZRiJu-rKA\$3 ?9n~  ےTf2Lzm8L}ca + +endstream endobj 2746 0 obj<>stream +Hb`F&fV6vN.n^>~A!a(+PBS\WRJZF*!',)'ήɣ Z:z\\F&0 FF3s K+k[;{yG'gW7wO/o_?`MDhXxDdTTtSll\|DtBbDGhrJt$Efdfeii$ 2%77<" TVU՗6465 KwtVwu7G#IL8iIӦ;EG!$f̜5{y,\$xe3Ϛck֮[tÌ:7oA0t + +endstream endobj 2747 0 obj<>stream +H;rTrSqQ |a'jwu|yw~qQ }c,~rSsTuUn9~xv}~]|Gzxb"W}{zw~ywsT}kEsS}pQxw}yx~rRrTtVtTxY{]uV_avXg-orRtY{hBz~zx~rR qZ%plc~|rS rZ&rng}{qRr[)uri~~zygQ dYAvsp|{aO)]YNuus{z i͑ + +endstream endobj 2748 0 obj<>stream +Hb` fbfaec㇈CT A!aQ1q 8L 0" +HX. Q6_j>!r +-626153D K+Sk[;{G'!z#gW7wH^>~ H@p| ohXxDdB=Љ@ظNtsS0S3œ6 + +endstream endobj 2749 0 obj<>stream + + +endstream endobj 2750 0 obj<>stream +Hb`dqITvc# + +endstream endobj 2751 0 obj<>stream +yw~zw~yx~zwyw + +endstream endobj 2752 0 obj<>stream +H|A0I=E\s'=GSbܣRx*w7(`,+8 + +endstream endobj 2753 0 obj<>stream +H W+ I"O! + +endstream endobj 2754 0 obj<>stream +Hb`dbfaecc>>~AVV!aQ1q4r^E%eUi56u M-$:z F&fV6v@CE? 0(E<$4,<"2*:&E<.>!1)9;5 U<=#S8+;;G ܼ¢Ra޲ +ʪZTu FM-hm]{z'Mċ&O:atqR> + +endstream endobj 2755 0 obj<>stream + + +endstream endobj 2756 0 obj<>stream +Hb`LXXXYYJ*-k + +endstream endobj 2757 0 obj<>stream +H  E &۝C紵gsw SײW"U츿=~Zv曨R"՜(H)a%F10t]B 0!CR + +endstream endobj 2758 0 obj<>stream +Hl EQ+`jBB5ikpAQD@|"`XieapJ)c,I,f-mfeQ /UUp;ұXίB-L츩]5 tGk[O&>Vݜ v2*kꇅoR + +endstream endobj 2759 0 obj<>stream +HYľ¿ú|zwu|xv}yw~ӭ}zwzw~ҿƶzxţ}|}{{yzx~ѵ~|}z|x|ևyw͹©^߾O¡JǥˣP׹רGɳ•8ՠ/ɰ(Ԟ)շ~˖$ћ%öģb Đ"ѹK  0 + +endstream endobj 2760 0 obj<>stream +Hb`dbfaec>~~A!aQ1qWPTRVQUUSM--Ymf]F=q.}Cu 0KIəZXZX[j +56susFҲ@HApH(6(l1qX%JcsUFfVvܼ"ӲB} + +endstream endobj 2761 0 obj<>stream +H@ 4i0njJ4NQJ:mw{-6u %-2 cd*iG| sL6 tEseV^7j$;'ezb 1dqQdMw7dtJUtpJz1o-ڑ5sV__y + +endstream endobj 2762 0 obj<>stream +Hb`'`*ČUC]_@PHX]OTL\B]IJHZFV] +bU^5u 4մ0ɦMO߀CCU" `D + +endstream endobj 2763 0 obj<>stream +H?Οbr,wzʔ}OforȍtCaigѥmQx@g%ֳr[r9ȾǽټѨĊ˝ + +endstream endobj 2764 0 obj<>stream +HtBPF}PiM4 N4O}.EPTdL-Ccdrtfq/q=}snScD4;C\o':/+g + +endstream endobj 2765 0 obj<>stream +з + +endstream endobj 2766 0 obj<>stream +Hb`$F&,XY9Ĕd + +endstream endobj 2767 0 obj<>stream +Hr@!uE`E@:ͣ|!][ )r7EGnTfezst5D *&r]8Y.$,_[=ҨtT.gd='pk̎ FSǗxV/zELUyv@4NJ!L3N᪒I?yRۗ*'VDbIۣYЋ)6y.&Ἐv,Xkb;^ 09 + +endstream endobj 2768 0 obj<>stream +Hb`@L,l C_@P]XXDTL\GC\JZFVSM^A\VF%eUILjZʵutY1% X 1 a:^’V+ka cXt'gW7w <<  + +endstream endobj 2769 0 obj<>stream +H~㼹|zxv}yw~଩{yܘzxےvt{zw~yx~ّӪwu|ŕ}zx~~{z{xywyx Q + +endstream endobj 2770 0 obj<>stream +Hb`dbfae\XxxXI + h6Q0`@L\ $",!+' +2S.!"Hr*.1 jlh$Աh`6j"  p + +endstream endobj 2771 0 obj<>stream +HNPпwв0m"&h4Q52/xmlӵ D'f몭) + Pցɢ* A<+PM$8c gLUKH#QO`eHK.C)#qњbA$AIp9F6$ ,-YDžj1_)xL*/Mf;08D^QԫzTk6w7zX^ߟ֚^eKL1.o&h8MmY_Џ(8|w>8n#_.-Ŝ + +endstream endobj 2772 0 obj<>stream +HRP`RI# b0`XQ,Q, ?dj盝7I 'HN1, iQ3%*k$卜(eLEAU5Oh X`-!+JcnNx]b +ebb;թklb~só\aGRq(QePY"ɶ YMbF٢MoWO|k{ib{G'Uu݈߿i0<Lg:wDOؿO + +endstream endobj 2773 0 obj<>stream +yw~yx~ywzw~zx~zw + +endstream endobj 2774 0 obj<>stream +HI k% +j[fiF92e}xYnN7Z2mHA,b2J/Y +0,P + +endstream endobj 2775 0 obj<>stream +Hb`0 + +endstream endobj 2776 0 obj<>stream +H {OP9[mmX֚s|mt!(!p`"o;^p<;Z6[N'Ҷ>B~䟴ZmN B'ŪQV6'J2lG>;\ "0 +|PUlo1 +#V3[\#~@Y;] d4X@m`VAPUnBh4wA킪YjY +"fCk&`&eT./Uu'ͪ':t[CAb=>(6ɝ&YyT?=_)A jAo2Y-Pzig/AVB44c81 I>Dӣ7T+{䎽#aMf `IQ2%,FP!P' t+?nN~lx4MWXV`Ya4!t2J$Y"ND8Q=4mD[f53^7N<ǭp\ax|H–<87i6֤o˧R0t:+ +B1]dD$OF"htU,C٠d-bQ+˕0N8'Eo霷ZAIڬT6(yJH*_r̙ + +endstream endobj 2777 0 obj<>stream +Hb`F&fV6vN qnf^>~ED%%$ed`b\P E%eU5u M0T[K[GWOȘ nAS=3s K+k[;_?аȨظx΄_$ԴЌ̬ܼB"ҲʪںƦֶή޾ 'M2u3g͞3w C-^ҷt +z+WZzu7lܴymwܵ{@S?p#K;~SϜ=w²-@+.^Ҿ|׮J>stream +H S?]m35&"\q2+X1=}.͙e glZ/+h0]l5r*+>Ȝ*XxҼجk7|/eסKҤ nt_j\t:-u`HjƭXhsGqsQI0u-y!s'fVァ b 8FR?cσ(z`N< 6(ܳ#yJ& 8q1am>/M/5 + +endstream endobj 2779 0 obj<>stream +Hb` +3bgc*¼|B"hbRR2rrȚUT54j)HZFVYAٙ<<}|CBa:Q1q I)`TkHKPͳ}}¢⒔RZ(+̫a5u U f? + +endstream endobj 2780 0 obj<>stream +H v?=EQQEȐȔDdHE38Ffl6EQuGQlq +H#~0AQ +A͆j/bJZ8LZdƲ2M858CיL|\"5($颸CRZ*U(JH$ +ÏyNc`ɶ~Mӟ$u}>a0 XYVE5E1 rI " __'Bд I|zinFYqI{~Wznzx>stream + +   !"#$%&'()*+*,-. + /01"234567689:*,;-.<=>?  / @ABCDE7FG89H*,-.=>I JKL1M7DNOPQ76GR:ST;U<V>WXYHZ7DNPDE7[\8R:ST;]<^_`JaMbcdZFENNPEE[\89e*,;fAg=^hijkld6[mQDPEE[\GR:no!pIVqrUMbc8\[EQDDPQm\Gst$!u)vp>whij*x8\y7PzNQm_{|}~!gI^rUjScdZyEEQQL|}hakHy7{|=hiakHdo{)I>^rUjLWt{|}uCYp>Vo({|1u) + +endstream endobj 2782 0 obj<>stream +H]YZY^X/h^^]\ZIw3k1j1i+f]jgfW})eZ[[Yi[ZY~Ds!`]Z~}_-g#b$c$b Q + +endstream endobj 2783 0 obj<>stream +HtO tEgҽ^Z)PBp>ɖH2%w92þV& 0d˰bUQ(᧲ꍦS)vGq<)'|\7[)w_Ht\oBD +0>M_ + +endstream endobj 2784 0 obj<>stream +Hb`D P`@H0b0P2Ht1"L7bhD bDO ) -m + +endstream endobj 2785 0 obj<>stream +H jaԋ ^<"t3OuAhKEJR&oe&$dfdlgg(!">stream + +  !"#$%&'()*+,-./0123456789:;<==>?@AB*+C-DEFGHIJKLMNOPQRSAT*+,DDUVWXYZ[\]^_`abcde@ATBfg-hiEjklmnopqrsteu@vw*+gC.xyz{|}~dQu@AT*+,-Dpqste@wBfgC-/rsteu@w*g-~steAvT*tuAw~e~s + +endstream endobj 2787 0 obj<>stream +Hn@ DBr٫w h*R@+̜sYs=QKbu`D"Dցk3DmK)!`P(F^Y_},j_QIXYVrz\~/o)c_S/1,?91 + +endstream endobj 2788 0 obj<>stream +HTWPa@Ȳ +H@Mb6A2d߯^${z(i0 ˲r0@04_#!(1Fs LtY89Q8x<&1H'ٹtl~awl m9*C2,Ԛs0W PfuUR liM&eUt=qhPKpt\99=; 0-{dY8>Tʣ[DZm7-5˫joyFrv#YN + +endstream endobj 2789 0 obj<>stream +Hko0E*G[J θ-jtGtQZr9_䱒yqO'4#BhՍ)eqK8O9\c%v=!DK2Us8P'W~ xʳמz~x9߭W4.-O.NƔR{y61?Q~/aZm.K]7LZv[u\VUFmm;Uhl>j>#j=~_S5EQTU,>5Ϗ(&5`= + + +endstream endobj 2790 0 obj<>stream +H>stream +H …0 md^})V:ZtIZ9~勵RZkss͹!J#Ms`;SXkњZ4FLE%R + )}9y_YI + +endstream endobj 2792 0 obj<>stream +HT횂 a6S]RQ̏?~ ʢ8w0 4 zp0!a6B)@,4x2&<猱 +8,)ǧO3#ƎMV'%9NJZ׮M֐+2!rY: }ߍP=~laEVQ & + +endstream endobj 2793 0 obj<>stream +H 0ǫ)BIUϿ;+U45{ӿk}k̭VՈQZ +#2TF@1BJ%g +!1וBJ/ + +endstream endobj 2794 0 obj<>stream +HTY0E0(!̃avSUz1feَz0|< 2@lq]8'@tB~a6Stff%p'+{eƱ*2΋!*Bږ][eG4hR՚®AcW_6cT}6I9^C0ޚn^E49n۾9u;Wxh + +endstream endobj 2795 0 obj<>stream +H I c43kiSʨUpkw0?s~s/g*Zc5ƙMss5FB(xs x/Ak} 4cu *e [! + +endstream endobj 2796 0 obj<>stream +Hdm{ a@0]lM)f H6r0&m6m]1P;$t3 Et&JƜN2u91a+iz"YƎ-~Bι AYXZdYCHy}1鬪(ߛM[UuuMVJʹZ?cNua|{mVZ_' + +endstream endobj 2797 0 obj<>stream +H@ gCMivH5'+l$ +<_7wG#ֻrM; r48ͱYc[vPmGz3tPk($,z!K/W;5zf +Ԝ[ .uľ/r + +endstream endobj 2798 0 obj<>stream +Hb`@L,l 考C_@C9 r^q n qvI)iY @((b#HF5uy MLq-NmUL +`:^6WYZYc  + +endstream endobj 2799 0 obj<>stream +H ‰!U_/Iagk{γs+k̍缥mS +,9ƒR !ǘާs;'%X2ZK@$1`[BIw + +endstream endobj 2800 0 obj<>stream +H\kB0FFf.Iߤ;yUU5Mu0 iYpTeCl{!6茐m"Y nFqГo$Qc~8_IK)%Iad)%DZse>stream +HB@ڪքn2qs/>-|X:Q<2:#Hn!Tm>H4nlDA:߱ +8z O8ʢ4u_I' 6C|/"UE_WM%c + +endstream endobj 2802 0 obj<>stream +Hb` `d.ŠU07q^>~&Ata!aQ1v Y%$1LWWQRVQ0AM]C8DŴ8^GW# Y0 pUo + +endstream endobj 2803 0 obj<>stream +H ![@D.ζz{ }w-sZZgν=rn"5œEZR%J%F!D"H{[ ` I + +endstream endobj 2804 0 obj<>stream +Hl awqly 1îM7 Ӳlq= 0bMS$$ 5]7LӲmu=_R +,Aya b,%U* #D,RRgu-QPJ +\U PzBlyױHc07BlOx1yǞT$hM^oE8 + +endstream endobj 2805 0 obj<>stream +HWĹƼxƻTź|NŹ|O轰xJƾcg1ż`f0Ωyl;嵫oN괮ukX覤`_aِVUXbacBBC疕?>?434ۅ101... 5k + +endstream endobj 2806 0 obj<>stream +HlYBP@2D24H +ɅF, +}٢ž}@:z'grE(ѫ*wi]>4 08V + +endstream endobj 2807 0 obj<>stream +urp[[[\\\]]]^^^^^______````aaaaabbbbbbccccccddddqnn\[\\\]]]^``agee\\[[[\ZZZ + +endstream endobj 2808 0 obj<>stream +H[ EhԒ@Xř/'73DdhaOrb )(JIZ +&( T;rDʇS wi[6[p͵  0ѝ + +endstream endobj 2809 0 obj<>stream +H#؉NNP...ihk;;;///MLN**(뾼MLM+++מFEF,,,TSU545⑐CCD111俽utw555ىOOPihj:::֞FFGtsv??@---斕PPQ^^`QQR==><<=888333YX[xwzONPNNODCD666Г~|~|{~kjlQPRٸδӯԳ % + +endstream endobj 2810 0 obj<>stream +HlE0@  R<;ݽU=!~"D +@1J,*F1Norl ;.v_, +cDcqiO$St& 9BTlFt5χdg/֛n8˯_o1Ǽ1 + +endstream endobj 2811 0 obj<>stream +HK {Z+*C@Z뽏1k-f̲c"zU)֊Ds.8c@(9]:EBHN, + +endstream endobj 2812 0 obj<>stream +Ht oyI!-P|;$IfYť,nkRIEHt]?P:`(EBʪf\d0Q +<>囍#zY0&\A +c̦2GFfmX0Z#J)ys1 A49tGJQs~oo|u?y E" + +endstream endobj 2813 0 obj<>stream +Hhyw~yxyvzwjUu]-sUrSrSywyuxveFsWsTrTyxyuwua8rQ zx~yuvua9rS sS|x{|y{{x~yttu`8yq{t}y|zw}zw~zxyw{yqku_3rR EOfnq|vweBtY+9Xchuv^+rU˔ۢ*ǜAFSx\qQ ֝%,ۧ5բ5ҥGͿz^!pQ sT*,+)@ͬ{_"pP ,--3Oţ{^!++@ݶcǵqx\qQ ؠ)ޤ(ڴdǸZtUɕ$͚,Ρ@ʱrEoO"Ô.àVqDpP  + + +endstream endobj 2814 0 obj<>stream +Hb`F&fV6v(3 \< A@"(@L\Bd(I2r +J* e@"Akhji9`]`F&fl-,mlPN.n }|PƋjTLHl\|BbR2T*bC/osr !K ¢Ҳrxbp % + +endstream endobj 2815 0 obj<>stream +HGZZZ[[[bbbYYYsst```a`a___wvxxwyyxzooo\\\ZZYİede^^^]]]\[\Ȭ~wvwxxyvvwhgh__^ʿŠijjkkklllffg[\[⺸vuwqprrqspoqaabѴgghXYXYYXܭkjk̨zyz¿ǡ׻  + +endstream endobj 2816 0 obj<>stream +Hb``dt#ddsJ! +; YN.n^ff>T+yEDb .!!)%-#+' ""7OQIIYEUM]CSK[GW^P@{ 0tppptrvqusae@[Pȅ!"pq{PȨh˘8&{djg"nt}jZzFf=V`]0=@]9 + +endstream endobj 2817 0 obj<>stream +rSrTrSsSsT + +endstream endobj 2818 0 obj<>stream +HtP07oCnRr ")hR?9+fU i''~ |B0aȚjLA\n浥 >stream +Hko`F[K)-Š 9EmB0pdoK1Eg,1џh=_=&~n/OEcH Csqu54t:y!LL+g3etP(SAhr2|k*,fJh#ǽ1dm,0dD0;8|3k/y3Ur9Ք!S.ύVڽ tsE^W|J=uh"ϛYߪ!"ZJ{ɕP:n=f3k~q-0굽6g*hKX2 ,ŢD\XI{~Uzp{9.s cQ!~?|ᬺhǐlœhDz8v_ޕO`x4M3 J^>))vRjx k^Tn&oM]uJ-p8-\.-.{hʠOc[v6UT0APK`vؐW + +endstream endobj 2820 0 obj<>stream +Hb`dbfaecggbvN١ gR2%' *j Z]CSKHrj*q 43wptrS  + %6.>!1)9%5-=#=+;'7/$ --+ȫ,J5]=--}@"A9'M2u==3f +v5k/XpڴEY2{+eK + +endstream endobj 2821 0 obj<>stream +HkOP +q|l)˸MܢY[KK շT vpjcCkRymrN2T̾k:L#T<6V,kBطt ^ʛ%x}1 Ӽm iP2idlA#!BH4]MӴ^@7 εrWcl\8qqH{.gEQ$ ۄ= Ȳ@:G:8.cZ_|82 + +endstream endobj 2822 0 obj<>stream +Hb````dbF04TI+`h*! b#H4sEj912pq  + +$,"*&.!)%%'-#+''.U5u M-m]=}>5@=, F&fV6P ]\ݐigel?ww;`$@d>stream +H* +( Rɮ,+ +(` PܼpPXxĘ༼ƪʊ`PxDؠš$Tմ8J W3C$٩4/(;C&ݮ &J;E'K!/<\1N'E+[(*R;UxAȜj󺊒Ʃ9GeLk))/khS$~r򡙮-5AIkjeM149,L`fء 'xMkͪ(_Z)~aZakt + +endstream endobj 2824 0 obj<>stream +Hb`F&fV6v``d`b`Gj"!*&.!)%.&!+',$!a.;'>PHC9 K+k[e`q;{G'gvT ]\=0$<}|=Pt3pp2! 5J\Qظ 2; + +endstream endobj 2825 0 obj<>stream +yw~ywyx~zw~zx~zwxv}wu}vt{rpwzxmkqb`f`_dKJN{yXW\==? + +endstream endobj 2826 0 obj<>stream +Hb`F܀ 03TY8l BɆ` ;98sq LHn5 @8 + +endstream endobj 2827 0 obj<>stream +H ORq7ŖZoK/q1јͥ++i kDMX12 p~\[e돉?}{a/4FȈKℾpŊx/j֊ߙ1dGhШ +fi=9&e;6D/:1kYXɀo-ɴQו%5M $8Q $Z; +2 &7ȫc1`$&f熇 #QK +pP +@%/h)ξZrk7GzqޢiYhI" l *347候ǵ7RAf|ěu4V,lHm d1lJBs/wPv|jHNItQU6Qe_tys;aґ$ yHp 5~99stiYiٿӿǿ{{bۇ꺭v#KQ + +endstream endobj 2828 0 obj<>stream +Hb`dd`be0aBȀ 3 +\P fcbafD3_@PHagAAAQIYEUM]CSK[ 5zF&fV6v )FG'gW7wO/o_? {FPp'ȨظĤԴ̬윰ܼ¢ҲʪںМֶܼή޾ &e4ff592yig̜5{yk'.hX j%K-_r9箙>18)')u +7nyޖ۶76hvp wr0^Q + +endstream endobj 2829 0 obj<>stream +H R@SUX]A1ãƣ9X9ӏ^-'?L>stream +Hb`@L,l \<| I)iY9y"LBIYEUM] +IM-m6n]70426Q6EH43ҶE5Ua9H 2^30%8$4 QQV1:H@Jq6 VVbSR\\\P%ғ32srM|x0WTV% + +endstream endobj 2831 0 obj<>stream +H g`{u(( (*XƲQwGd2_ys5[10M۲Fch4;N֋vo6x8\v{~|z<~~=M3{e }Cg4:6nߟN~>IRdYeMQtM3 6Muz ֞]_6y%b%bVEv ]۶3Xf),I P;Z 4X8AUneٓ$IV*Z2 h ZmI;naATJjL./R*(8EtyNg2\>_(K(J4,w!J$Tl6W,"2JEUh BH$ 6 Z Ã`8FLJ%@ aNV!&Fj \8 (|1 > + +endstream endobj 2832 0 obj<>stream +Hb`dbfaecfWPPRVQUS706263e73RVӴwptrstep3u7eW4WPQSrI12S4V  +JK3FȂ̌T OHLrNjJșEǤgLK6_Q\T K6YRZUR]XUrKukMm]}CcYSvsnTKkLzl-]=% eM9}`R>a⤮ )N6mLf͙2o~tAI A/霱gr}e@ԨӦM: (|BNJPLI04 + +endstream endobj 2833 0 obj<>stream +H sMTR26:؝v,̸<2a;?aa!qBCAWօH0JZF\s^*H<Ȇkš2xvC͇x)SɌJb,{='t8YIehv'L'y RZ~G"$d\\YNȍMXAlHc`sNNqyL~h۷la7^jo~mV>stream +Hb`F&fV6vN.n^>` HBuHIs*(*) gQTSD6GKTu 3eMLQ̥2V`qk=|8Н@::9s]4a`j +4F'/kA>~LRA@аpDD匊#vebRrbbbJjX$lwfV6@4*o + +endstream endobj 2835 0 obj<>stream +HNP$@i{b+č +Ja#JT S؂ +*`[`<vs hDfyn΢q Huh^vM烼y7t:^G4I!gm,&Mh]w:.ZehFM(ѻS>v뭐̤La `(jR)ZK45pnXq$9Mp0nɝSĭma9mrEt>stream +Hb`dbfaecgba䒓WPTRRQUSb5002661530j+",P(ne.+ka^Q1q/1IR29E"%55E5-=#3d;cEDJ±{yaWTVyyI<[= + +endstream endobj 2837 0 obj<>stream +H rE[R(\$.en6'A>{ h4lTo6VZl6D`vOx\nva@r8zUz=LzhL6X6 AP(L>*&5 a)ULP*Vib2=[Nh8!P"ˤRLT(J%Vz/HJ ܏>+X.WTh4B `0L~p8OD"DJ`xyx{b= \/g$ + +endstream endobj 2838 0 obj<>stream + +   !"#$ %&'( )*+,-./01 !"23456789*:;<.=>?@ A 34BCDEFGHI:;JKL=MNOP Q$(4RSTUVW6XYZ*+,[.\]@1 ^_`abcdeBf5E7g9*:;h-ijklmbndTofpE789IqrhstuvwxyzlmRc{eBW|EYG}~qrtuvwyzmRcdeBf|E}~rtv`bRTo6Ztvij_`abndeBW5tvwijz`mR{tix`uij_uwi + +endstream endobj 2839 0 obj<>stream +H NE\Gbb$QLHP2 ʎ0l{oRJݘ>sr u1 _=UudEC4SFi$0Aj"!X.1  4 f뾦bI^vsȲ$>货j˲):%`I~g󶎳0>stream + +  ! + "#$%&'()*+,-./0123!4 "5$%67889:+;<=>?@A + " $&+:99::BC;DE@AFGH I JKL<-BM97NN7OC;D?PQRST2!"SR0/D;BOMM77:B-<LP0UVGFSA>EW-+MNXN7MB-<=PYZJ[\3G]^_`>=.,+OMMM:,C;Cabc$#[\Hd]ef`>WCO7889:OgahijklmnopqrR@/=.,+:9O0stuvwaxyz{|}~GT^UD,E{svaz{oHr^Qwi|l[\32FnZuwi#[yZvi$ + +endstream endobj 2841 0 obj<>stream +HoLJKh-RQʢ]Ɔ8&Wb搑i{:;=KQ4ðJ& b&#I(lVUռyX,z0,ӬZVRkF޴yl>$I40\*ŋiZ4P0 lrZbqf8!#u,,˪A#(ah, )aY~ClR`(㱘RTZ%QT|># x^x<^:0$AIn:vq8mr}m09+K + o~F痃au{]C/Q(]/~w|~yڱFi6vvuYplI lo&a``vGW//N^vڭ}@H̦_?ӛM1(b(?BZ + +endstream endobj 2842 0 obj<>stream +Hb`dbfaecfaae琓WPURVTUUДbԖѕe7V54R64153ԴֲaeԗQ4Twpt2Sb`tffecwqʸ{xxzXJi1:u[ZY183G]1hUbg[Jt0ȼX YgdM42sr ܂v5:yWTVU4Ա,Q]^u&N>stream +H y)B-KTHn*Y.o\>w横>O?>f>Nj>7iZ뵳m۹u/r{}??IQ  `㱮i|21r5M{q,ߟ\|OlhEQ偢Fޓ0lvf^z=DAE響25XZtVVv{ ñ(I$ ñPQpŲ}>_$Il.}j5iS*!L8N_2Nl T*H$T.p\_X,JyL\Vx<+ +a(Fx< e] 2\X*aX <AX,H (\ A0Hmv8Cs,N&S 06!\ + +endstream endobj 2844 0 obj<>stream + +  !"# $%&"'()*+,-./0123 4  56(789:+;<=.12>;?@A6BCDE89FG;HI1EJKKLMBNOPQ7RST:+<<=./NUVUWXL'YZQ78[TG\;=.=]U^_`@Jab?'YcQde8f*\:ZAg]hij^VKA6)LBkPZDRSZ@lmgnopg]UVKq6rab?NsPQ7'tmuvwxyz{|hl^UnAq(5}'sr~|gl^V6(bB6|ug]UVKqJ|hlUnnguguo + +endstream endobj 2845 0 obj<>stream +Hf؛xv}yw~јxw}xw~תyv}¿Ƃyw~|vt{꾻€~wu|Ù|z㧥zxuszvt|ܗvu|zx~ˑwv|zw~Ŏ Yb + +endstream endobj 2846 0 obj<>stream +Hl@Q`Tݞc:ݞ1\?2'S:,!&"v7qrLA O:tdzo \(赸5P|6L# j + +endstream endobj 2847 0 obj<>stream +H Y +@c3BGr)(,Z:A! + +l!l;Dy^aY0LF !$?#J BXr>^wx8J%۶\.:UUC=Nn>Wp܄h>[Ԫuq]bY6)W3Avf5/n] + +endstream endobj 2848 0 obj<>stream +Hb`@$$悅P51膡UM]pf2c32sprqss;_@PHHE<zE%eU5u M-m]]=}EE]UC#cS3s Ex + +endstream endobj 2849 0 obj<>stream +HW EэƉ! D^}DQJ93WKZ5n1֜Zu=1"Q1漽(9/kscP)5XBD)BHDwnⳝBc{v@G + +endstream endobj 2850 0 obj<>stream +H>stream +H …0 mf ,c:Z1ל^K?ץ֟տZ{k&z_̵J$̂DI 9#s14 ǘ!\>XXkqihcdܶ/N- + +endstream endobj 2852 0 obj<>stream +Hl}[0a7L 3VLo9y{Ι 㸞AMfeOZ%~k1Bv $HK ֔ r\.1?+`E& A ̋B%glTRY&AŮZy!Dھ*=^2ף֭1_MSlO]߶wS7;t2 ϗ|`(. + +endstream endobj 2853 0 obj<>stream +[[[\\\]]]^^^^^___````aaaaabbbccccccddde___``abbb]]^ZZZ[[\\\]ZZ[[[Z + +endstream endobj 2854 0 obj<>stream +Ht  [(emD(hRZkc!eY7Hݽ?b\AMnh|lLJ7/7=~/4g0ޡ kJYP=u?!\In + +endstream endobj 2855 0 obj<>stream +HY D} +fB&[~SŹB)@1huyþ }5:Jίs>stream +HTZ0`" [m՚(EJqiq}G$Q?@纞AFQ'I2'!B 8 &YhVS8'3mȘol '0J ³,_AclI",y JYQ`B~tuTTSU8^^ 0XCFMW@ҧg֛/]geZB_םԯo +z7?\4t#SC5 + +endstream endobj 2857 0 obj<>stream +H2rSqRwWbϟ<svvyw~yx~zw~xWeΞ;~srSsT{Zg=|twvyw]hr&xH}wuxwyYako.rQ{vwsT]jzxG|vqyw|rT_n}{Pzw}xwzx~bmluVmy h0zn]y,qKyqkqTbϠ>~s|[sƒ"ț=~uvvoQdĔ*К&8{rgџ0Ӟ*8zsl;פ3\ysyw} S + +endstream endobj 2858 0 obj<>stream +Hb```dbfaec g@ B"b $)%-#+ǁ +J*qU5u M-mt:zF G1a115S[^8=( ;x`tus@>~0;0(8$TS}XxDd8wtLl\ĨĤT D + +endstream endobj 2859 0 obj<>stream +H r0 A E-"PT~(rqh2&;NOǢnx)ePJ8cSw}<l1T4QrNsK DR*nc, 7~^zBl}" YDr}qr(N9X, gaU6Y`Z3*`A䑞 + +endstream endobj 2860 0 obj<>stream +HTmW0*@s`: G"s=IdEQZvj]@eFC eY  GUY dەCOjp"tᔈ-)ϟSA~}6Θ CXy>_"IF 1[o)ՖOfybYƍ+wp`u[$ + +endstream endobj 2861 0 obj<>stream +H +0/37QCsyk u.MIH +$ +zA"H-G3L's"0d;vXalWE_P )k{~~۷M|waԗ{׋(.T vEO"ܡA+Ys'RI2K )VЗuO + +endstream endobj 2862 0 obj<>stream +Hl D,cKagȀsc0bCNSA@^HjEMll^sYMbzV t~DIԟ9,gfx3M!e#?r r*ay(3W/ + +endstream endobj 2863 0 obj<>stream +H rPMM2ZpZˀXCQޤ0@8H]0LGz< +4&I Y0DIdYv +@ XhwUui#UzE1xN$pZ׭~Hudat0b|BFk8F3ETU Mrl5xMwcdx A"xl^(`FH + +endstream endobj 2864 0 obj<>stream +Hb`F&fVV6vN.n^>~+0+8\BBRJUHFVN^AQI&7IJ%LM-,m`6j`wptrpvqup`='O/o_GgI~` π@/Ԥ`BB#"}1@8g"D"IU&U:%5-=#3++!#컐ܼ,oEv@Ҳʼj:ViƦֶ@G-D;PI!SHO6}̮Y=M; 8FFw + +endstream endobj 2865 0 obj<>stream +HKPqp;t;mFM#ʹ*| DL/JezM7vs֓wȬM.EQUU4]>H0e:LkaemRDbz_$0C6x8y(a >l=xm +1,Z`RJiAQ(Ĭ4>hqUVʅ,fa6.TL;y>stream +Hb`dbfaeaf!6vN.n^^>>~A`fHJIEA' +3 0khjii+))+KHtt44 aF&ff CKkhZ+Y% -<<⮮^@M,>0~~~n^A!q0($ظĤxjjjZzzFfVvNn9pE%eff急+* J= kj &RT + +endstream endobj 2867 0 obj<>stream +HV0Џ ai)_d;E|(lT_ԽA0XDKq8υz72mѷBH׮|<7K!l+m}f(Ti:}_6'"V01f|c!Dzq C]y<$y]u@ $ifNmu/Q + +endstream endobj 2868 0 obj<>stream +Hd@ &l)""娙׬5aRrYaju.ϐj4‘(ikImQVN WT (*B1y t6'b00X,mc]l ӠПJx:˴~]1- +RL_C7yDϗ[x$|  + +endstream endobj 2869 0 obj<>stream +HYKQql6gs̢W. veabiX6fE+`A}ziv=^0 ø?#we`@QUU7klR3sdRB4Vi:.V8r!dY>iDQt8Ns SQxn$ 0Ixq< JB &aY,&P/"~y2,+>K,:m5T=Taަ?׊Ͷ~+'  _߽ &Vrb& {xdzY;qaXPf.ȗ]:{|V_G}A + +endstream endobj 2870 0 obj<>stream +HLWW@I-6D%+b[ b{Yιs'WTV@ƌV]S[WP(ҢaDB546!c̲Bjnim+ -6NuH{߼L%<ύ{Hp&FFƉʈԒIaV6\(s Rkye0!ϙ̉wRJ88>stream +H i>̳e֐):*J&GB*Mc߰w^R+! +x]7fs\~M&Kj EM[.QIժtݞj"OOj&S`b8(~8nw'֔*$a+y>NH&L^ojtl9rn|<VtdlV;^aǐNj9a4bc9t2~R.W@Q\ֻm"S?qI1,izھ5n[xLVz+ '/# Bp:g,03UO9Ӵc8Ddq"I#(,մFt\Z͢ fH*h*SVj 0kVizT_RRySUCꥒx^Z.wrB,Qt^K(Z>_|xxNWv>TbqA0v 1:ʑ$4rus_, + +endstream endobj 2872 0 obj<>stream + +   !"#$%&'()*+,-./01 +23456789:;0<=>>?@ABCDEFGH:(IJ>KL==MNOJPQRSTUV9WX YLLZZ[ \]^_`abcdef>=LZg1;hijiklmnWop1N<3qrPstuvw(Ixyz{| +@!}~t2W|JJz9;@JoS0:9$|/Nb:9tp19:B÷aĚŚ89:ʴRSė̴iІ9:ҥiė֊סA + +endstream endobj 2873 0 obj<>stream +H]C0VZR< *!ϓ v{lG}]EeYa)m$I8n1&,˒R}]מUU庮q BmRYayǑs!!mWdQCk=-4 `p + +endstream endobj 2874 0 obj<>stream +H| -.^B+,+\t~~hLv1 ΄gŔ5 lnx67b.<ߞ_s.Gϫ +۫{kи[WJY6]$5(I=!ˎLgvyxZ9q+zRʍ E s + +endstream endobj 2875 0 obj<>stream +H eefccdaaaddfffh```ccc{z~rqtiijrqs{z|稨뫫שּׁ֯ӯΖ𧧭΁~~ / + +endstream endobj 2876 0 obj<>stream +Hb`dbfaec@\<|B"bR2r +J&q(˪cshhji`b51V S3sFYXZYc0&MQ^>X]%@88 Q + +endstream endobj 2877 0 obj<>stream +HIs`;N +3fTR*]4! FMIXdK/z73=9~[oo,wdy#˙F"̳'%!]gq2< O`Վf}0 KOda] l@|]?|>ARCSd9ZWVEQ 8cYW2R9Y?!Oۻ\爂⸔jV,#IaXfMc/`N$E1 CtXZ.hrjO_7?p<\ZsXnkl +ɤ"d^~d2;OMqN + +endstream endobj 2878 0 obj<>stream +Hb````dbfaecN.n^>8 $؅ED$$ed@$&'R/!BBBZ: q=}C#cS333A!!6s K+k7H? 0888DU54,<"(.dl R999y( PT\\RZVWgUTyyYMY- qʦ,$ nl޾~// 1Ȫ&N 2E>stream +H`e'Hjyw~xv~yx~zw~i*Mlk.ֿ\tywg|.j~k}0n~y5}ryxy5{rwvju.wqxwh!oB{vtywl3sY{vxqJ|vtzw}qL{wxrTyw}s\}ul ɓJ + +endstream endobj 2880 0 obj<>stream +Hb`dbfaecaagA\,,".".($,Ƃ).*&.i + +9lJ*QUS&-M\GW0VaC#1N264 06C؍gM + +endstream endobj 2881 0 obj<>stream +HKS@_H¡ht(. ~k۾ Őyb;|d.v4,WM5}o=4)Qx̕0`R#Q]sjq~UUUe (M5϶ (_W,&nDYUze<(ݬ_t]$9أ9">̲lEQ{^L]d"%Q^Н %C5]3&q//p; + +endstream endobj 2882 0 obj<>stream +Hb`Ffbfaaecg@qN.*CS/"($.."*&"!)%l8 + +SjZf0Yut@ȶ(k*蘙[h[[)(ZۨX*;Xb3*8:9kUiCy݃UK}o_C?8;CA + +endstream endobj 2883 0 obj<>stream +yw~ywyx~zw~ + +endstream endobj 2884 0 obj<>stream +Hb` 0B &u3z Y$d(N1!ÈU(#8wLL 'PA + +endstream endobj 2885 0 obj<>stream +H\yw~xv}}ZY\=<=///~~}HHI434zx}{feh665---rqtLMM333...yx~zw~iilBCC112000100UTW..-444<<=zyyx|MLNBABZYZ{y~ZZ\<==,,,[[\|z~344+++kjlxw}~}edg666-,-//.&&&trvČtrwPPR!!!nlpbac778>>?_^a334{yVUX111BBByw~|kimGFGywy탁}{\[^???KJL } + +endstream endobj 2886 0 obj<>stream +Hb`@F&fV6v`@bEP/&.(!)% ɂ *(*ŕQQbSK!khjiut|Q z qiC#rcS3s VqVA[;#\()Y_?A0a`Pe1a\Q`Qie3bMHHLJN)OMK+5N /7/¢l"Z + +endstream endobj 2887 0 obj<>stream +H Peh$(%((}<Ͼ:֨=] +v $J|#1RUt FA>ٷH?gX1ߙ lz24>stream +Hb`F&fV6vN4/..($+"!...(!)%-. ǔ`PPTbRSbSUALM]Cá@'iJiic8d> I(jbq*qS3s#l&qYXZa󄵍f0' X + +endstream endobj 2889 0 obj<>stream +ģâγ + +endstream endobj 2890 0 obj<>stream +Hb``dbfael983pbf`.< +0v + +endstream endobj 2891 0 obj<>stream +yw~yx~zx~zw~ywyx + +endstream endobj 2892 0 obj<>stream +Hb`d `bf``&I#v; jgTe"Jup`'v1 + +endstream endobj 2893 0 obj<>stream +Hi༺wu|yw~իxv}튈|z}{yzw~ﳱyx~갮zw㼹zxܝۖ|{ٍvt{֌ywňɖ~| pa + +endstream endobj 2894 0 obj<>stream +Hb`@F&fV(@f`cba&NJU_h x$kEŀh$$91͗gb6q!eU q${U5kji}%"Υ* +BH\\p"3B j@ +L + +endstream endobj 2895 0 obj<>stream +yw~ywyx~zw~zxzx~ + +endstream endobj 2896 0 obj<>stream +Hb` +LؕpII\;`BQKafLBŊC @(< + +endstream endobj 2897 0 obj<>stream +Hb` + +endstream endobj 2898 0 obj<>stream +Hfyw~zw~ywyx|z~|xv~~xv}xw}zxyx~{y{y݅~|鿼 u[ + +endstream endobj 2899 0 obj<>stream +Hb`d`b,Xs(F \Xa**$ $E0EıǦAJZP +'%t2YUTհʢ7n>R$'@` + +endstream endobj 2900 0 obj<>stream +H<ץzyxv}yw~yw̞zxyx~଩ڑڍvt{ӌwu|۴ʙ}zw~|zxw~语㫨Ξⴲxv|~{yx㺸ˤ~}{~|{y ( + +endstream endobj 2901 0 obj<>stream +Hli `-,,*(fb3/;hZVP,u+CcnV(uKܮb6Qzb+ 6}(lhO)_ $w`.WH>v~| $ + aǐ9/ƺ.$$7J+h) + +endstream endobj 2902 0 obj<>stream +Hfл~xv}yw~˛zxƸѳȵ +Xn + +endstream endobj 2903 0 obj<>stream +HI П!p(DE ?@oc|>stream +H{So*Gt7L})L0H+Tw~M?b>o`a^:^' aX%e2f@0W{H:=8f%d.[Z~le! +@@t(7ؗ頜F-#<ԃ&Ki8v{KV'a#1S^zY̕KJ0%7jH)?/tDt$qM+a_ $q2xT]ā\4jF#"P0LBzb&N>4|+c' + +endstream endobj 2905 0 obj<>stream +Hb`d`b@,l@#X"LJ_@P]_EKH + KIII3p02(33 +>U5vaauuu M-m]~=s MLL-,-@ nmckgolI tusF [0:711 FYƎ:4,>stream +H IN0@᫱N8@`k.emihӦiH㸙8ۙn@𾧠ECMı1zD[ +ٳn[uO2Fe$ OU&R%j974s#JTڇFa͋iY.5祀N1P`k=M 6ZnFfLJ TP ǩMl-A$H3pFL}g%ܶgTR3K4xw7ͫčc!DM)l^^t:GBYGƂшl BVuggX:?/NW̓- + +endstream endobj 2907 0 obj<>stream +Hb`􀑑4 ,lprq 0D( PPVQN^AAIYEUM]CSK[GWOPFAXمU?6.(8$4A(<=2*:&6.>!1)9%5-=#3+$<'7/)?ήԺ i"e + +endstream endobj 2908 0 obj<>stream +Hn0ϮInR6-!@I s76 ;e3`(nCw o5 힞0*sZ`›aPuSU [Qiŧ +K})|yQ~ n뵩(P4?Uh[0B( +hYvuK6k@hγsMxuflX~r8HU7n WEe$OemSC /a47BxY6yNE놎A<+cccuWUW-UA7łOCwiqOM3|JƄFiWׂҾ(hy; FQeUqJE8#9&- 0fw + +endstream endobj 2909 0 obj<>stream +Hb`dbfXqsprqrs sa + q11q"rsKHJI+(2\\IYEUMU]CSKKjH\GWOI_E $nemckg(l HK + SwPIHLLLIMKψ䨨&qqGEgeWTTU%3"|@5k +[[K"ʽ@晊54wvvuֶgN8q)S;zMQX?$m,&xX[ + +endstream endobj 2910 0 obj<>stream +H n`'6KlwM&^tuݜ=(,Ch- բI@ {y$D0zx +B ãw{wU{4 D&\:77 A>v哓KOeZAIta`X,+}^_//ʏzedIɱ厵eAJˇjwWWfsx l;Åp8 3Mo2z=aZFi.2(.be4"A9~0DaK|n"N4Fh:QǏO(%1ٸc2SUhC |8ҷL-y],e23m9wn(6tj&>Zl[#z&PY U,~_@HZH#i04W, 0_oy + +endstream endobj 2911 0 obj<>stream +Hb`dbbfaec+(*)r khj3jsp󘘚 [X2hYZY۰sp;8:9p + YZ20{xzyspp +ZATx0mdT` GAlH2^+A SRӄc32-! , $%$,cc  #r!RSS*W5u`xq&--11$9hnikK;:ں{z2p޾ %%'䴴,'O:m: ffI==Zfϙ;  g + +endstream endobj 2912 0 obj<>stream +H V@ЯYXeUDqC3;eMs=iGu_$n=5_f}|+aaw_w,Z2&S*i<^%r^^m*]ZФTM7݌ObN"w !`bb:y?_ '~sڮWW-1g>stream +Hb``dbfaEl \ܬ<<(<|B"bR2r,@WPTRVQSSԒi[XZjEYmlu\]ج4=<}~~A!a^Q1phOI(ddde;?眔\xL4?CC1P"^6JS/W + +endstream endobj 2914 0 obj<>stream +H [o`60NJh ǯr*f)a6[,hL1^xcⅿB'O޼02te?N<^,(j^.זkZm~|xx~||Ny4aNbJ׭p==Mr*5m_<e:j4bǗH1/ݻ~ 0Q. + +endstream endobj 2915 0 obj<>stream + +    !"#$% &''()*+,-./ 0123456&7'8((9:;8< =>446?&7@ABC+D6EFGHI>JK4 LMNOPBQK4RSTPUGI+VWXYZ,[\PIV=]\\^_P`B94+abcZdNefCghNeO[\\ijQVCklmknopqYr=stN,O[M\uIGevwxvyz{|ag}~~NOfU^|pad~e\_[xzzn|pY\C,mznq[hYkkzp,,Zl|eÇxlaw + +endstream endobj 2916 0 obj<>stream +HY@?M*[ n+6bLi0?A*1%0LUSGe0s>Y 1Q8{>x{6dM)74ExqEAhSB (r+9\lڇ,jrRM(-cbHm;fRFנk + +endstream endobj 2917 0 obj<>stream +Hl0Ŷ(F Bw$3x,Ȕʕj Ю7(lt3{ׁ2tG?iFe6EKpZLAR(ڤi´[qoGj@u)p2c(<_7'3Az+z + +endstream endobj 2918 0 obj<>stream +H пId\u[n=}3/|E,i\m"XimLat&"P`sɕ(Tl.My°ʤÌMu˼na\/ G + +endstream endobj 2919 0 obj<>stream +Hl PPnՖV-}_ !g!0M +b,G/8+WzM5\u׶z]O?'#aƥ}>stream +H~쒐纸ęǝّ⧤ꨦޝ涳 =_ + +endstream endobj 2921 0 obj<>stream +H|G0!8Gjn v\  !( 45Q^i1t=@4 a+^llqO~[ͫ + +endstream endobj 2922 0 obj<>stream +H‰NʵBLKiM6 +(Wdx7K:9&,PKiQ4 (^J^C(M$*o17;c~~? h2P(ZH$27 ``]ٰͧ {QRw*XP(AP*i(_Dƃbg2+JD"Pu 0ŢIZv#0c8V&zM Fk+\t;PرN"0lptSɥ1ـ(Gl{ ;wm7VێնaZ֠\{򹝾P<ȦSWD&Yq/~z+<租GrH2OƳrgij7`Wt.fKU֪QOTSfN  + +endstream endobj 2923 0 obj<>stream +Hb`dbfaecbfWWTRVQUSӗ204241533Ss K+е5P1 +\J$, +6"ă%Bt愆G!@dTtLl\\|B=o?B<9*%5-=#3+;G\!_PXT\RW_dN^^^EeUuM2b ϯWG53koljM]Y@MجDTIL6}FYQ Va + +endstream endobj 2924 0 obj<>stream +HiO`_[H (+ +X2֪bjrv\RKͮx cSR$ YGE%> z80؏z ə $nFY]~iy@vt^cTmFmPԭ\dGI-?|>?8Fms1%pZ*|z?}H$8H4)ܳj;b,*XR=9IFk4ŋap`Kʇx55m8+'_S8~mu}yiŶm{ + +endstream endobj 2925 0 obj<>stream +Hb`@F&fV66vNTq.87\ xYXP0H\DU_ $..!^ ". 6f HUV^..b*P]M]ZEOS h +.0*;1P9;?8/9   {xdCB#"eܣcb #e + +endstream endobj 2926 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?(IZ6+?ĭ>nc|#jzlͰ5 s ">stream + + +endstream endobj 2928 0 obj<>stream +Hb`p3g!>p`ec`g`Z + +endstream endobj 2929 0 obj<>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?sI-9=/f-̀9ެbgr); 7NJN) DWYKuƾmh'rIv~KPҲixHS\>stream +HRyw~xv~~ÛllmNNOIIJjjkywccdFFFBABgfgzx|zί~~MMN<<>?eeg{yڭSSU668GGIxxy}{ӚiijDDF@@B~|Ԑ__`==?EEGgghɈѭ}}OOQRRT}}~ˁϤttvHHJ446YYZǨcceBBD==>ƷRRSBBCOOP֦ҪyyzKKLCCD{yᕕeef;;>stream +Hb`F&fV6vN.n^>?BB@PHXDTL\BR +AZFVN^AQIYEUM]C^ *âc`hdoC!fNHLJNIMKDgdfeyk%JJ+*kj-m]< = 'MR0uZ:3f͚=g .(I,^tr+W%^S|w3Op۰q⦮lݶ"i{G|>z bZ\ + +endstream endobj 2932 0 obj<>stream +H[!P:KkIHF>2A m>THlmrwퟰ}O?,'T)ryL&sffNsysd"Ye;B:ˤe<~zre@Opgh4onlETwRxX88?_ǍMϰ8&H<K`۸ͺ.P.l8cd" cV:~R^FdЛ s<ۍzh4mGx, +|vDu:d~o2|ޞ/͋6+d#h8 q ^^k?ðVmdҤ# ]Ԛ(d~?OGVBno(RV=wޤR>u9F7<{wX=N aqyy<{kvnu]r? NG"q6/dքX&żrnq" "FJnoU1@ZDh M{BU0`9z=ҽ}0dR@ zxvW1@gggE"B eK\ThTJZkzϦ&E>#2pyyIThUJHA>stream +Hb`dbfaeecegWPTQVQUS70d626153wpptrvvqus +vp OHLJNIu紴 ,ܼ| xHHaQqIiYIyDEeU 7465Ä]::{z'L4Z{iӦ9Kly/\xeW\zM.L|6.ܴym9vݽgZvQc3N<3gg;"L+W]q־So߹{&~G'N\}gϮ=w|Չ7^~goo0g) + +endstream endobj 2934 0 obj<>stream +H 7dOC[aH"c''b;\~299~$_nLb ¢OJS*,PTZe%drD`~qq$Rk{{a6[< Զ뮮ěS FF臇?XSzWQPhB, P(Ht >>UWP豱q 6Mkkt!D=XUR"n+gjFihhZRѰ + +endstream endobj 2935 0 obj<>stream + + + + + +    !"#$% &'()*+,-./01234567 89:;<=>?@ABCDEF GHIJ + + + KLMNOPQRSTUVWXYZ[\]^5_5J`abcdefghijklmnopqrstuvwxyz{|}:z~|*&h)R<..SW){W)N>/.*˓N+MՒsڙll~޲;݁z֍;|OeohXUŏnҔ + +endstream endobj 2936 0 obj<>stream +H 3bdg0(SC!İJ'*Gǒ#IUڜ+JɶdO8% +$LWS% H +ד˫B<~444 +pqw?briiN&@U6Z2ؙhb21 ޞ;}}{pmm:,(59˝d +a2; Os}l$z!:NԚLKD"d57(*e-H$MNrt(P @!HTjrZaߊFKup8<o.s=˵www/d299 laXX,ed+,A獇7 +(3X*O@QW-q$z{mG2` Z޹p[Z>X$Iªӹ{~~5>>A$#c: ƪ*$"ȴ㹾yQQQWWP>k"V~/VZZfR7<*+ ݾrKJ> >JV^e29 +zl6EvA4:9] + +endstream endobj 2937 0 obj<>stream + +  !"#$%&'()*+,-./0123"456789:;<=>?@ABC "DE0.FGH +IJKLMN"OPQRS(TCUVWXYZ[\"]^_`abc+defgd[h"ijkjlmnoapqrstuvw4xyz&I{|}S8~b.I!RD',{21XS3U _IbadswW+!AkV`CNgJ{)p3ba2ϼҪ\ ւ +k + +endstream endobj 2938 0 obj<>stream +H щ:"RI*!%܏)r[ 6G(GHHlKh)LMFevcéflEh:^NOTWD"&ͶA|2 ϗL&k={"NgNOϴZH$&b񗉉IۓJa,B)J@ xM&DR;3N6F+@vnnFRTp8X, |yA0&0;; 㓃CJ][U???P( vwm==4M*8.7AJ  Y) +aggF(KoL&GXY1X,Jp8l?`s + +endstream endobj 2939 0 obj<>stream + +  !"#$%&'()*+,-./012345$6789:;<=>?@ABCDEF9GHI=JK%&LMNOPQR< S@TUVWXC?YZ[\]^O_V`aWbc?YZ2d45efghU%ijklmnoEpq4d2rYsCOtuUvwxyz{|}P~.u9T 1(P^2{%h(5^+#"}.U|.FLDK2$- v6I=9PfoLBA+ 6789JD I +rd "Ä'C@Ė C g_ʷ(ˋ}0R,.Eg9YsU֘36Vn >;r` + +endstream endobj 2940 0 obj<>stream +HOĪΰkkkcbdsruεYYZ<<=@@AllmȤ뭭||}OOP889CCDppqθlkm|{~ggh==>>>?]]_ÜnnoBBCDDEutvԃWWX557GGHsst״AABoopƩyyy446NNO~~ϫ{{|PPQ::;IIJyyzόddeUUWjik 2 + +endstream endobj 2941 0 obj<>stream +Hb`S n^>~A!6}"bR2r +J*j,HRZ:zF&f\ @ K+k[;{G'gW7wO/o_?sYаȨظĤd_VԴ̬-mܼ¢Ҳ*ں†Ʀֶή޾~* + +endstream endobj 2942 0 obj<>stream + + +endstream endobj 2943 0 obj<>stream +Hb`L X$XX90% y9 + +endstream endobj 2944 0 obj<>stream +H NPПRJwi{K) PE}@b^_Gpdٖk6 ddhD O,Cq$8V2aQ! HFX4IT`!k,!ESȫvY2OZP\=enySpNsn<ޗS^2c課yu9YvZZUm%҅|q2t z?.=T\dz+c^췫|mE&ٰjƢj[7?Ɵ! + +endstream endobj 2945 0 obj<>stream +Hb`F&fV6v *B@ !~Q18dHI1Y<##DBQUCI$*ȫ +֡&QPeP:VXWO.d ljfnaiemmȈ$jkg EIn^>~V A6GDDDzGEE$cn  D"1! #w + +endstream endobj 2946 0 obj<>stream +H S?XɌscQWbmMqS.dŲBU*Un:=C'6o~Q2P}y9 +Pȉt#P(ˢXdػb wC!x.S-7*r]jfw,TI's\ri44a[CN>Hr>n5:kDpOxNDr*MB,A huD8׷N{ujvt>stream +Hb`dbfaeceE "LVLI UTT549ttuu`&%o`hdlbjjcn.*V6vJ)'''egW7MwwBRٓוKCNNJb82PQ0~? U,:-0 e 3PAdOuBB S/ + +endstream endobj 2948 0 obj<>stream +H*yw~}yx~|z녃ꇅԢzxzy߄xv}~|~|}ȣzw~~}{Ƀ݆⇅ q + +endstream endobj 2949 0 obj<>stream +Hl0BTJP7P$38\3;;K!)ΨlF̉iqPBaq/Q\25QfKGte7|-x"©}&bNAط;*-.Ng?x'{i  y + +endstream endobj 2950 0 obj<>stream +Hr˭}{yw~zxٿî}xv}ܛ~{y꯬Ģ¿ c + +endstream endobj 2951 0 obj<>stream +H 4C@(4,|x 颡ۛ0yQLrJ*Ck!LBJ1q-]k\Ӷg(~8$z>\ԇW?oz +0_ + +endstream endobj 2952 0 obj<>stream +H S?]FY1r6NG)Ͻ01f6Bߧԍ!(HY 2Lж):.Gunwǽz4A;K 0]sPK ̈y~0SZ(t2L9i|:`wyH/ךO`z~6 d. Ho/XGcd@u>;r.[lA r7#h Gq,ިS0p?xOyfk둣Tr9~xMK + +endstream endobj 2953 0 obj<>stream +Hb`@L,l \<|| @@APHXXC `%!*&..()(.!%-#+'!.Ɖ*oh($$gdlbjfnaiemckgkpG'ggW7wO/oooNipsp$B\\4e#|P FO%EHt` `O' + + +endstream endobj 2954 0 obj<>stream +Hqprppqrqrmmm```[[[\\\]]]^^^^^____[[\sss|{|zz{||}uuvbbbZZZuuu}}~fffȭ]]^EEEHHHNNNYYYaaaVVWRRS$$$***))):::TTTXXXIIIIIK,,,CCCGGGRRRgggDDD556???jjjwwwLLL999뮫\[^ + + + 888~~~iij@@@...(')666ooo|||bbcꌊ434213555VVVpppyyy000!!!]\^[Z\QQQ뢠sru<;=76898:435WWWUUUrrrccc&&&ɨCCE222[Z[vvvAAA###ÿɹjhk656989@@AMLM^]^__`cbcttt>>>"""ο¿ƴxwy{z{#"#񷵺srt%%%½˲~|HGI**+ + +endstream endobj 2955 0 obj<>stream + +    !"#$$%&'()*+,,-./01234&55&67 8-99:;<=>?@A$B$CD6EF&GHHHIJKLMMNO?PQR&S6TUVWHIXYZ[\]]^_`_ab&cdefgH-+hi_j]]k^l^_m5noop32Hqrstuvkjwwwwlxy5z{zy|}HIX~t]\\\jwlm4yzUS@>HI.=wl1V4$HHH_aC5HHHII{OHHHHHHWQHHHIIIIƩHHHHHHHHIII + +endstream endobj 2956 0 obj<>stream +H ywyw~zw~xv}xw}|zwu|~|}{zxİ}xw~ɚ~~ع鿼ãҺ{yݺʸ ¦9 + +endstream endobj 2957 0 obj<>stream +Hl0ذa`A&Xb/Xg2N^ns;s|\ng8GvK`(0 D80>0yK er}!ˋb\Vk!IVueY!+} Gtz*O&Y|^&媳% 4{#lwñ\:a DWΗMz$A8{L] %* + +endstream endobj 2958 0 obj<>stream +H ͇RЯ q Sqg(HJslBx^:q}`.}/ +-푲[k: cƗ euRFnBtJ~5y3^: E a!AZ'q;as# ;hHh z$Vn.lrPTyʥKSy1*rf#AY]ޫb +(3xExF A&̳ (b2-Jkat\Mf&l؛\Urj`ʢ͈ + +endstream endobj 2959 0 obj<>stream +Hb`dbfaaec.n^>~A!aQQ1q I0䒒WPPTRVVQUSPҒk dREEE K+k[;-{{NN8pTtt6tqґԆ )(yxzyjKHHE? P PA!ƅ0CB =Ea  +"L cbb@AظĤ$$MC< + +endstream endobj 2960 0 obj<>stream +Hl춳늈Ϗⓐ蛙ᣠ并 0PP + +endstream endobj 2961 0 obj<>stream +HG DQ0Њsf_!=? )X[$reee+P7mǼFƘ慬FyAqhï3_5 + +endstream endobj 2962 0 obj<>stream +H?¿Ƽ»{ywu|xv}yw~ywzw~Դ{zvt{ʷǘ|zzxիܹϯ ˆ^ + +endstream endobj 2963 0 obj<>stream +HW0@+`o%Klw%Qρ > Rٲ*Uf;rHܫ7v$0 !pI 2)"frflöDA:O| 7MDUvTZk|>stream +Adobed    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;"? +  +  3!1AQa"q2B#$Rb34rC%Scs5&DTdE£t6UeuF'Vfv7GWgw5!1AQaq"2B#R3$brCScs4%&5DTdEU6teuFVfv'7GWgw ?wE~/WmK˸2; p_魣6muijU1zQdy*X9y;˫ÔT2] 䒫^]AA0SbSܼ$JF&|*KRAO + +endstream endobj 2965 0 obj<>stream +Hn0 ^P(EmuYbt{z]$IR`fٶSUx<1@l 0Ɗh[5 f%E^~7K0`qYnZy&q6 Sb$I,ZfC-j?o{/0 i{u7߇O5[#۶kf<(D^]7w:..BWܴiu!h:8!-83?d\0"tݩ[<; + +endstream endobj 2966 0 obj<>stream +Hb`p3agcdCfaaEWGKQQIBYEUM]CSKMGGIOOQTB&gieeemcckg"++O/oII_?8Yʄ! ̋C I@MV)IIMqj5* + +endstream endobj 2967 0 obj<>stream +H ے @좦pv,AGe>k-}ߛ ÀTʬ*]jca`E2f1;2!DYu]7M3;!F m ˤ-ePk.Saz|B0!$HIB+? 9qlmv]7Mӿ + +endstream endobj 2968 0 obj<>stream +Hb`Y CC_@PPHXKT Y\\BRJZFVN^AE"]]= 700012469=@0  + +endstream endobj 2969 0 obj<>stream + + +endstream endobj 2970 0 obj<>stream +Hb` + +endstream endobj 2971 0 obj<>stream +HC0$G f.e12hi;6~{E"P4Z3cC-!م$Ϊͪmbo񡟪Ze3_ڽd + +endstream endobj 2972 0 obj<>stream +Hb`F&ffV66vN.n@PPTd@J* }j@!1 + ޯ + +endstream endobj 2973 0 obj<>stream +HBЃ٧kח\ԌTӆuڞ=wӻAq$]ī)UIzi,g*m`'^%ûVlW"T!⧽PgR MdW%T$͓gzgyǠ Cz + +endstream endobj 2974 0 obj<>stream +Hb` 02aff*ƎU 87/Vq>~l‚B"\(*&M\BRJ92rX+(*)`khjaKhja70427153+k[;' + +endstream endobj 2975 0 obj<>stream +H%ɹψhËMvw}Y̆.fM|SȁP{WyՃڦ6qZ"d$f"c%`Sƀ̟~ʝzMӁ!cZ^_^]1kEyEyCrRx٤^VYXYW U^Y׋yܢsԛlܲNӁ]XZZa#d#c"_8nhʏr̖ms|٢:t\&fPӃYՉS~UyTӆ]Y]"c$e#c#`?wUXݞݹV Sr + +endstream endobj 2976 0 obj<>stream +Hb`qHspbg`D*$,",*&.!)%*!#+'Π  ---m]=}C#cS3sN&N T? 0(8$4,<"2*:&62>!1)9%5-=#3+;'7/۳B-L&O:mf{Ι;o~]-MXp%K-_Zf6nEu6o:o|=P6@m + +endstream endobj 2977 0 obj<>stream +H*>stream +Hb`;'7/$8/8)D "` + + +endstream endobj 2979 0 obj<>stream +H gNZQyeD(T:YBeP5 +DV"jU^@{;WTd29::r8<B`0tu՛Nrf3L6{h\fsOO>>]VF0[,@AP~tD [T~yy}ƉDY,`0h6`pii [,L&;ܶZmBE"o6[ϯooBž_6668.BgR?DJF"˞dBdR"_j77D"i0|b2YT*Ub~t}ݏbZ +LrÑVfrzD"+ +BvnL&ds{||s0lT*ɤj`0kkd2uzzj6dL&:9:NQwww5 \Z B#J%Jy^\@ bt:b^\\J%\Fy<,zd25@$ jp8\`BJfB9bd\.W8F h4B! b].Ajzff'`p@`P(t  + +endstream endobj 2980 0 obj<>stream + +  !"#$%&'()*+,-./0123%456789:;<:9=>?@ABCDEFGGHIJKLMN32OPQ?R(STUVWXYZ[\]^_`abcdefg-hiejLklb4mnKQopqrstuD4vAwx]y_z{|}~2S|R(G _ixR504,XetUvjxyAf"6vc*!^]umH7v$7ļźƢǏȈtʋ̦o͙ΎЯьӅ |_}f֫׍؄mvΘs!yڗ݆7hwu~m + +endstream endobj 2981 0 obj<>stream +H [OFY.Lnۋ."S"\Ж;*A +A@* u|o'S(F$e58z0Rd&A;Ï^gqFGhh^ory?|ݎ2LN8.ht:n|v`0fV0u(ByZrZvyZ^^r:v#~l2㎎. +rtS.˵ZhtzSTON2Fi~~a||Bh"/JRX^_*j!wzټܤ(ZgnaJ}\YQAb4_q TN̼G4'p"@ pyyaJR*vO|AP(D3Q,Ht(IXApaaqkkt\^GQ7{]. $#(Az]Ѻ~ŹaH yllL0ÁllXQԅi`8 jDE1f}rrrx^}& ab BR} N{F#l2 0h6u + +endstream endobj 2982 0 obj<>stream + +  !" #$%&'()*+,-./0123455 6789:;<()=>?@ABCDEFG HIJK7LMNOP&QRS.TUVWXYZZE H[\]^_`abcOdefghijklmnnZopH6qrstuKvwxyz{|}~F [IUDF#or33"FGoGjÀłYE/ȝ¾!˿ξŔ¾ג۾ܡķk!׬ 㒟,ג + +endstream endobj 2983 0 obj<>stream +HDLLM...***EEEccc~~~|||___>>>(((!!!888YYYwwwuuuUUU444//0KKKvvvIIJ+++HHHeeezzz[[[<<<&&&###:::qqqQQQ000001NNN---LLLgggxxxSSS"""'''@@@```}}}{{{fffGGG)))555TTTyyyPPPjjjtttiii,,,^^^???$$$999ZZZtsvKJK&&';;>stream + +   !"#$%&' +()*+,"-./0123456789:;$;<=>*?%,@ABCD9EFGHIJ+K6L?54M NB%OPQRSTUVW5X$*YZ;[ \]^,_`abcdefgh6[*Y3ij$./k3lmnopqrdest!u+45.?vY3wxl___yz{|}~5B*<3Zllllly +.>stream +HO+rc# |5xCS&0+4fr6 +& /tI&(J\n4p<1l B^/ ~?a!I22 H$^L&#Ieyh q<A|A IQ MǙL&XTH°tn7(PJe AfZmvot@a\8'*J"C(p@-^ofQ@5-^J  с Ӊ$ph4NP(ݵv3>Xtcy(Gvw?}Y]-=_?>ЩjV!bSJvyѩ+q`p6(Gx,'P/d_>;;m^5O/jƻ͍B~N͢j}rrjAj~.[V]_~?~8s?g&={TxY|fQnVJ'V!_-04{xPz/;M;ZZ_ɭ.['Foj^-׺_6 + +endstream endobj 2986 0 obj<>stream +Hb`dbfaec`⒔WPTSVaURS֑T70WVUj226153735wptwvquSvw׷ws v7 q 7 zXZd"-cb4C<,SRCӄ32sずr4}Y- +JJ#X4]*l*kj[Zڭ=}v%y9vtvuM8ixl̘9k`-YlVϟ;w՚oڸi!-[l߱s=硁{] + +endstream endobj 2987 0 obj<>stream +H χRЯ: יAĉPaJ~eMVeUUuS1qg5^0\'LE #r]Á;yA95Y6Tu4=|?y A0]`W43yq\0 <"0(IFL Aq 6$(/Ȳj//v:^m<,b-+>>l䖦9}]E + +endstream endobj 2988 0 obj<>stream +Hb`ddbffaeeccgg`gdc``bbfHq?;;+ #/PYEMTL\BRRPP))iHPNNY9yHPNNE%e L&&Uaa5u EM-myTu 4!B$& )>%c3s > KK!!+k[;{G'g5W7wO/io>~ A`:!aQ~@m&@mj.1 m!q I>)ivY9ƲyE@ȔR2syNEe^~U5H_qMmJP薆&v@! + +endstream endobj 2989 0 obj<>stream +H YC0 "DlD,v:s4-Za}o8ה*J{B$ƼXYE92$||v3fSvbB3F"D˒@Xi| dBmHeypzo+,EmY׷cQ<:_.9 lyk{>~јgƆyrwC׍Ji𶕌Rj4ykW"!XgL`_ + +endstream endobj 2990 0 obj<>stream +H>stream +Hَ`hYd+݁nPZ(])hY:l +'c I& oL4zSs.ϖOڭVGQ4EUaؖqhyv6[zجV v~ryEIZiat-gۃsxO&s_-j p=xD;x^Fٔe )n|\n됦*0˂eSeu㌦S$I*J%Ml;]w r0Ik5abT*EQ (L\. +!,+t:F&foQAP )F*J9H2ys`DӕjH$`] !aEq'sB<~LMR돗X,g~OO_K ' 0_ + +endstream endobj 2992 0 obj<>stream +Hb`dbfaecbdcRPTRVQUS7042665c7峲wstb261uvtjssub`a6P +l>stream +H ՖEvbb7v"( +*b` b`7k7p8Lz>Vfsnw9n|~^}=?_͍Njt5oW+q Q 6]tހ_*%6r.yPHRŢQ n;NtCbx>~|IP +J_ : + +endstream endobj 2994 0 obj<>stream +Hb`dbfaeccJKHJI$UTY44uu  ML,,ڀRVֆF6vb@9xt]\݀rvf X8d}|2 +!*,RaQ1@=lM0Ɖ'$&%DF9GŤgdf)f3%$ze@0B<> +lWuM{I]}CcSNy|BERAxD3H%5 d PWoes_[::&7UL /:mzfI ,) 1j .xɤ]uv]|ފ+WXz’]zrr ?v}$ + +endstream endobj 2995 0 obj<>stream +HR`V#D8ā +"^VfVVn%45m>stream +Hb`F&fV6vN.n^>~a@r +J IEEUMS\VNNNHSGVVBab@@h(70I)XD"b Dwptrvpu`BȹyxzyZ@lmCB#"j@{@TttLll$$21# + +endstream endobj 2997 0 obj<>stream +H蚘|z{yyw~zxxv}wu|}{ցyx~zx~yx}zyv}zw~ @ + +endstream endobj 2998 0 obj<>stream +Hb```` +3223cǏ.! ($,"**ƈK\\BRJZFZVN_MJR*j"Z +@wj%tā@IWWO؄K +LMM-,ml;;":Б k_?0;MWDPPby H`VT0(U + +endstream endobj 2999 0 obj<>stream +ηͲ + +endstream endobj 3000 0 obj<>stream +Hb`@L,l h]x0A_(  ? + +endstream endobj 3001 0 obj<>stream +Hxu|xv}yw~uszvt{wu|թ~{y࿼ÒzxҨ}yx~׳ywȵζ۽㿽Ľ² z$Z + +endstream endobj 3002 0 obj<>stream +Hb`dbfaal\\,,h<|B""bRR2r" +@WTTTRRVQUSd@ā@WOHMX b D\TQZXfLʆށ>8%%]}G'gW97ET .孈}daCC\ 8 - + +endstream endobj 3003 0 obj<>stream +yw~yx~zw~ywzx~yx~| + +endstream endobj 3004 0 obj<>stream +Hb````d 0AHrLL(f20ld`e%d%fFL6v;hN4]PI0W + +endstream endobj 3005 0 obj<>stream +H{S٨I?ّc*!z*WEbhhy6R_77pmk'],G1|w{O@*H UJH. D"J'Ǧ@P6=&>Ljt׬42M=e~^1`_ j3 ưH2rnAڅE(@ Z Ry +.GS(C,Sl> Eف l&>f4ψOJX֜X$Qz +d@֞_XZ`aلžw=l"J+rC"=j>oÒd\Pnu٬c)<D*l 0 + +endstream endobj 3006 0 obj<>stream +Hb`dbffaecg`fWPTR 2khji( + +1 5P 30rqucqD/#o,@JJbڈK_ +\@?@*%"ȘHKKOOˏ(PKAzaQqIIiYyEe6*( + +endstream endobj 3007 0 obj<>stream +Hn`n~nBAѥv)Me`M M )a~i'~-+a^+o= 0 b>stream +Hb`dbffaeC\<|PA!$,"*&.!)"-#+'//S/ aa]=}C#VVVc98[XZX "]]]Q0&v"E|P1$ + +endstream endobj 3009 0 obj<>stream +HHIA$IJL& y`(u7L b1>EQAaM !ᰪ`y>" B&'ufY ú x0 <~zPլBaV?l+6ޮ/a0E\.^q::9nv$,8#r<IeWnm6?~ZR$ʲ?i^kkFR[Y~ң%YN*W {gJo_z&?_egf糙IPq7RzjrZ[~esvpn~UkhZܵlް>>stream +Hb`dbbfaecbfbaeᐕUWRRUQUSI2ihhqhs*( +髨3h%8ey,, U Tm$ R,vZZ<&jNΪ 3ftqusQS1  + T12YtFtLLl\|BbRrJjZzo +HR! sr +KJ A+%@Y@mU5u M͑ ,8himk/EHL8irӦM13 dϚ5g .B,]l + 9+Zf@&r= + +endstream endobj 3011 0 obj<>stream +H[OP-.B.VR3)W!f_x. # F@@@ Fk`#ׯ>ѵ<'qq_!̳Ͽ>MS˲. cz!E( +J=!loB%Eem p-sq'b9cތ鵦tu뺺 ({7!gY$_lʲ>stream +Hb` όE`ƦŠC);38xx4 + + #%!)!!%-#++''' E%eeU5Uu q M---m]=}fEL0q0042461533G KKK+k[;{K%+7/@ + +endstream endobj 3013 0 obj<>stream +HNV@94@ꇫ&s&3 R3y}FDcB:_,* g L>^Hi21t._4d^k}u _,S :1$Ʃ$F!!8 媔?b3p̮ϋ `;NoMiv-Qo +{cCËr5 ~&ohXʕ!!" zOu0-i/d3 0n + +endstream endobj 3014 0 obj<>stream +Hb`F&fV6v6N...7c@F 瓐n9&y^^T@("XgBw2ȅP +L\UUUM]CS4mm!]=}fFCFd[X*kiY)"\dmmmckgkUollld&r`q0WWfd;p9yg4 + +endstream endobj 3015 0 obj<>stream +HV M5QTcN?jtlbHIh }_ mnchzSSwdy/]E,.zmzڟ(L"~QE$KS]2\!#8E4QEE=;]1`0!O/7ۂ AqTczC`86gfCohzǂh./ϚN+IPL˥< c0/Y + +endstream endobj 3016 0 obj<>stream +HliPo![]dIk (=3yM{* Ay!%1^!$'t&00W`\@EU-5\j|c!TW d[-bG tB?e +a6_,+joґw{^$at\¾Eכi:뻝VGe?N`&%r + +endstream endobj 3017 0 obj<>stream +Hh⮬UTWڇ879냁USV<;=ظWWZ656989¼{z~\Z]^]`~zxyw~¿{yyx~xv}wu|}{ޮüzw~}ywxu}zx~xw}zw{z eP + +endstream endobj 3018 0 obj<>stream +Hb`@L,l@gcgA KHJII 3UT54utF&fVV"Pk8ml]\\aZǗQˏ̂CB#" 蝔"R1iLRYى9r ND @{ |tPXXT ;ã4YqUacf M + +endstream endobj 3019 0 obj<>stream +Hx멦xv}wu||zyw~{y D=`$ + +endstream endobj 3020 0 obj<>stream +Hb` 3 ++;Iprq2  + KHJ 0蒖WPTRPQQe["Zr:̒p  + +endstream endobj 3021 0 obj<>stream +H v0@Ve&I!T"Eo?\~8>rynvϟZnZ-m>')y$t1CF,y^N4^)ۉN(I*{~olAH]`Hoc?.w@UՖeStS{SE܀`yq8!;,bX!Xs*"d"$ 9Ei\b@۶gY.σ^R$]6F<-vy]ߣ SJclI2 +~5q\aIiBHHQLQuUCY֦i4]Ms~m+ϻ$y9ceym;1I(*>stream +Hb`dbfaecgC\<|llB"bRp AY9yE%eU.55u^V>6cS3sA K+yk[;{>##>MH[`PpHgLxxDFTtLl\|BbP%-SSQ15͛!!#3+;!1'95_\1,M@@ 4,!17<8¸EkjK]*S<ê[j[R m A!]@m)UUU@PR[ן;|"оIZZA`d)%SLQ8sf-P +efaP_V٬zj" + +endstream endobj 3023 0 obj<>stream +yw~ywzw~yx~yxzx|{{y~|zx~ + +endstream endobj 3024 0 obj<>stream +H%t$aNҼB0#۷ItmhAeؿg ^J֩ |뉨x=32E;1 + +endstream endobj 3025 0 obj<>stream +H ωu0W!bUy-ܠC_1ϩ[IukS˓YR5O>N4+BWDUhP}績K7>stream +Hb`R +;#'''77/?AA!aQn1FTMR2r + +J*jZ:z 0 C#cS% 3 s K+k=k-;8::q{xrxy10; :DeOZ + +endstream endobj 3027 0 obj<>stream +Hfyw~zwxv}{yzx|zwu|yv~~|ywyx~zx~yxzw~ V`h + +endstream endobj 3028 0 obj<>stream +Hb`F&f&V6vvN..NNn8//(HHHHJIK)(2 %e QQQUSg44`Lndqm] z!}SV!}82XqʠV 2 #>stream +H iHQRI;ݺO{߲yţ(~i~ٶM<i$uaWwWIRieMY~EQqiہ}? 4 3to7qq!Iv3 Dz<=/ H"ˆt1Mq,kqr:]%Ie͆nuA8QE^uEt6 ײ 0l^$ٖ0:-P[,Պ-A4XY'<`x |\Jw$Iv>stream +HLi;a0Քf&%JJN-RI!Yʾ}wz_A1 'he)1^݃ <A,ð):QI M,f`EEM8Nph^lj£ HDɊ + $9pʪj!_ k:| dB?t RħBoᣣxp,%o\h(&SSӱٹb)/.g+PVٳ^OszqTlVP;6JYvjI<-E /[ۻο-|)*_߁u%@ + +endstream endobj 3031 0 obj<>stream +HּZZ[///-.-,-,,,,+++$$$ Ժlln:::;;;;;<889000(((###$$%%%%"""۴@@@!! &&'??@?>@*++ EEF99:ihkgfiBBC>>>???666==?%$%VVWa`b\[]^]_\\]BBB&&&괱>=@ϟcce999:9:㸶igkЭຸ~ϻךҵܹвǬܽ  + +endstream endobj 3032 0 obj<>stream +Hb``dbfaecaaQ1q1 I)iY9yE%eU PSWW70426153෴F[;{G'gSW7%@`PpHpوȨظ$xrJjZzHfVvNn^~>DAaQquiYyYEeU5BVNZNFxiISsKk:ĺ2z[{GgW7Qׯn&L4YCT;ki5:JϘ9kv)Is뛏"`%i + +endstream endobj 3033 0 obj<>stream +H  ;(EPL;B8JBfxOҮ#M3TU_ 4㸺% #t&>stream +HTWS@EHB;EQAPl"͚dvō$!TE8|{߄2 JP82cx"Z 3lncs+deP@*WB|6wxt|rj:6yqy%]dn{PrWz%OG WUUFb`e M䜲(1fkD%ejLr۩N6%$v0b:@H-YIPt#hLl d0\=MSid@;g‡0&H'PSL=IwUGm'X^CGkv/#RG^ + +endstream endobj 3035 0 obj<> endobj 3036 0 obj<>stream +HWyXg@ +E@˕L"1Rvٙ{&|_ AàeŦ'Jg]$x  _@@'WiHk tU~6hC S-s4skģ4 Xr1Y6ayBrbnѹZH::y,V RNm TW(ҳm!1u +-{=5 )P x̟|07tM\DLnoiB#$8TǙ : ZXdqy~\a +e2صiH*"|EŵS %DB0c}#ضfjFSgDaޯ(5ٮ@L ij^JQ`˱El^d0j 粦--ufa8*09fNԩ@ |{A,!Z̠8Ȧ + NWjp23$qu AptÃ3M@,R13FX'1d'#>M9(.)ݝ]'KJv[X:{ʓǕ;nrCAuGpب~vGo`9+˿tq]K.]n%D9#!a3HOma\͟UX8`{L,dՄ Ӓ&(y.{8UGGۣvђemaA89M6Ѫ0u-Y{KggLJwzKe>loSQQCM`0Ӌ{>ܼaiY[K|ūـGOP7d@_vFf')xFك=S!;`ĵN)z\c\iAAxoR +<11:&qb8Z"K +aoOx(, EQ1 Qq _8͝']qLޝTruwò}i~-9<'2g\~.Re]Zydkp>Ssrҍۑ 5Ҧ$Y!Y:0{v5 9(y:ܮ<<[=6s +{pcyze]]GO˫g[*Nl~!cK9uW $w9&XV|ewbٗ;1cWWyy/S[~p tn-ėU-#n 30+念 ?o~7(taMz-sJiEL"XYE-[ߕQu,wƆ-E7itgێ)#npZi&dOV~}'.1%Z/.@Lf0Fղ &vMكj.k[_Ñ@AАĘ#Ac @ U6Ühz s4,_H,r:01h$ "8W@!Whp \ FϵgNIG;ZMUգj X +4L%tjq5,Pz Ho%bI*vW_-2RO =w|5P=|xRuzm_P~ܳ =QNI{6N15ə +/EOOb5[oJcz[${N%Yxqum5qęݿ2LBl6ɩEU`+fVb;w%s֪7mV,6yNvQ_NOCtGӢ\xl. +6;jb{[OW.EɈwTj4XfY.N*@ٸJAa0O\I׀ecL„BqIF$eUfWTLBxmA lQ7@0IG$ +\Pjh$g*VPIiAD,/#)r~\_o*N]E25* +F""咑8 ̈TE3㼄yؐDZuEkYbQkf*Gb"&FC11U>FU++8瞳>{s+De?Il㒕j֑J:sS*y ( O,FRFFc +S)y QQiq6Wxy畕h'a幖?'fJ- %ב.qnMv&Uhex#>&gNا^m=u&uܷj跗3fħ/f aPYS8㰣MӛU(H)'ÕC|}}eoֽ5|0;Cv͞Kn%u s^-aڴK]4Ei뿃F2E_Phw,޸8Y]^ky1G"?֖ j- ǀj +x +P!?G+ţ Qeڗ_PWSX]?p,׼9,Q!{Oǭsߗ6驗Ǖ^zS)iIg0 -?Ӗ|l iy'wi+v_,\5|;iMdc;L? q%Gw^d%ɼwq_WOvtANaܝt㉺T]jg[*׹f[6?_kx`ێ kN I~fz,=mݡ_;֏FSv? {- }^wxzmnݾ?Yx]q);vڬ3|+M#ά{Ճo`ص/bS:.}-> +,g_Xc`/l2'=V*L:QZ +f'$|9[ĺ\VÜ`Xz+K;i uLPJMQ1T4g6܀nKw p:N18 ~{v a Ue*xa!P P +%72I-OZY8#Dz46ưѬ[M+>f3 Mw{-t3=.i vR~j=M%#x*)"pds [p]k(riG&A[ ҄lV+Ȁx@ధB*CrY+凄RL|ŗLrUI;1IyQQy?8r̘#](yCaØh xl9`uN /Qȧ;p3^c}`s^|D  I kF#w$R 6rNha;` nc#mCdNZߌDVj*9yyT(cjN c-(\nbE( [ +_Fa-GZH_ q|)H5։逑ҜRd^HbՐHlHS$ބG?'?1 t >B=KC;58m!,& \&, Bź!V%żIt$C*&HY#$x$wV!K^kvl#8Iۈd{;^P\-k ƊH܇y)F6ONrO{I]X؆ 鐺܌u0w[ί[oo1R\!Չ ۑKr[@dHJG6UKWoLSWA"EB;hZ !O!NS  Y˲enK,.3|b6?of1܇}ɒdbwkf̌vOnwy{y|flw'v_#v\ 4I]GV*yY,#W?p<A|*YryE<6" $]ȊV 5Zj5d!5qRJ +mBBqtش%&@1!>#iQ }*NT(C$TPR ZZV*NRT*%"%[ku [ŒՆK/oCJXXGezmL8YOe7])|TENœ-F*eZGȣ##Cػ렡|@QEҝ8h +]0^ +iߊ;=][L<:pq2yf&hT!Ck)ڪe2!¥*I +41!e*HZϛP6(%`Ne"r:`9-w_=%*% 7y7VlqC=qjʙ&YUk$6qj^?\AގFFWZozQ|fMXm36X}2LIId`aB ++%j+J)DYN'y.hVVB=X0/}UZji{mVZ4Ɖn%x{Ld ,e7` iUid*S| ߶j-r`v)!`ڃwkCL4nK+ݒ7{<ھA$3W'MϱwD2Md~%zAOph +u COъ@I}:z$D\F kKo5 !Ky_N#bDHF,pGl66=*}ɠRڞeD)aV<c)BbP_0Fv\"$ǃyLcy,|':~H-Si +msDGG撓=fm@ogџJħCɅYc4/},7OtĻ҉Y:=0Oz:4gYNP͡%B 4 i +tr1LЃ 5 = sND@IBq@S04HȌP/D}U~A{ކ`hbA Z# > o8е8?ex"CCX}>$:ǯ>a #4p QP4Au\iϡ<Ü1@F\FI !ƿu?QQp}Z=_߈B]O^!%`!^g + +endstream endobj 3037 0 obj<> endobj 3038 0 obj<> endobj 3039 0 obj<> endobj 1 0 obj<> endobj 2 0 obj<> endobj 3 0 obj<> endobj 4 0 obj<>stream + + + + + Acrobat Distiller 7.0.5 (Windows) + + + 2009-03-10T12:05:03+01:00 + PScript5.dll Version 5.2.2 + 2009-03-10T12:05:03+01:00 + + + application/pdf + + + sta + + + + + Zusammenstellung + + + + + uuid:aea2709b-ad67-41d2-8919-f0cfccd36aac + uuid:29d63810-0bef-4437-909d-f1fb37883ea7 + + + + + + + + + + + + + + + + + + + + + + + + + +endstream endobj 5 0 obj<> endobj xref +0 6 +0000000000 65535 f +0000893493 00000 n +0000893526 00000 n +0000893549 00000 n +0000893599 00000 n +0000897200 00000 n +trailer +<> +startxref +116 +%%EOF +3 0 obj<> endobj 5 0 obj<> endobj 7 0 obj<> endobj 3037 0 obj<> endobj 3041 0 obj<> endobj 3042 0 obj<>/ProcSet[/PDF/Text]/ExtGState<>>> endobj 3043 0 obj<> endobj 3044 0 obj<> endobj 3045 0 obj<> endobj 3046 0 obj<> endobj 3047 0 obj<> endobj 3048 0 obj<>stream +HVy\W@ +0+@=8T!FG1h lEPTTVêR}KV;TwdQTǒР؎G?}ctu$:6FFNӊ7N~"T]ySmbϞ)[hd$P>L}޺oI-.kqkI/{0ꆌo $OB0sz*D| )VrtP08 (4{MH'FE'O}Gĥb',~s> T°0T&>wwrEF=ܽuv6ϧw_9)A\|ae2]{Pg}ӂQ?Ͱ%g}xEJ KK^YΧ_V}nNN~+£A^=ϽFSڔ$]=ü-3+Q9l[fs8ܮf>g%Ogqogg+GyTa.`,\l+`)yLKeM[K9=S7q CsKj2^$[7\bk|*|[9[=zexG'jˑO?m#[{>O[*Gdx'ꅌO~Y?q[^t⦹aqn:~Wm/˖w%W]?aSQTq)|r{SpτW,$]ұ_X8K:2{$Fj:f7{3uy`W+j~'Ν"|f=x El5'Of8;K$~mߪEu^!O$X}~y-VkH&T~߽Quح\mB6-i/Wx/'EEy ƈZdN)?VeMBqK{v#H*6f@L}+3žD OfZXEK f%Wp*y_B[uw߁Ŧ4*6?xKwd1-J ".o_ѡ&'PxR xAcR7XF,IX(<W)( K7#il̀TV0Np> H )BS<ҼM5(T}0#´I?&)PRC#y3` +罫uH ? 2`yI# <:f{X:'UT2_QQ]Wx0D-a`FTp.ru%0Æ$Ԫ+Zk"Z3TQդT>51ZŎԸB0_Y9sor$ɧӎKV:lzn)WZG.+]j񼾢Z<jn,v'j׋&Lժ7Eg6Q/ޔ9>49"^J"mMS۳axsvEdN zn vcosdL vn&*J`mTyl-V.C9Ɏn%D́z=lE_A'mAc1> `XaL#X7Ds!,V>KzE>F8MH)lWfR`0l :/ b_'YYL}~`Km$E7{f,@Ƃ7:m{1Wi.avG}A9ZFߍRxx{{Sdulx]؞u$X[2@ủ}?tF|GD{C<;=i&uI`5b胤Cr3[B|\m8blH9 +sT'>P h.lG".!"o!* 0W_}1M]aۖ Z) +AKݶZP"TʽB'PR +S  Ye2%یNb|0Yb,f{O&KwoK\4{03>|9|;9h Buk:ǼbMNHk4d5-Wr1r~ ϭ.%KoA1: H҃lhe`OҠ6Sʐ_U".;JZL69uFhxOgG:aq].w 6N&@5oLn>{dHbSbF&^@Xi%"QKhlKHS +amY + `JBk/1iFlż@F_#QU㿾žZw/bhe'Nm\9s{̸_;>b&YُNFh1wз`˱ў[eշ27@M+xc\oVb?ն/TDW .©QV0 +Urx( $1o A4ʨG 3(eiM=[Ob7Ʈv4MڬCM ëb"c`>.KpN,TZ+S+F=V6[] CC8ofK ASl6,y=ЬCloowK^hV D2{|wLk웚^&t]=ā@/ֱc]Q΅~At)Z? ^<^. 7SAP%迗׌5C/1"$P>FpZ\.=2&UTA5ʄ2RU@ @,W$ XNKu(ˤSi +mxLtf#d*>7Ӭ3mjO%ӡ,˱HGr}C_#8SGNq4X:1K8:i@G,Ks)J9A)@@# 5RP 98D1)4mM,%p aq ĂF@& |z8е8?ex"CCX}>$:ǯ> -4p QP4Au\iϡ<Ò1@CF\FI !x/KeRmOTR$\sףSǤI , ^g + +endstream endobj 3049 0 obj<> endobj 3050 0 obj<> endobj 3051 0 obj<>stream +HWKo W0z?rK.@ VZ{fZ6$9 7c! {Ʊ`"XVńd$*"ǨF&}20-]& [pZBueGXc3`Zx +dɀˤ7 8 @]w 0aV `Dy$<y+\ˣJ` +tFA*ZS=- 5dQ00i>ZT@t z^Ř)8rӢB,q.HF7 MZ%" SѶ +S2#,'*JTT%@TR;mZ,GV.j=HhCZ9S2s}d&YVGJ]nun<.O@Q{UeMPk=r.XGu5hӕ>)hP"orO|&V.o\@WNLzFOIʌ2xWmpfIo/0JqT^}Q3B&D a k7YX,c +: y14ԗcUsv?xSeb+<ձ.ay')^2P0Y \F=Lh%LD-;]d=zEm<\rKȎEyrm{"P{c+ r,*\bǰ=clz#kf=<06gn:_1PG(kY MzCPt9`πM&׷Rtqsn-v-!./i}W/|A*`L. eMz = &ELNO&ߔOYܲLʗ꘰eh1np*Iݷ5L$mtb{ifq:u9<2e?L6- ++kk",).,`ͭCcmNzL . dtb9`'ۇ Es2J^&gpa Tl-MA<%Hy0z2Oz<P698P2Zœ6i+M^*7C5Lm`F9YpZ-&26@rla'b3sa6+ȅ%."Q@@&@w!bdh])H5f!+Y(ڴ͂h !в'lE!͂ saY-@3{x6x g{: `|>:Iwgky9kxx{prV@X019k9c9@ހK#l }d0-2PՇp):݇25dQha`Vu E9zI݂dS9[0--ge%@ʕQSYEQU 2}`իZI`0r sgӴ"ī@53L/l_ambpS{~Y46im`^U b -F(F\ص2ie=ۻ0k|A +%z=ͺvx:}{7%&5_'#AW};,|_aM ܦP)-qynFS1|{}]>`0Yo!8vpG` 05k03po{v0B0a~,{.S ׇ6\`W7uRݾsu."801jUq1:piw;gj-3vzR{?q {1w@+?;E.<ZקwL#. 3,>Ox=Gx-Sig-H;hy,hL둵 $d0pz`iOva[XKKyxxx槚ys)ø7~|! p5O㻨 +endstream endobj 3052 0 obj<>stream +HM +w<(j0pzD0?V8KNbQ"]6[`^l,NP?+̓Ua@o@>ii[@G +NSPt#GE~QOO?lj}l*Soe*6ގm(<E o}Nڷp@$VEt}lWY<턞.`;@k ؎Qي۱NZsqQX}퇢n +r_1SAuR<=V9 & 0MrEh(DunQ\oIW`sɌs,`~#/#,`1Q=]e\l$3;\~8` }=O9,7Xepv8 kNI^ހmplwt_,?[3_tF-N3Z'J +;1Jλݙvgk/@>!VD5~DǸ7C-rh%(:~QK@r +9J9(Aѱ??aG뽁"h8GIm-m:X?:)qX !kZ\ TZ +;A/:H$*ҫ}Ǚ\EWNуF ÚUqU6.(V)hR!,`OO@wFkG`o +{ҢN%(Ux`/ջꑟ8=PƮv)܇B X#<&l|k~=.b{\@p(P98;~XG 9`1S{Xt^ [eaԖmHJw=τ:Z}=&`G~we Mr85WU`*ʵ*3x-+YlNK~tNJP$,;c{ )J +${>x|ڢϛ):0?IWM1U +D`}/* 8Eᠨy W8%.R_$WW2ۍ0'@=*f1z )z +~c]s.QSv(xRX 5 ~V a~'BAQ.+^e[pNA%(e "^N-Eemy]3^k!#D((a;Hs~m2^ =E#!_`5i*jsS5IQޖ١kKϢuρՐz[Ưzk +E[W}žyg hԦ݉a;lzN8`1@jx X#hX F H;A)͐~<::^%`ٲ3C;2l dݶ<1,7` + +քݶ^n85 :hQ%W;?@(8 );5@ѽ𫢋u XK7^f˶ X!5PhAaoyjOht1$ + J9jh?~tmA{p ^NQSP9BVPLj(HaM/<\,ƳɽUqku @s/k<3 "p*/D`93T<~p"V4 ?`*s\ +endstream endobj 3053 0 obj<>stream +H˕( EGc^4;J}]CB=]j^|},;_iJ=hx_vJ9nxʲ׬: +/fae]w}As +Ж~yq" #2P"e<_u\w 1PE ]ԮT10C ^W݆xi'C_te^TU&aC(\hٮ˥eם-h{.g35ٶlQ~L>дlNFk btj** :^}ƻj +yovN30.1,č/~b1 X'}0E` zoDmgҡ允(ޠh[A@봺h;%>zc q漆*;QkPhIQ(^hGඏ+WVq ++*8 +d$`Os3 <~EM@`OcZ&d'Wl]vd]:s'{~ +')I +3E?ՙ1N@'n<)y}!@N]5P19' ,E5!UH|5SŪA}6ȖqyRNٿ-uUw@hx6 W$QtyD1ʾ" 7UZ,t@r*ewak]LXde9JQѕyG7,W-ʙ1xvHV̎ ,=׶=CA@r@lmepN~SWx13.5.%.v;bw7R(ЈE-'$ԣ {|oZeOܺ5vĖRo1U<\MHXPœĮ .m$n,b(V=HA@[DmU̇J@[Nb +" G1PEG<Ut[1:s Xc*ٺV^pZ +be.U,;Բe:QP>stream +HW˵(v,q4OB U{UK_k9e9}yMπ%Aty"oju{:T|ouEB}yN@EJtFna?O$DKNK"?''eոF\5P[ "o\)ld{Ժ N|Pċ#GL'<,%DxnU@ _7 ~ "(>36uBYO 1ES%F „jTl)*[C>ezR LXU ՘=k3ńh:{* >qȯn\LFO'"nEČE]=c,r70Ha,6 b h\^^!*ČE[B5A^Ż]$4 j <{{ lWªw.-@x؂ 7-@X%K ߡ}l%ʄ-Q¾>|2+hQ°l2k(oXC=h$ݤWn/3"3q͒qGI}tnHr݉_ ~2p,EeW5?U-D ^ڑ嶉4'ȉrG陝4*&r:m?"3Ww: {XGlW#| ̞b*_`"|Z=X3 +\1tE(({0!c 6ʃz"za$+9%bw%{7 pgǎ\hdDƘp|_f@X-ωY`B52d>jf٬ +Le#a LǻQ τ& =S!6LX} {%l/J^!l}w6":ԍ* =GӧE|&ѯ@BW+"$/@\G [Th?zue͸w>![o|2n%`ҏPE^v_UE+"1Ī*m"٨Vq +lT&xExexL4-¯2} 8|$rhXLψ ߴ, *  ?B0aShWȗA~T2Xzk6{~X v@Mk,>x۟MJr,o, G#%]PI'~%c}ҥG ]b|M@>Ԍl+__ +56Flho?>я}MX'}73|r@, ``b !Dv׶c#7㎽  _N~//>n,1^k[0ަc5+FYx@>+t@qk5& 8=7Q'^>stream +HMv( 罊?m@ U)7Ir."˟ƿWIA_"_wr yE 2Fדxvhu=W•'zQ&66a@1"G+0oY{Wo`kЮwi`,=0x,6ly@uvш=kHW +ESz[ܲ8f+Rp&h~.,O5m[T3sHMp7Nٽed/b{eީ7궿^ZZ{dW$-{dd +jj%(mŭvM>@VYČ&` f5 R3$s VͰXjď{. f@\ݲv 5C?S3Aqeݜv˄v'-26W3nZwMoQP\AcVT|x5RƆ#ŷjOP +=U^.[n+@w"9Yl(߲AN>`ζ$ϊ??ߞ#Qsh^ Q +bnѹ^Xq7a&6Ep@o,fq_崵UDdzD񬸩1NZ5&e(l{!>AyA[17sQlD1kJta,ϛT\s6k+@\FX>h3h & Kּw5Z ~ԏI$}5I1 &{/>stream +HIe+E98rοA,8g *Q )XBK j4Amj@,ض jxbCVXHlR4: +([e\bCjjm3w:PYw'lo$ +Xo.p# nT9F1CA4[vqZ P .1caXZzwQBQ6P cnZ<O{0@,r @ct3bAgԢd"qbuDX?'qt="^՚s#3Ԣ*G 0}"l6;B^5i ĝ;jQYjѫىbC4@}۷;Q9*wP0;y{sr_V_f E]7|qߪi>'Tl,`,~9 0Bp9!4=ps+Ž +(To|/j@=e xgy >Ct|X"s6Yɚ},O8pn8i8'mP4 ;i0YnE:YCR?EĚ߹鄠tD*_G J>xBЇPU~!ϏY/jrQ`<>Zwb?׫vx@9'.FVMR5ki͈QA&*>2Hht lWs4@7tnG>ՠ"TMpZ|X7}A䜳:IYq|fex9#"G M +zr6r92~ޠt7GgI8C‘*?,ʂlh07~r;s-`ȂP[0MwgֵѻqH[=X=`m^&)2D^U^68ǂP :pF_jԹ Uh 4h0`CTͶ VJ_0:XnPvAratv]^_tsrX;zB:oHByi1ƠUt ï.~#|Fڧ빙)Okq`:O}k-eqcipR@G)X1.]tr:vU৺~X$Fg΂Рy "?`e]?*. -G'aAt檙Bn pNc{u2(\oo9s,MrA{߂`gDc^!Vk.^ZBr +իG8/A{Zͷ+-8Gƺׄ!.$'$rlZYs@6PBPK̝Y$$9|%e<¬Nra[4@J +l]3<4 ;! 7K{0T \fJ$ƙJGSib*GNnk{D9nn0;͜zn]* o4O ֪ !܆efl]{5ǧC )o%XVX x8`Җ`8S+zI͏Hͷ`p| aC9ɣHT\Cz\HS|{R\ƈ HDkÂ[m#1'RTttL}UU5.;ܿk}޿waZ +9/{7<ϵ,|xaY,]k/ C:#W`㻼wyZ"o<| ϥ[:HF' +endstream endobj 3057 0 obj<>stream +HWɱ* O/OAH-:Xm%]ŨX-EFj|:2/?P"5+s78b|(Ř:Y"r0DNus;8v|#`=Q{f hs(`2)|*Ϯ +WiRU<3 FR{ F[#&c of  nn`0hWC$sL4*dlC]=9ۨ xŖ<ףK4 ]74zORc圗(IEA]عuKQvp(So>XV$7/T~ ;Q) +DCe9QuSSQ 9o(걣C5`4C_0g5b4U tp57;d'Tpbw<Pfu& 1r5`l0~dGkc 0?^cW +hk@RK$9#v׽muNHwd_L.@Jع%5;?3\Jg}Ϥ`U)P:@ؽ{'Zb;$~ +endstream endobj 3058 0 obj<>stream +H|& S @ $O0RfYuWj.sYc0`-߾뒖n[[[ҶǶ˷i.{u[c-gJ3ޮpHk*0z\k/؎Ȧ0Z^6 {|okcH 0Z I`*$,Y*k=1[ `ɞbtG#ki8´!bQ#:&\5U>y|N{go'm ԖIӖJ6Էe7OMR@i(|̊c>&8k 61:l*ET.o .gI1 +r?X +@ѯe].5vM˽zOrݪ+=UE$Ṋie + }嵤 +}_O +Cߨ\ˍ.oHi +E7y_bbf=nޛ?@wpx„>@U + E0Ï>B$砞ⓤ*o(]P2¹N=) 7& 6|?w?$OsCzQ +w?@5){㉳zKV*;?~1P4yoaTAMV Mp֤CqG'CIK' +uySl=$W\ji#Lc-r40GئuwOԗ;Bœ6|?埓HP +L{>;L!۱,7]p +endstream endobj 3059 0 obj<>stream +HV{P?‚. ·<|Ecw~*nv`# Q&MQ X͐Ih 1ZNjlk:mL2:ҳ13N3N=ss=@8l:-Օ.;B lmiD{m%R"Đ6{;MΕ^Q9XWwpg3ݜqZ:@}{P$NL2} +zva+5AH}˹O@%ᓍR&p'B *Xv1c`굅Jsy^e/4GRSka-*(h"VPUnf.eKj2OZ}>}~XWh*HkNvW7Z%le^!ɼ"/VrF8EߏLY-@_-D~0CS +s0C0@5ԁ̠233sxz3: h)PEu1,@EZ7A$u +ɲN wu'Fg3^e2z8:qwymAPU cvd-s80zmՌ{;r]Nrblam~&T6z|@7"T`7x`.?0(Z2qG8Xy͍{`CmzaPUЀ2mj}Ɂ1ՊH7a>mbW?W +;_ wm^'`Gh@#(i\x bzp?<>C!)3SI7UmFa tMPYh +%! +Σ`md3,|9nB( ǧRzyv_(^`$ұ#ٹ"Y ͩva8: +Bd-iqv2j]ֲB,ctr^{MY+6/4u8}(|ńsV5& 5:}냠0{M@;cNLg=?6Oo^ZW=7y FIm=D«q¾k2葛>~~moh^ ogpeg:w뻄v͝G g'_ԯ ,JKT( Yߪ/oz˱^M7)TL[w*'MsM -YQ?=2?])g +NS~_yw(6?FW3:QrL8緝\cU'''O>/lI{Xën;5 f _tro8]];cvV3Ψo` euh\h]Z,󘯟waSkؼ`jV4/zxW TYM"lA7l?(lJI%QC( vHA@%VTTvqqgգ8.+z93gI{ yAB]Uεn}[ٗ>45엶O\>4놫E.|+5k_<woO_}/:U|Grޝ b_jy\1>ukK ̪2tSqhҏyO<{zmUFlu~bӠEO%s*Wg㺵9&c6|is9y]|WO>׻Ok-<74`aלm:;nUx8G p`p["X_hM$ކ!]7nO"F%p1jQ} +يsnM+hC㳢OgS e7IjiĔuֆN~[N W7jMAM?[tiuuj>YG7A=OYJj'Lk^3/iPb`*2'(+< v<>BVb/O`XƝin]Yw0*m<>GfB~\.ǁW3#6p)lfsW_>.~^Kde]g[7`s7f?DsJ8)`C@G͍%#`Tmpzx_:MgR!!"8A]a8՚t6OM'(V"^:-F"0PMl +Q&#mPɳ# h2~u o#4&"h"`D/0)F"plH63H^0т9:Ag@3PDV6ATrUE_ 0:<*v*Q'd6@T:1;& +CBZeZMi4ӬШW 2`A`o$R@4"\!HT"@"\IH!q9ۡPH+p,h&b@ƀR +_q." PQbMab (Sj\%+ A%kp19@ZV3QX \?njTZ zAPJϬc*D8RR\`ԥ]DX/R*AƂ$@b1{1XPc<.* +\tUBT@"dZ&`Tې`P*^ #-&a-#S_bH*DADHΆ $ @};s lP IdI)n@јmTҚaANWه \$TF+i=o&Ha)` + #~ }3 +32zِiGh5#P#‡5pԞ-iu"7z߲׫Yw2Lz\PjeeX a?hiT %?'Ogc2ƲopGHnu=#QYC"0_䥼jɭyɶ3mWO>qܶQq8HoPp4r;vŁc~ ~%'ڻsa9dx"u;CCwM=wA< %bYY&efEYV +`Y gͬ +F>'Co~:7L2s+}[XȄg>-&Z>G枨v<=n1}Jz](j>cCK*/bE#.Cš + {Gmpkf Xo)̞)Ƞq~1Æy#H4 + 'eݡXD]8Yu ŖYΩ3s܍Kf6f99t֔\sJ\{?9ߞy=>.wvĴo_yjC 5;iAoV32EUkٿv&-=%;?\9=;٭ Nz΁.l~ypע*>"'|_rq'>;#}%7>>J&\@_c2@2L~)yqIiՈg4;vT&ay]5zU|c-:~#: +1SWL,u*p'1#8؇{Ѕ9hC+b Vc%V` E˹hF`6g~e+Y̠7'JU+ H U*CSҸi0.|kRFUɹQ6H'vK*QDDY6t1H7$S#AfWqzlVȥL<:6\֓K:r/<@%.]#a! aVǹ +ZF-y=2=?re ̙jS=2(ԗ]_^/;2 8nS_V|Ki|%RDžWQZb[Mr_u>a0Ωy}dbV_zx6[W/-S_^ƭl<8:Ի/={F5<8cβyX[̟ŸI}3E;gKϭ0ےޙscZ20UMHUݘ舠Б"A!0^aYL$B\"Hdtb=QGT!=lE3f7Jv33* c :;Ta~-}&0Hۘ!< CyM/c2){ɥPgr"e /s2j71zL"/%&h;T',,Gʋ(Ӎ4G}KlޚG'iDl /}w~_B\/0켓~£vF7wa] Fk0*F/yhEd!_ 8r1D"{4?G#}wMJu N0h &ؽICλt_( FeIa~X;*bnC(יv`$ !?)R|kݫvX0U:V;fWcͺD)QĹCyiO5~W:RdU8Q$O 3f3fQxHUTP}#T{v)j@fsSF\rzrx=5|/X.z\{Yy ߿A_t +endstream endobj 3060 0 obj<>stream + + + + + Acrobat Distiller 7.0.5 (Windows) + + + 2009-03-10T12:05:03+01:00 + PScript5.dll Version 5.2.2 + 2009-03-11T08:02:47+01:00 + 2009-03-11T08:02:47+01:00 + + + application/pdf + + + sta + + + + + Zusammenstellung + + + + + uuid:aea2709b-ad67-41d2-8919-f0cfccd36aac + uuid:77584390-e1ae-4d24-a4d9-b1f55eedb637 + + + + + + + + + + + + + + + + + + + + + + + + + +endstream endobj xref +3 1 +0000897584 00000 n +5 1 +0000897643 00000 n +7 1 +0000897851 00000 n +3037 1 +0000897930 00000 n +3041 20 +0000898206 00000 n +0000898411 00000 n +0000898527 00000 n +0000898605 00000 n +0000899290 00000 n +0000899513 00000 n +0000899737 00000 n +0000900013 00000 n +0000906160 00000 n +0000906548 00000 n +0000906777 00000 n +0000909289 00000 n +0000911195 00000 n +0000913326 00000 n +0000915433 00000 n +0000917564 00000 n +0000919808 00000 n +0000921866 00000 n +0000923948 00000 n +0000930056 00000 n +trailer +<<977C6BF94DCD4A4BA9A5BCE830C15F4C>]/Prev 116 >> +startxref +933732 +%%EOF +3 0 obj<> endobj 5 0 obj<> endobj 7 0 obj<> endobj 3037 0 obj<> endobj 3061 0 obj<>/Encoding<>>>>> endobj 3062 0 obj<> endobj 3063 0 obj<> endobj 3064 0 obj<> endobj 3065 0 obj<> endobj 3066 0 obj<>/ProcSet[/PDF/Text]/ExtGState<>>> endobj 3067 0 obj<> endobj 3068 0 obj<> endobj 3069 0 obj<> endobj 3070 0 obj<> endobj 3071 0 obj<> endobj 3072 0 obj<>stream +HVy\W@ +0+@8"1R|}߼1 @,(+6=Q6t ܀ X~:Z4DڊCw81 (x5dU!G]jܘ]&MZ)5{!w CwY- QEc<j rWjCy|:emǘA>?Woy,jRAR:Um71C#?`0^5 Gp1 6 VZ$Pc2Ėkoar8pl9`צ!f7(MT  +c[MwwԮl13#fN=yY+|/EexI=b' (Qd(5mh0PĉV(0#p^#(m;`)aF5D6U4bpjJш]D(D }OP$L,DdL_X%b*gLNKcT}}&|,va\RW;N.ٹuN} +'Gtq3k+㤩Q5u?O*& 5y9˾t]ҋw/^jD9+!a+HOma\ɟYh8{L,d•Ӓ& +y.s8UGGݭv莥;Cb;5EX=9M+>pMMy{KggLJ{e~{lkӠQQCM`1Sz>$i^ţ%]Ul#'2e/;#7< + 㬁7xF: N:ρ1c4D018!Q-ƥb/" ~}>!TˆT&.wwr +E&}uvSΧw_9!\|ac2?ol~aO,&~3VT&^Ag[CԒtVWzg)Ife{g6NK78hv8vyJaL7cI_\qe+1^۹vC跁G+ޑ ZQ_Ts;YLTѼ ֿ .i[:T?y;5Sljw}OU'xW.{ +y"fÞ;ha]^UԔ3mGߋ|dnjehI{qwǫ''7/>9/G0FԲ &~]q.[[_:#`ABЁ̘#Ac +  @ Մ.젴hzhU4,;PH:pι{suv\aӣ\uCMټ:rYRS'<`HPwc;7V^4)gV. +6>Sz1GQ:LTih#ޞ-+$sbhmvhx#c`v3QQ:hȋfo v Lvt,!l\;f+ :i 2QYȵX +`2ʽQ%#X diYDԳ-z0ꭼamBLhMf2Cd$df8yQoL@h:AggsS["o%ብ"PhH5ԝ96diUqnQ&=2ՋvTo +6#]?m2 grڒo!_?<=me#]?S7+/'-ill< c]$ް L:C#b=KX<>)3nvwUuo)]cљuoz %Py[%އ:Bу,+s Mf5J_<*O@a LpT`c;`XjLKosi'm.SJC8*,܆ !]p pB| 8=`/Nva=4C#հ +^ ^/,}9*Jf>Xp_&)2W˹9 gX&6U4s|t1~z/nEt>mNOdOR#%QTlxndu n"p ]XE.$c`+!\jxՠhTVeB.k%9[BZ[*Iu'&Iu5/*5j2G hDDjpTЮI *~Ti i~*PvTh +[?5'b6|>VP +/fjdg_};O|(,yMB:Lh<>O2(w9GXB|==!/5߿pYI10-pL"%*txn q4~|<>:bP~‹p#D"!{a ( v\ĵC +b=F -l9~lDm(;I>Xq>J-wB%At8/cײۍ\e_5a}_a߂h5սC #a!/E:1>0RsB IRm p}?\?wěD'>=$@V"bS@зG`}h#g0d@c $P8DX78)nDqHetx)kduB*Y(&CII)uO3K:p@$RQnc `?FQ :Acta;q Q | U^0&J>i +rTK EB;hZ P"Q: %'ht3lїeܖl3:Y\fxd1ϛY2L% ޖhf|rwK4T7Teg:} rNdVh&ML=YPղjTF!WR\H@?Lt\\": H܍hyNPͶ6c2<>ʐW 4]"*=JZV,㵰Fhxw{E:!.'k:FV/ -R$*V# +/ tHXܨ!,6E.,[DބA)&u53v +WX0cYtbł *\[x,O}1<֯ifկ[,ūx#+ٵhnꉅqM3zBc]&YSVVCjT+Ub)_\%JZ*R,#`?p/h4^NZF?C^o+F+Jml=qŦocԬMhZGɆ]nM2 +ZiF9 M{,@mֺ, _+qm &=m6ٴ˴䡺@֏==!-YE3~Xݻ3ȬynIS75LhGǻ_}^oS?ƺ:#w]S$е>yz?\"oE k/B> endobj 3074 0 obj<> endobj 3075 0 obj<>stream +HWn\+v]Lf`HXYvI_P ?o>,Rn[^uŪbWK(5't=&B: ⫂pK @%jRt)lJA4.pQX[@] "TV&8qDA +ibQ0=#n4 2֋s2=Wdr1+T\XLq$}nūM p۸DOBႀMvc= +pNwOB#qO(t:a1j K[ ^-@8ɂH(B— 2:$m 3#X"MZyHq S%]P8s]Ժ:P*ʫŸJ0ppZ #J0L]ѪD `KpZBT@VI=qX% )a4NZ74(mU<=W@KOiluK4*eOXDw6Nٯ&~ a;Em*|X9+z$\if!<%qz}RD cb#Pӡȥ +]-cO4 +nGG«+'Je<$nC4U"~,O+罕CD.m[u[]:EWsIwځ" {W0K0HV(uߡ""ajlկ43EBIWh!rAJF}:f\*$u= +EC)K +F"t@MU0^xV8qEm"`OBjrVz* EjWPض(AJirzbotcVF^eAy,gʒ WfD3*0k+Y&W#ji"?Dɲ*?{\D,˅YQ>5:ZQD,˅YJB,7 W VٻÅ peڙ` +Ӌ*,Ѧ*ɋ2%Ն3gd\Ǚ$h^d=PP(2f^`y &Hh-18}h//~n&9~QiŔ-9NT.YOo7М)xo/*RhIԴ0(1" +x5w-|/7]PfzYeh_<ϟopi+>JrL?dƪ7 ^]ϯ^?\߼p}Vd<ͰW_?uslݤ%c!Z_ Z 5o߼lݼ`8lc6RHt7_X_ ZC$-8Hp󻻫u\aw:]s>^^Lΐx#7۷|Y?77's󔐢!!n~`/>stream +H˹* 7_8&vۋ-3gY?ƀ#u N3.]tSߵ|g ]̲kǭ{Qjiϙ ,ґH[#H Zx9d_Z"`!5QvnG\D-֣vYmqAT α̩TGZŝArm }{mYc^6 g>nLzoa`m󘕂sX@b/u \l-gԄk1n,myE(g=oOMkIHCG$_ɺN7﷦i:r#ʆyQr(j ֪&`$9#&^AY 2elѿ;rpQs ,Ю0X1C8y{ui9Zs1F!P5*Ɂ$%5#111J@ $% Ϻct GQ'}DCڅD:"9Lxr./]䀹IS 'YVP)9!aa؏p/`&T + kmA:o*JV9_U*U h>Woho^p . + `7j/.jXh-ݘ;^ pD@rڇ&t'wg +Z9p9< +AdZ\\ p9f)~2u_#ߗCc,G9~%U'@T#<-:jl/԰zc&тsa}8(_tr^Yh:WMc{ +ܞڧGd"v w~?b|tzE}HGE8rr<i^J1s eT6t=cփl_@G:9Rr(KrrN_kj}seU]S#8m8*>9 %6I`x3/1ف֟`/A3X ]q0A+k9,AϛiI#p9gԒ8]B-דhw-I@pipi;v `󀫁Im-&b[\4:ؑ!eHN=X@N_bsW^ +ͱs!j h) oLCG|%b8ݼ5g9%3S$QOR^'s>5Am3h;wf'VfKSTpl;Nh}V6^7`hrHu#S\o6ȣ*}+%0 \^ 2|PEU͎;h$y]POJ@Bfkq˞1PED8g`B`)ޓn&c_,#e<){5ؖ|ٱl/b~-p2h{. ]bw=ƈuDmUxva BRUAz>r/0(l|. >qA4lx}B`5m*T{Xu}R  -{xTx_(ؓ9)Xo1^Zuug}h+h/w30x0` Tfm s53;ȶ4bdF<8gXxܗ8%-)x^֩x~8B epL\u}Erw7^%F'^3JurO,m>r8})f@O%W8;Oس=cMa4 nK7):&`JHA`u$ ~R45Q +4vw;4:˓SMmV/m.xusTi>*B0>WS#a\8ͷʏ N)rl pr] 7طElniΗiotb$|> +[;Xn^'n]R$tл]l"Ht`:*Hh%9o_ +=(VuvjOx#1xcKq?i"x搒wRX6RX~)r \1qYBs]@9*KVƞsZ^?Ho-O*?_`6 +endstream endobj 3078 0 obj<>stream +HWɑ8cDʎqw@&T.1zr);Ch&D#ki!%Sː˄k1[WۃmX_o3%Y]``BT=nBv(ZXh-A6z[ت_AMt8ەס GFw?!mǎN"w̃tҘۥ`1[)D,{ vb<ЌifG{C{[taY<تVdzO['}zI7#gO_C;ߕqG2.: 6đ3Dɋ/xS9X$W蝈>zD<|d-;z^|8 + B- +(-J"1k./]`$"%Fzҝ31ZԵChgdϸG;(W'/vF2A9v5 sG{D0řp="8D{DpBiM1^ƸVu}=(ă[`p;z̫FpUy;DU#DOCkPӝZ}l5Z#v Hd&2##/42gchdqh\3LFRh՜FdٽO7i~unNHN7b,A':Ey³\D[Bz#Ը7&W-DިikMc;׻]QdsT x`6WɘX PT O`5c'1a?lou~%h:zyF=~%,,J/{@wdlO>'wL6'^BͧYЉ`{Z ;FxCƥ1#?A"ost~ddGk<ϭJq$rm>i+(9_a"MDiDTP?/|>Ql"CeҶ@ҐThUrK.ָCDy +E>J4xӒ3!i~ " @(oV@,KEI`'&^}Tj /ձVLlz342v %3n0 Qt4A`&L>{:jqœIVˁ܄Õ4DXO > @lSa°N~[ +~?u4/1D4A&1#^~.ن_8X#kjN^'W +endstream endobj 3079 0 obj<>stream +HK*DoHڀ@PfU|bW?U8 +eJ%]g~Ŭ] W~]=;]|eP)+ f+ 5;нsҍS@fqs;O+Hrݝ@["^Wf"qW*W]<^W`H}xcl+:и$лn1}c)%Z3, %┍K::}xmveyBy^Q< yX8.eE&O&((iT( )Jgj脣Y/ z Ov  R/tVz xE>N"Xb: R/Hx9N}R//kKO.N*sqF=*W殂乀_{T|\|-,!NJl_PiM)ϸ_ڏDw9;PW컬 +W?W80 tyRIkDƑ~ HW2E@ +mAAy%jաT7]v5At@UpxECV xV!mU&N (ԉ0gUUm( y8ZbNYq&RvwLѯu`{hFFvQ2:c'T@VwV(J^@/9NE @V"˱u)zt=]奩 ;,Kr-Re| UQUρgB]U@k H*F=(Ҋ =#f5I_MmZpj<G@/biOVi'UJH>b{xKmQ_nt; _Drpldt($מ:`DqSXew;@r0]omX>/o+'V?GTW>ƣ֧`go4'+r9مyq@`l'`ƣ 20>OBڛe(]3ާ3U`vD`x[5K͝f#`R=Xz )7I;+]]f-e(z@TQ0M#_T-lo \;?>dzttVz*H3z,s6/t]+q.+fᙞ 7ۥK"wH$'Ar& (SxY~UJX|<ލ>stream +Hɑ8 EmEYwʎv#uS^$6PL'|~>bדwd ?#)W34ۅi.^5- q+hW*KԈ`~u\/bB_&8ڊw1m)հDSjaPҕO,/ī $ `! ӟ@5+R3  ak0 r}Ւ)0 =^X@F`#8j$ uu])`;dՐC!vdX>Lxn^"Ȓ[|\Z_'IDA8#6sG#yxמGם)jX`" 8/ q4 f`-xQ;_)=c OإhTe`! +W-K_WVe 4Љ|vX`KQ-%ejuH nSICd]Ar:B qJ :\#G >6lMc%k AkGGtM1rRvhxrYZB>5Xru[J.Wco*]S# Jvu#VK;)˙)'8z^@H۫wRP*KդSEc_%_{|i +C6Ԡh);`㗼~/yq<9X +2ATIi;Ow@ ݧ'_ +endstream endobj 3081 0 obj<>stream +HWK** +rk0ʧHP)siG,?5֟Of9?LN.`\e\]NENQ$_hF.1n?E*\VE1m6j\^jb&6+[ˑdw ގ/ >x0~l0 Vy1tJ?@˫~Yqle%9 cز! 5",r^NAntA U^DU\^˪ 9Galͯ\(C4^xZtؕ*/FU\^˪R얁nRG[E|t?1p][2[o*WjZk)eC_Dk3 a 8ѱ1`t3 06\bTU6Ͳl9 c~#aƋ8&}`o +=hKaʋ3p/?P*_Vm얁nalB{YqEhq:0Xň.u,@˫~YA>߲!6dCH.u5^e;Щ6=|BBh\mnPl3dJhgz*a`cF6?[*)Z,ji1CZ{|Qli=4$C,_ĪRhYY)T6ؕm1U" ]}M8߾Gyxt~ =q,| ~x K~lO*kW;N.K맯9br&78p@Fp6ɓJL + 0ب08qQ.@Ud_56UtISp<>k,$dy/{ǽn3x&}dգ{$'z;#UroR*a3ɱC6w.d }C_B(@9ȃ&$hY'cHc?BIr‘qОpy`2ȡGy +%{"s3H3=i*9fct ę.P`12欦_KcYXFޱrbNq=ۏ{AR9ŘcX&#>!mɠɃM@ dP\~& +fuʍDSO>284e{Ykv \ *H4 +[UhҍjcPk/j#-e{ZWo8J0d=g0 +cM`1j{Nef`1\419"* M'xvEo M43/^8D6p!&6a*SfLF:0 V^I#icCsMM+Xhrˁ7c.,,Բ?@6 ଜ)N+K^O ټf@FF] *s<~17t1 eX{Pua`@w~N8@bAr1Պe&!hn@O$rh2 amsg8,L6ȅ)CىD+k,L.. xVe@n?xFCi=L,LP)ei:`1m(2τ%i\Q6^ n70~=ixi:`24d4G~4l(@`1!E`1ʩ ɞ,ƆdI0^$G0>stream +H|OĶ )|g['P, U~%K$L'")Jo[bs_ۿ۷˯qϟ]')eKg WzAlq=2fQz^E`(b8tP=% 0zD+j GB`(P:`(1+(ƋT$9H#z9H~L{ጴ ,g 1c<vP2tKGļ,`5[V9J6ԨԹƋ9[@VP+!@( W?^(КEClSdR!'4`0Gh^hCY3&i=9ZhH ޥO +ci@zMd[S0Uhd[^X'w@hh>qƯEb^? " +s]U:J6ԝl[}7ǝ==g>™ma0Շ3W.\…Wð3Al;^U4gΡa6hFA@Va2ڄ2x13b'[li [C^vq5"G!-HrڸguKWa`%n y["E65ǝ'y;?Sp`*qx5hoG~t`(jh LE^ Pt\ǁs٬ +CѯN Mj0ORWPlqV)_iYZ`uGt"&ui@PX]Cʔ vz{ڙT^ќU/~D>xye^NQdQxe 0xӁFJr90t` 990qI0KFQ>stream +HV{P?‚. ·<|Ecw~*nv`# Q&MQ X͐Ih 1ZNjlk:mL2:ҳ13N3N=ss=@8l:-Օ.;B lmiD{m%R"Đ6{;MΕ^Q9XWwpg3ݜqZ:@}{P$NL2} +zva+5AH}˹O@%ᓍR&p'B *Xv1c`굅Jsy^e/4GRSka-*(h"VPUnf.eKj2OZ}>}~XWh*HkNvW7Z%le^!ɼ"/VrF8EߏLY-@_-D~0CS +s0C0@5ԁ̠233sxz3: h)PEu1,@EZ7A$u +ɲN wu'Fg3^e2z8:qwymAPU cvd-s80zmՌ{;r]Nrblam~&T6z|@7"T`7x`.?0(Z2qG8Xy͍{`CmzaPUЀ2mj}Ɂ1ՊH7a>mbW?W +;_ wm^'`Gh@#(i\x bzp?<>C!)3SI7UmFa tMPYh +%! +Σ`md3,|9nB( ǧRzyv_(^`$ұ#ٹ"Y ͩva8: +Bd-iqv2j]ֲB,ctr^{MY+6/4u8}(|ńsV5& 5:}냠0{M@;cNLg=?6Oo^ZW=7y FIm=D«q¾k2葛>~~moh^ ogpeg:w뻄v͝G g'_ԯ ,JKT( Yߪ/oz˱^M7)TL[w*'MsM -YQ?=2?])g +NS~_yw(6?FW3:QrL8緝\cU'''O>/lI{Xën;5 f _tro8]];cvV3Ψo` euh\h]Z,󘯟waSkؼ`jV4/zxW TYM"lA7l?(lJI%QC( vHA@%VTTvqqgգ8.+z93gI{ yAB]Uεn}[ٗ>45엶O\>4놫E.|+5k_<woO_}/:U|Grޝ b_jy\1>ukK ̪2tSqhҏyO<{zmUFlu~bӠEO%s*Wg㺵9&c6|is9y]|WO>׻Ok-<74`aלm:;nUx8G p`p["X_hM$ކ!]7nO"F%p1jQ} +يsnM+hC㳢OgS e7IjiĔuֆN~[N W7jMAM?[tiuuj>YG7A=OYJj'Lk^3/iPb`*2'(+< v<>BVb/O`XƝin]Yw0*m<>GfB~\.ǁW3#6p)lfsW_>.~^Kde]g[7`s7f?DsJ8)`C@G͍%#`Tmpzx_:MgR!!"8A]a8՚t6OM'(V"^:-F"0PMl +Q&#mPɳ# h2~u o#4&"h"`D/0)F"plH63H^0т9:Ag@3PDV6ATrUE_ 0:<*v*Q'd6@T:1;& +CBZeZMi4ӬШW 2`A`o$R@4"\!HT"@"\IH!q9ۡPH+p,h&b@ƀR +_q." PQbMab (Sj\%+ A%kp19@ZV3QX \?njTZ zAPJϬc*D8RR\`ԥ]DX/R*AƂ$@b1{1XPc<.* +\tUBT@"dZ&`Tې`P*^ #-&a-#S_bH*DADHΆ $ @};s lP IdI)n@јmTҚaANWه \$TF+i=o&Ha)` + #~ }3 +32zِiGh5#P#‡5pԞ-iu"7z߲׫Yw2Lz\PjeeX a?hiT %?'Ogc2ƲopGHnu=#QYC"0_䥼jɭyɶ3mWO>qܶQq8HoPp4r;vŁc~ ~%'ڻsa9dx"u;CCwM=wA< %bYY&efEYV +`Y gͬ +F>'Co~:7L2s+}[XȄg>-&Z>G枨v<=n1}Jz](j>cCK*/bE#.Cš + {Gmpkf Xo)̞)Ƞq~1Æy#H4 + 'eݡXD]8Yu ŖYΩ3s܍Kf6f99t֔\sJ\{?9ߞy=>.wvĴo_yjC 5;iAoV32EUkٿv&-=%;?\9=;٭ Nz΁.l~ypע*>"'|_rq'>;#}%7>>J&\@_c2@2L~)yqIiՈg4;vT&ay]5zU|c-:~#: +1SWL,u*p'1#8؇{Ѕ9hC+b Vc%V` E˹hF`6g~e+Y̠7'JU+ H U*CSҸi0.|kRFUɹQ6H'vK*QDDY6t1H7$S#AfWqzlVȥL<:6\֓K:r/<@%.]#a! aVǹ +ZF-y=2=?re ̙jS=2(ԗ]_^/;2 8nS_V|Ki|%RDžWQZb[Mr_u>a0Ωy}dbV_zx6[W/-S_^ƭl<8:Ի/={F5<8cβyX[̟ŸI}3E;gKϭ0ےޙscZ20UMHUݘ舠Б"A!0^aYL$B\"Hdtb=QGT!=lE3f7Jv33* c :;Ta~-}&0Hۘ!< CyM/c2){ɥPgr"e /s2j71zL"/%&h;T',,Gʋ(Ӎ4G}KlޚG'iDl /}w~_B\/0켓~£vF7wa] Fk0*F/yhEd!_ 8r1D"{4?G#}wMJu N0h &ؽICλt_( FeIa~X;*bnC(יv`$ !?)R|kݫvX0U:V;fWcͺD)QĹCyiO5~W:RdU8Q$O 3f3fQxHUTP}#T{v)j@fsSF\rzrx=5|/X.z\{Yy ߿A_t +endstream endobj 3084 0 obj<>stream + + + + + Acrobat Distiller 7.0.5 (Windows) + + + 2009-03-10T12:05:03+01:00 + PScript5.dll Version 5.2.2 + 2009-03-17T16:27:33+01:00 + 2009-03-17T16:27:33+01:00 + + + application/pdf + + + sta + + + + + Zusammenstellung + + + + + uuid:aea2709b-ad67-41d2-8919-f0cfccd36aac + uuid:62398713-9615-49d3-81e5-e6584111aef9 + + + + + + + + + + + + + + + + + + + + + + + + + +endstream endobj xref +0 1 +0000003041 65535 f +3 1 +0000934406 00000 n +5 1 +0000934465 00000 n +7 1 +0000934673 00000 n +3037 1 +0000934753 00000 n +3041 1 +0000000000 00001 f +3061 24 +0000935029 00000 n +0000935161 00000 n +0000935239 00000 n +0000935332 00000 n +0000936525 00000 n +0000936730 00000 n +0000936846 00000 n +0000936924 00000 n +0000937617 00000 n +0000937840 00000 n +0000938064 00000 n +0000938340 00000 n +0000944487 00000 n +0000944875 00000 n +0000945104 00000 n +0000947678 00000 n +0000949598 00000 n +0000951744 00000 n +0000953859 00000 n +0000955992 00000 n +0000958228 00000 n +0000960290 00000 n +0000962384 00000 n +0000968492 00000 n +trailer +<]/Prev 933732 >> +startxref +972168 +%%EOF diff --git a/doc/Board/cursor-power-circuit.pdf b/doc/Board/cursor-power-circuit.pdf new file mode 100644 index 0000000..befe8f4 Binary files /dev/null and b/doc/Board/cursor-power-circuit.pdf differ diff --git a/doc/Board/fpga_ebs-v2_2-schematics.pdf b/doc/Board/fpga_ebs-v2_2-schematics.pdf new file mode 100644 index 0000000..6a852c1 Binary files /dev/null and b/doc/Board/fpga_ebs-v2_2-schematics.pdf differ diff --git a/doc/Board/fpga_ebs-v2_2.jpg b/doc/Board/fpga_ebs-v2_2.jpg new file mode 100644 index 0000000..46ed3a2 Binary files /dev/null and b/doc/Board/fpga_ebs-v2_2.jpg differ diff --git a/doc/Board/fpga_pp_heb-lcd-v2-schematic.pdf b/doc/Board/fpga_pp_heb-lcd-v2-schematic.pdf new file mode 100644 index 0000000..092528d Binary files /dev/null and b/doc/Board/fpga_pp_heb-lcd-v2-schematic.pdf differ diff --git a/doc/Board/fpga_pp_heb-lcd_v2.jpg b/doc/Board/fpga_pp_heb-lcd_v2.jpg new file mode 100644 index 0000000..f35f913 Binary files /dev/null and b/doc/Board/fpga_pp_heb-lcd_v2.jpg differ diff --git a/doc/Components/cursor_L6207N.pdf b/doc/Components/cursor_L6207N.pdf new file mode 100644 index 0000000..1b89317 Binary files /dev/null and b/doc/Components/cursor_L6207N.pdf differ diff --git a/doc/Components/cursor_encoder_module.pdf b/doc/Components/cursor_encoder_module.pdf new file mode 100644 index 0000000..be0b244 Binary files /dev/null and b/doc/Components/cursor_encoder_module.pdf differ diff --git a/doc/Components/cursor_lcd_controller_st7565r.pdf b/doc/Components/cursor_lcd_controller_st7565r.pdf new file mode 100644 index 0000000..de467bb Binary files /dev/null and b/doc/Components/cursor_lcd_controller_st7565r.pdf differ diff --git a/doc/Components/cursor_lcd_dogm132-5e.pdf b/doc/Components/cursor_lcd_dogm132-5e.pdf new file mode 100644 index 0000000..37c6a3a Binary files /dev/null and b/doc/Components/cursor_lcd_dogm132-5e.pdf differ diff --git a/doc/Components/fpga_oscillator_66MHz.pdf b/doc/Components/fpga_oscillator_66MHz.pdf new file mode 100644 index 0000000..04ae0e7 Binary files /dev/null and b/doc/Components/fpga_oscillator_66MHz.pdf differ diff --git a/doc/Components/fpga_spartan_3E.pdf b/doc/Components/fpga_spartan_3E.pdf new file mode 100644 index 0000000..39ccfd1 Binary files /dev/null and b/doc/Components/fpga_spartan_3E.pdf differ diff --git a/doc/How_To_Programm_FPGA.pdf b/doc/How_To_Programm_FPGA.pdf new file mode 100644 index 0000000..200a2fc Binary files /dev/null and b/doc/How_To_Programm_FPGA.pdf differ diff --git a/doc/cursor-range-position-diagram.pdf b/doc/cursor-range-position-diagram.pdf new file mode 100644 index 0000000..9c900ae Binary files /dev/null and b/doc/cursor-range-position-diagram.pdf differ diff --git a/doc/cursor-toplevel-empty.pdf b/doc/cursor-toplevel-empty.pdf new file mode 100644 index 0000000..527a5a8 Binary files /dev/null and b/doc/cursor-toplevel-empty.pdf differ diff --git a/doc/evaluation-bewertung-cursor.pdf b/doc/evaluation-bewertung-cursor.pdf new file mode 100644 index 0000000..25ff065 Binary files /dev/null and b/doc/evaluation-bewertung-cursor.pdf differ diff --git a/doc/lcd-explanations.pdf b/doc/lcd-explanations.pdf new file mode 100644 index 0000000..3feecaa Binary files /dev/null and b/doc/lcd-explanations.pdf differ diff --git a/eln_cursor.bash b/eln_cursor.bash new file mode 100644 index 0000000..d170c47 --- /dev/null +++ b/eln_cursor.bash @@ -0,0 +1,32 @@ +#!/bin/bash +#=============================================================================== +# eln_labs.bash +# Starts HDL designer based on the generic hdlDesigner.bash +# + +design_name=`basename $0 .bash` +design_directory=`dirname ${BASH_SOURCE[0]}` + +hdl_script_name="$design_directory/../Scripts/hdlDesigner.bash" +export HEI_LIBS_DIR=/media/hei-students/SYND/Ele_2131/ELN/Labs/Libraries + +verbose=1 +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + + +#------------------------------------------------------------------------------- +# Main script +# +if [ -n "$verbose" ] ; then + echo "$SEPARATOR" + echo "Launching HDL Designer" + echo "${INDENT}Design name is $design_name" + echo "${INDENT}Start directory is $design_directory" + echo "${INDENT}HDL designer script is $hdl_script_name" +fi + +#------------------------------------------------------------------------------- +# Launch application +# +$hdl_script_name -v -d $design_directory -n $design_name diff --git a/eln_cursor.bat b/eln_cursor.bat new file mode 100644 index 0000000..e6f8d78 --- /dev/null +++ b/eln_cursor.bat @@ -0,0 +1,76 @@ +::============================================================================== +:: eln_labs.bat +:: Starts HDL designer based on the generic hdlDesigner.bat +:: +@echo off + +::%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% +:: TO BE MODIFIED +::%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% +:: Define environment variables to be modified +:: You can change one or more values as needed +:: +set VERBOSE=1 + +:: Define required Tools to be present +set REQUIRE_LIBS=1 +set REQUIRE_HDS=1 +set REQUIRE_MODELSIM=1 +set REQUIRE_ISE=1 +set REQUIRE_LIBERO=0 + +:: Set project name +set design_name=eln_cursor + +:: Manually override Library & Tools Folder location +::set HEI_LIBS_DIR=R:\SYND\Ele_2131\ELN\Labs\Libraries + +::set HDS_HOME=C:\eda\MentorGraphics\HDS +::set MODELSIM_HOME=C:\eda\MentorGraphics\Modelsim\win64 +::set ISE_VERSION=14.7 +::set ISE_HOME=C:\eda\Xilinx\%ISE_VERSION%\ISE_DS\ISE +::set LIBERO_HOME=C:\eda\Microsemi\Libero + +::set SCRATCH_DIR=C:\temp\eda\%username% +:: +::%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%% + +::------------------------------------------------------------------------------ +:: Static environment variables +:: +set SEPARATOR="--------------------------------------------------------------------------------" +set INDENT=" " +set design_directory=%~dp0 +::remove trailing backslash +if %design_directory:~-1%==\ set design_directory=%design_directory:~0,-1% +pushd %design_directory% +set hdl_script_name="%design_directory:"=%\Scripts\hdlDesigner.bat" + +::------------------------------------------------------------------------------ +:: Main script +:: +if %VERBOSE% == 1 ( + echo "%SEPARATOR:"=%" + echo "-- HDL Designer Poject" + echo "%INDENT:"=%Design name is %design_name:"=%" + echo "%INDENT:"=%Start directory is %design_directory:"=%" + echo "%INDENT:"=%HDL designer script is %hdl_script_name:"=%" + echo. +) + +::------------------------------------------------------------------------------ +:: Launch application +:: +if %VERBOSE% == 1 ( + set command=%hdl_script_name% -v -n %design_name% -d %design_directory% +) else ( + set command=%hdl_script_name% -n %design_name% -d %design_directory% +) +echo "Launch HDL Designer Script" +echo "%INDENT:"=%%command:"=%" +echo. +call %command% + +:end +popd +echo.&goto:eof diff --git a/img/ELN_cursor.gif b/img/ELN_cursor.gif new file mode 100644 index 0000000..cfc15c6 Binary files /dev/null and b/img/ELN_cursor.gif differ diff --git a/img/ELN_cursor.png b/img/ELN_cursor.png new file mode 100644 index 0000000..a84a7cb Binary files /dev/null and b/img/ELN_cursor.png differ diff --git a/img/ELN_cursor.svg b/img/ELN_cursor.svg new file mode 100644 index 0000000..09d3cd8 --- /dev/null +++ b/img/ELN_cursor.svg @@ -0,0 +1,119 @@ + + + + + + + + + + image/svg+xml + + + + + + + + + + + + + + + + + + + + diff --git a/img/eln_labs_deployment-students.png b/img/eln_labs_deployment-students.png new file mode 100644 index 0000000..2f5a843 Binary files /dev/null and b/img/eln_labs_deployment-students.png differ diff --git a/img/screenshot.png b/img/screenshot.png new file mode 100644 index 0000000..aef2186 Binary files /dev/null and b/img/screenshot.png differ