From c7c03c3b7654796806dab5c6c12ae3b28d5afd3f Mon Sep 17 00:00:00 2001 From: SimoDonn Date: Tue, 14 Dec 2021 15:10:38 +0100 Subject: [PATCH] la meme --- Cursor/hds/_accelerator._epf | 2 + Cursor/hds/_process_cruse._epf | 2 + Cursor/hds/_process_deceleration._epf | 2 + Cursor/hds/_selector_acceleration._epf | 2 + Cursor/hds/_selector_cruse._epf | 2 + Cursor/hds/_selector_deceleration._epf | 2 + Cursor/hds/_set_position._epf | 2 + Cursor/hds/accelerator/fsm.sm | 3949 +++++++++++++++ Cursor/hds/accelerator/interface | 1710 +++++++ Cursor/hds/process_cruse/fsm.sm | 4702 ++++++++++++++++++ Cursor/hds/process_cruse/interface | 1852 +++++++ Cursor/hds/process_deceleration/fsm.sm | 4238 ++++++++++++++++ Cursor/hds/process_deceleration/interface | 1908 ++++++++ Cursor/hds/selector_acceleration/fsm.sm | 5077 ++++++++++++++++++++ Cursor/hds/selector_acceleration/interface | 1853 +++++++ Cursor/hds/selector_cruse/fsm.sm | 5053 +++++++++++++++++++ Cursor/hds/selector_cruse/interface | 1786 +++++++ Cursor/hds/selector_deceleration/fsm.sm | 4069 ++++++++++++++++ Cursor/hds/selector_deceleration/interface | 1853 +++++++ Cursor/hds/set_position/fsm.sm | 3051 ++++++++++++ Cursor/hds/set_position/interface | 1588 ++++++ 21 files changed, 42703 insertions(+) create mode 100644 Cursor/hds/_accelerator._epf create mode 100644 Cursor/hds/_process_cruse._epf create mode 100644 Cursor/hds/_process_deceleration._epf create mode 100644 Cursor/hds/_selector_acceleration._epf create mode 100644 Cursor/hds/_selector_cruse._epf create mode 100644 Cursor/hds/_selector_deceleration._epf create mode 100644 Cursor/hds/_set_position._epf create mode 100644 Cursor/hds/accelerator/fsm.sm create mode 100644 Cursor/hds/accelerator/interface create mode 100644 Cursor/hds/process_cruse/fsm.sm create mode 100644 Cursor/hds/process_cruse/interface create mode 100644 Cursor/hds/process_deceleration/fsm.sm create mode 100644 Cursor/hds/process_deceleration/interface create mode 100644 Cursor/hds/selector_acceleration/fsm.sm create mode 100644 Cursor/hds/selector_acceleration/interface create mode 100644 Cursor/hds/selector_cruse/fsm.sm create mode 100644 Cursor/hds/selector_cruse/interface create mode 100644 Cursor/hds/selector_deceleration/fsm.sm create mode 100644 Cursor/hds/selector_deceleration/interface create mode 100644 Cursor/hds/set_position/fsm.sm create mode 100644 Cursor/hds/set_position/interface diff --git a/Cursor/hds/_accelerator._epf b/Cursor/hds/_accelerator._epf new file mode 100644 index 0000000..26c7d80 --- /dev/null +++ b/Cursor/hds/_accelerator._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom fsm +DEFAULT_FILE atom accelerator/fsm.sm diff --git a/Cursor/hds/_process_cruse._epf b/Cursor/hds/_process_cruse._epf new file mode 100644 index 0000000..ac7cecb --- /dev/null +++ b/Cursor/hds/_process_cruse._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom fsm +DEFAULT_FILE atom process_cruse/fsm.sm diff --git a/Cursor/hds/_process_deceleration._epf b/Cursor/hds/_process_deceleration._epf new file mode 100644 index 0000000..7682ac5 --- /dev/null +++ b/Cursor/hds/_process_deceleration._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom fsm +DEFAULT_FILE atom process_deceleration/fsm.sm diff --git a/Cursor/hds/_selector_acceleration._epf b/Cursor/hds/_selector_acceleration._epf new file mode 100644 index 0000000..1021d3b --- /dev/null +++ b/Cursor/hds/_selector_acceleration._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom fsm +DEFAULT_FILE atom selector_acceleration/fsm.sm diff --git a/Cursor/hds/_selector_cruse._epf b/Cursor/hds/_selector_cruse._epf new file mode 100644 index 0000000..cf215c5 --- /dev/null +++ b/Cursor/hds/_selector_cruse._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom fsm +DEFAULT_FILE atom selector_cruse/fsm.sm diff --git a/Cursor/hds/_selector_deceleration._epf b/Cursor/hds/_selector_deceleration._epf new file mode 100644 index 0000000..aa485f9 --- /dev/null +++ b/Cursor/hds/_selector_deceleration._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom fsm +DEFAULT_FILE atom selector_deceleration/fsm.sm diff --git a/Cursor/hds/_set_position._epf b/Cursor/hds/_set_position._epf new file mode 100644 index 0000000..c60b194 --- /dev/null +++ b/Cursor/hds/_set_position._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom fsm +DEFAULT_FILE atom set_position/fsm.sm diff --git a/Cursor/hds/accelerator/fsm.sm b/Cursor/hds/accelerator/fsm.sm new file mode 100644 index 0000000..ce7b42c --- /dev/null +++ b/Cursor/hds/accelerator/fsm.sm @@ -0,0 +1,3949 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +machine (Machine +name "csm" +children [ +(Machine +name "csm" +children [ +] +stateSignalName "current_state" +) +] +) +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (StateMachine +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\accelerator\\fsm.sm.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\accelerator\\fsm.sm.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "fsm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\accelerator" +) +(vvPair +variable "d_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\accelerator" +) +(vvPair +variable "date" +value "14.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "entity_name" +value "accelerator" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "fsm.sm" +) +(vvPair +variable "f_logical" +value "fsm.sm" +) +(vvPair +variable "f_noext" +value "fsm" +) +(vvPair +variable "graphical_source_author" +value "Simon" +) +(vvPair +variable "graphical_source_date" +value "14.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "PC-SDM" +) +(vvPair +variable "graphical_source_time" +value "09:16:20" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "PC-SDM" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "accelerator" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\accelerator\\fsm.sm" +) +(vvPair +variable "p_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\accelerator\\fsm.sm" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sm" +) +(vvPair +variable "this_file" +value "fsm" +) +(vvPair +variable "this_file_logical" +value "fsm" +) +(vvPair +variable "time" +value "09:16:20" +) +(vvPair +variable "unit" +value "accelerator" +) +(vvPair +variable "user" +value "Simon" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "fsm" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +uid 727,0 +optionalChildren [ +*1 (ConcurrentSM +uid 1,0 +topDiagram (StateDiagram +LanguageMgr "None" +uid 2,0 +optionalChildren [ +*2 (SmClockPoint +uid 60,0 +shape (CompositeShape +uid 61,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 62,0 +sl 0 +ro 270 +xt "3250,10500,5500,11500" +) +(OrthoPolyLine +uid 63,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,10700,4749,11300" +pts [ +"4150,11300" +"4449,11300" +"4449,10700" +"4749,10700" +] +) +(Arc2D +pts [ +"3759,11153" +"3504,10847" +"3759,10847" +] +uid 64,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "3431,10800,3759,11199" +) +] +) +name (TextAssociate +uid 65,0 +ps "CenterOffsetStrategy" +text (Text +uid 66,0 +va (VaSet +) +xt "550,10500,2750,11700" +st "clk" +ju 2 +blo "2750,11500" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +uid 67,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 68,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "5500,10300,20400,11700" +) +autoResize 1 +cond (MLText +uid 69,0 +va (VaSet +) +xt "5600,10400,20300,11600" +st "clk'EVENT AND clk = '1'" +tm "SmControlConditionMgr" +) +) +) +*3 (SmResetPoint +uid 70,0 +shape (CompositeShape +uid 71,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 72,0 +sl 0 +ro 270 +xt "3250,19500,5500,20500" +) +(OrthoPolyLine +uid 73,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,19700,4749,20300" +pts [ +"4749,20300" +"4449,20300" +"4449,19700" +"4150,19700" +] +) +(Line +uid 74,0 +sl 0 +ro 270 +xt "3499,19825,3599,19875" +pts [ +"3499,19875" +"3599,19825" +] +) +(Line +uid 75,0 +sl 0 +ro 270 +xt "3499,19825,3499,20175" +pts [ +"3499,20175" +"3499,19825" +] +) +(Circle +uid 76,0 +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "4299,19850,4599,20150" +radius 150 +) +] +) +cond (SmControlCondition +uid 82,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 83,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "3250,17700,8150,19100" +) +autoResize 1 +cond (MLText +uid 84,0 +va (VaSet +isHidden 1 +) +xt "3350,17800,8050,19000" +st "rst = '0'" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +uid 79,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 80,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5500,18937,7626,21063" +radius 1063 +) +pr (Text +uid 81,0 +va (VaSet +isHidden 1 +) +xt "5863,19400,7263,20600" +st "1" +ju 0 +blo "6563,20400" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +uid 77,0 +ps "CenterOffsetStrategy" +text (Text +uid 78,0 +va (VaSet +) +xt "1150,19400,3250,20600" +st "rst" +ju 2 +blo "3250,20400" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +uid 85,0 +ps "CenterOffsetStrategy" +text (MLText +uid 86,0 +va (VaSet +isHidden 1 +) +xt "11125,24125,19725,25325" +st "< Automatic >" +tm "Actions" +) +) +) +*4 (Link +uid 87,0 +shape (CompositeShape +uid 88,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 89,0 +sl 0 +ro 270 +xt "17000,19500,19250,20500" +) +(Line +uid 90,0 +sl 0 +ro 270 +xt "16500,20000,17000,20000" +pts [ +"16500,20000" +"17000,20000" +] +) +] +) +name (TextAssociate +uid 91,0 +ps "CenterOffsetStrategy" +text (Text +uid 92,0 +va (VaSet +font "Verdana,9,1" +) +xt "19750,19500,22550,20700" +st "stop" +blo "19750,20500" +tm "LinkName" +) +) +) +*5 (SmRecoveryStatePoint +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +uid 95,0 +sl 0 +xt "3250,14100,5050,15900" +radius 900 +) +(Line +uid 96,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"3724,15426" +"4576,14574" +] +) +(Line +uid 97,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"4576,15426" +"3724,14574" +] +) +] +) +) +*6 (Link +uid 98,0 +shape (CompositeShape +uid 99,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 100,0 +sl 0 +ro 270 +xt "17000,14500,19250,15500" +) +(Line +uid 101,0 +sl 0 +ro 270 +xt "16500,15000,17000,15000" +pts [ +"16500,15000" +"17000,15000" +] +) +] +) +name (TextAssociate +uid 102,0 +ps "CenterOffsetStrategy" +text (Text +uid 103,0 +va (VaSet +font "Verdana,9,1" +) +xt "19750,14500,22550,15700" +st "stop" +blo "19750,15500" +tm "LinkName" +) +) +) +*7 (Grouping +uid 124,0 +optionalChildren [ +*8 (CommentText +uid 126,0 +shape (Rectangle +uid 127,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 128,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46000,47000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*9 (CommentText +uid 129,0 +shape (Rectangle +uid 130,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,57000,43000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 131,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,42000,56200,43000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 132,0 +shape (Rectangle +uid 133,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 134,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,44000,46200,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 135,0 +shape (Rectangle +uid 136,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 137,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,44000,34300,45000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*12 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,43000,73000,47000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 140,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,43200,62600,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*13 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,42000,73000,43000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 143,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,42000,58800,43000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*14 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,42000,53000,44000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 146,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,42400,47650,43600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*15 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 149,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,45000,34300,46000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*16 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 152,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34900,47000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*17 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 155,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,45000,45300,46000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 125,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,42000,73000,47000" +) +oxt "14000,66000,55000,71000" +) +*18 (State +uid 170,0 +shape (Circle +uid 171,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "42782,6272,51614,15104" +radius 4416 +) +name (Text +uid 172,0 +va (VaSet +font "Verdana,12,1" +) +xt "45348,9988,49048,11388" +st "stop" +ju 0 +blo "47198,11188" +tm "ONodeName" +) +wait (TextAssociate +uid 173,0 +ps "CenterOffsetStrategy" +text (Text +uid 174,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "46948,10888,52048,12288" +st "wait 2" +blo "46948,12088" +tm "SmWaitText" +) +) +encoding (Text +uid 175,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "47198,11788,47198,11788" +blo "47198,11788" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 178,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 179,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "51098,13988,51298,14188" +) +autoResize 1 +tline (Line +uid 180,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "46998,12088,55398,12088" +pts [ +"46998,12088" +"55398,12088" +] +) +bline (Line +uid 181,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "46998,13288,55398,13288" +pts [ +"46998,13288" +"55398,13288" +] +) +ttri (Triangle +uid 182,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "46748,11713,47098,12063" +) +btri (Triangle +uid 183,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "46748,10513,47098,10863" +) +entryActions (MLText +uid 184,0 +va (VaSet +) +xt "46998,11888,46998,11888" +tm "Actions" +) +inActions (MLText +uid 185,0 +va (VaSet +) +xt "46998,12288,55398,15888" +tm "Actions" +) +exitActions (MLText +uid 186,0 +va (VaSet +) +xt "47198,10688,47198,10688" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 176,0 +ps "CenterOffsetStrategy" +text (MLText +uid 177,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "45098,11888,51798,13088" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*19 (State +uid 1001,0 +shape (Circle +uid 1002,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "36849,17725,43951,24827" +radius 3551 +) +name (Text +uid 1003,0 +va (VaSet +font "Verdana,12,1" +) +xt "39550,20576,41250,21976" +st "L" +ju 0 +blo "40400,21776" +tm "ONodeName" +) +wait (TextAssociate +uid 1004,0 +ps "CenterOffsetStrategy" +text (Text +uid 1005,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "40150,21476,45250,22876" +st "wait 2" +blo "40150,22676" +tm "SmWaitText" +) +) +encoding (Text +uid 1006,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "40400,22376,40400,22376" +blo "40400,22376" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1009,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1010,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "16230,25272,51930,26672" +) +autoResize 1 +tline (Line +uid 1011,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "16330,25172,51830,25172" +pts [ +"16330,25172" +"51830,25172" +] +) +bline (Line +uid 1012,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "16330,24572,51830,24572" +pts [ +"16330,24572" +"51830,24572" +] +) +ttri (Triangle +uid 1013,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "15880,24797,16230,25147" +) +btri (Triangle +uid 1014,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "15880,22397,16230,22747" +) +entryActions (MLText +uid 1015,0 +va (VaSet +) +xt "16330,24972,16330,24972" +tm "Actions" +) +inActions (MLText +uid 1016,0 +va (VaSet +) +xt "16330,25372,51830,26572" +st "power_acceleration <= (257 - ((Position - info_accleration)/17));" +tm "Actions" +) +exitActions (MLText +uid 1017,0 +va (VaSet +) +xt "30080,22572,30080,22572" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1007,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1008,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "38300,22476,45000,23676" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*20 (State +uid 1018,0 +shape (Circle +uid 1019,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "52017,17677,59119,24779" +radius 3551 +) +name (Text +uid 1020,0 +va (VaSet +font "Verdana,12,1" +) +xt "54668,20528,56468,21928" +st "R" +ju 0 +blo "55568,21728" +tm "ONodeName" +) +wait (TextAssociate +uid 1021,0 +ps "CenterOffsetStrategy" +text (Text +uid 1022,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "55318,21428,60418,22828" +st "wait 2" +blo "55318,22628" +tm "SmWaitText" +) +) +encoding (Text +uid 1023,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "55568,22328,55568,22328" +blo "55568,22328" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1026,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1027,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "55778,22488,91678,23888" +) +autoResize 1 +tline (Line +uid 1028,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "55878,22388,91578,22388" +pts [ +"55878,22388" +"91578,22388" +] +) +bline (Line +uid 1029,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "55878,21788,91578,21788" +pts [ +"55878,21788" +"91578,21788" +] +) +ttri (Triangle +uid 1030,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "55428,22013,55778,22363" +) +btri (Triangle +uid 1031,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "55428,19613,55778,19963" +) +entryActions (MLText +uid 1032,0 +va (VaSet +) +xt "55878,22188,55878,22188" +tm "Actions" +) +inActions (MLText +uid 1033,0 +va (VaSet +) +xt "55878,22588,91578,23788" +st "power_acceleration <= (257 - ((Position + info_accleration)/17));" +tm "Actions" +) +exitActions (MLText +uid 1034,0 +va (VaSet +) +xt "69728,19788,69728,19788" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1024,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1025,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "53468,22428,60168,23628" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*21 (Transition +uid 104,0 +shape (Spline +uid 105,0 +va (VaSet +vasetType 3 +) +xt "5500,20000,16500,20000" +pts [ +"5500,20000" +"16500,20000" +] +) +start &3 +end &4 +ss 0 +es 0 +cond "rst = '0'" +tb (TransitionBlock +uid 106,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 107,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "8150,18900,13850,21100" +) +autoResize 1 +lineShape (Line +uid 108,0 +va (VaSet +vasetType 3 +) +xt "8650,20500,13350,20500" +pts [ +"8650,20500" +"13350,20500" +] +) +condition (MLText +uid 109,0 +va (VaSet +) +xt "8650,18900,13350,20100" +st "rst = '0'" +tm "Condition" +) +actions (MLText +uid 110,0 +va (VaSet +isHidden 1 +) +xt "6700,20900,15300,22100" +st "< Automatic >" +tm "Actions" +) +) +tp (TransitionPriority +uid 111,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 112,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5537,18937,7663,21063" +radius 1063 +) +pr (Text +uid 113,0 +va (VaSet +isHidden 1 +) +xt "5900,19400,7300,20600" +st "1" +ju 0 +blo "6600,20400" +tm "TransitionPriority" +) +padding "100,100" +) +) +*22 (Transition +uid 114,0 +shape (Spline +uid 115,0 +va (VaSet +vasetType 3 +) +xt "5050,15000,16500,15000" +pts [ +"5050,15000" +"16500,15000" +] +) +start &5 +end &6 +ss 0 +es 0 +tb (TransitionBlock +uid 116,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 117,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "7625,14495,13925,15505" +) +autoResize 1 +lineShape (Line +uid 118,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "10775,16000,10775,16000" +pts [ +"10775,16000" +"10775,16000" +] +) +condition (MLText +uid 119,0 +va (VaSet +) +xt "8125,14400,13425,15600" +tm "Condition" +) +actions (MLText +uid 120,0 +va (VaSet +) +xt "10775,16000,10775,16000" +tm "Actions" +) +) +tp (TransitionPriority +uid 121,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 122,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5132,13937,7258,16063" +radius 1063 +) +pr (Text +uid 123,0 +va (VaSet +isHidden 1 +) +xt "5495,14400,6895,15600" +st "1" +ju 0 +blo "6195,15400" +tm "TransitionPriority" +) +padding "100,100" +) +) +*23 (Transition +uid 1035,0 +shape (Spline +uid 1036,0 +va (VaSet +vasetType 3 +) +xt "41842,14326,44695,18031" +pts [ +"44695,14326" +"41842,18031" +] +arrow 1 +) +start &18 +end &19 +cond "sideL_acceleration = '1' AND end_acceleration = '0'" +tb (TransitionBlock +uid 1037,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1038,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "20688,15343,50788,17543" +) +autoResize 1 +lineShape (Line +uid 1039,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "23838,17443,23838,17443" +pts [ +"23838,17443" +"23838,17443" +] +) +condition (MLText +uid 1040,0 +va (VaSet +) +xt "21188,15843,50288,17043" +st "sideL_acceleration = '1' AND end_acceleration = '0'" +tm "Condition" +) +actions (MLText +uid 1041,0 +va (VaSet +) +xt "35738,17443,35738,17443" +tm "Actions" +) +) +tp (TransitionPriority +uid 1042,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1043,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "43347,13633,45473,15759" +radius 1063 +) +pr (Text +uid 1044,0 +va (VaSet +) +xt "43710,14096,45110,15296" +st "1" +ju 0 +blo "44410,15096" +tm "TransitionPriority" +) +padding "100,100" +) +) +*24 (Transition +uid 1045,0 +shape (Spline +uid 1046,0 +va (VaSet +vasetType 3 +) +xt "50316,13815,53515,18331" +pts [ +"50316,13815" +"53515,18331" +] +arrow 1 +) +start &18 +end &20 +es 0 +cond "sideL_acceleration = '0' AND end_acceleration = '0'" +tb (TransitionBlock +uid 1047,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1048,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "51176,14133,81276,16333" +) +autoResize 1 +lineShape (Line +uid 1049,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "54326,16233,54326,16233" +pts [ +"54326,16233" +"54326,16233" +] +) +condition (MLText +uid 1050,0 +va (VaSet +) +xt "51676,14633,80776,15833" +st "sideL_acceleration = '0' AND end_acceleration = '0'" +tm "Condition" +) +actions (MLText +uid 1051,0 +va (VaSet +) +xt "66226,16233,66226,16233" +tm "Actions" +) +) +tp (TransitionPriority +uid 1052,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1053,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "49572,13203,51698,15329" +radius 1063 +) +pr (Text +uid 1054,0 +va (VaSet +) +xt "49935,13666,51335,14866" +st "2" +ju 0 +blo "50635,14666" +tm "TransitionPriority" +) +padding "100,100" +) +) +*25 (Transition +uid 1072,0 +shape (Spline +uid 1073,0 +va (VaSet +vasetType 3 +) +xt "43951,21228,52017,21262" +pts [ +"52017,21228" +"43951,21262" +] +arrow 1 +) +start &20 +end &19 +es 0 +cond "sideL_acceleration = '1'" +tb (TransitionBlock +uid 1074,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1075,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "44796,18825,59996,21025" +) +autoResize 1 +lineShape (Line +uid 1076,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "47946,20925,47946,20925" +pts [ +"47946,20925" +"47946,20925" +] +) +condition (MLText +uid 1077,0 +va (VaSet +) +xt "45296,19325,59496,20525" +st "sideL_acceleration = '1'" +tm "Condition" +) +actions (MLText +uid 1078,0 +va (VaSet +) +xt "52396,20925,52396,20925" +tm "Actions" +) +) +tp (TransitionPriority +uid 1079,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1080,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "50148,20168,52274,22294" +radius 1063 +) +pr (Text +uid 1081,0 +va (VaSet +) +xt "50511,20631,51911,21831" +st "1" +ju 0 +blo "51211,21631" +tm "TransitionPriority" +) +padding "100,100" +) +) +*26 (Transition +uid 1082,0 +shape (Spline +uid 1083,0 +va (VaSet +vasetType 3 +) +xt "43639,22731,52531,23068" +pts [ +"43639,22731" +"52531,23068" +] +arrow 1 +) +start &19 +end &20 +cond "sideL_acceleration = '0'" +tb (TransitionBlock +uid 1084,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1085,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "41921,23216,57121,25416" +) +autoResize 1 +lineShape (Line +uid 1086,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "45071,25316,45071,25316" +pts [ +"45071,25316" +"45071,25316" +] +) +condition (MLText +uid 1087,0 +va (VaSet +) +xt "42421,23716,56621,24916" +st "sideL_acceleration = '0'" +tm "Condition" +) +actions (MLText +uid 1088,0 +va (VaSet +) +xt "49521,25316,49521,25316" +tm "Actions" +) +) +tp (TransitionPriority +uid 1089,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1090,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "43464,21701,45590,23827" +radius 1063 +) +pr (Text +uid 1091,0 +va (VaSet +) +xt "43827,22164,45227,23364" +st "1" +ju 0 +blo "44527,23164" +tm "TransitionPriority" +) +padding "100,100" +) +) +*27 (Transition +uid 1121,0 +shape (Spline +uid 1122,0 +va (VaSet +vasetType 3 +) +xt "51456,11857,54570,17821" +pts [ +"54570,17821" +"51456,11857" +] +arrow 1 +) +start &20 +end &18 +cond "end_acceleration = '1'" +tb (TransitionBlock +uid 1123,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1124,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "53185,10979,67485,13179" +) +autoResize 1 +lineShape (Line +uid 1125,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "56335,13079,56335,13079" +pts [ +"56335,13079" +"56335,13079" +] +) +condition (MLText +uid 1126,0 +va (VaSet +) +xt "53685,11479,66985,12679" +st "end_acceleration = '1'" +tm "Condition" +) +actions (MLText +uid 1127,0 +va (VaSet +) +xt "60335,13079,60335,13079" +tm "Actions" +) +) +tp (TransitionPriority +uid 1128,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1129,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "53195,16162,55321,18288" +radius 1063 +) +pr (Text +uid 1130,0 +va (VaSet +) +xt "53558,16625,54958,17825" +st "2" +ju 0 +blo "54258,17625" +tm "TransitionPriority" +) +padding "100,100" +) +) +*28 (Transition +uid 1131,0 +shape (Spline +uid 1132,0 +va (VaSet +vasetType 3 +) +xt "41336,11920,42958,17851" +pts [ +"41336,17851" +"42958,11920" +] +arrow 1 +) +start &19 +end &18 +ss 0 +cond "end_acceleration = '1'" +tb (TransitionBlock +uid 1133,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1134,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "32287,9729,46587,11929" +) +autoResize 1 +lineShape (Line +uid 1135,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "35437,11829,35437,11829" +pts [ +"35437,11829" +"35437,11829" +] +) +condition (MLText +uid 1136,0 +va (VaSet +) +xt "32787,10229,46087,11429" +st "end_acceleration = '1'" +tm "Condition" +) +actions (MLText +uid 1137,0 +va (VaSet +) +xt "39437,11829,39437,11829" +tm "Actions" +) +) +tp (TransitionPriority +uid 1138,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1139,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "40434,16195,42560,18321" +radius 1063 +) +pr (Text +uid 1140,0 +va (VaSet +) +xt "40797,16658,42197,17858" +st "2" +ju 0 +blo "41497,17658" +tm "TransitionPriority" +) +padding "100,100" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 0 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *29 (PackageList +uid 31,0 +stg "VerticalLayoutStrategy" +textVec [ +*30 (Text +uid 32,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,3000,7600,4200" +st "Package List" +blo "0,4000" +) +*31 (MLText +uid 33,0 +va (VaSet +) +xt "0,4200,17500,7800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "SmPackageListTextMgr" +) +] +) +compDirBlock (MlTextGroup +uid 34,0 +stg "VerticalLayoutStrategy" +textVec [ +*32 (Text +uid 35,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*33 (Text +uid 36,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*34 (MLText +uid 37,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "SmCompilerDirectivesTextMgr" +) +*35 (Text +uid 38,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*36 (MLText +uid 39,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "SmCompilerDirectivesTextMgr" +) +*37 (Text +uid 40,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*38 (MLText +uid 41,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "SmCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,24,1715,1143" +viewArea "14600,-900,85976,46668" +cachedDiagramExtent "0,-1000,91678,47000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-2000" +isTopLevel 1 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +localDecl *39 (SmLocalDecl +uid 3,0 +stg "VerticalLayoutStrategy" +textVec [ +*40 (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,-1000,42400,200" +st "Architecture Declarations" +blo "27800,0" +) +*41 (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*42 (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,200,33000,1400" +st "Pre Decls" +blo "27800,1200" +) +*43 (MLText +uid 7,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*44 (Text +uid 8,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,1400,34200,2600" +st "Post Decls" +blo "27800,2400" +) +*45 (MLText +uid 9,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,2600,27800,2600" +tm "LocalDeclTextMgr" +) +] +) +processDecl *46 (SmProcessDecl +uid 10,0 +stg "VerticalLayoutStrategy" +textVec [ +*47 (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,-1000,86600,200" +st "Process Declarations" +blo "74500,0" +) +*48 (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,200,84000,1400" +st "Clocked Process:" +blo "74500,1200" +) +*49 (MLText +uid 13,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,-1000,74500,-1000" +tm "ProcessDeclTextMgr" +) +*50 (Text +uid 14,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,1400,83800,2600" +st "Output Process:" +blo "74500,2400" +) +*51 (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,2600,74500,2600" +tm "ProcessDeclTextMgr" +) +] +associable 1 +) +defaultActions *52 (MlTextGroup +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*53 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-1000,8400,200" +st "Global Actions" +blo "0,0" +) +*54 (Text +uid 18,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,200,7400,1400" +st "Pre Actions:" +blo "0,1200" +) +*55 (MLText +uid 19,0 +va (VaSet +) +xt "0,-1000,0,-1000" +tm "Actions" +) +*56 (Text +uid 20,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,1400,8000,2600" +st "Post Actions:" +blo "0,2400" +) +*57 (MLText +uid 21,0 +va (VaSet +) +xt "0,2600,0,2600" +tm "Actions" +) +] +associable 1 +) +archConcurrentStatementBlock *58 (BiTextGroup +uid 22,0 +stg "VerticalLayoutStrategy" +first (Text +uid 23,0 +va (VaSet +font "Verdana,9,1" +) +xt "11400,-1000,24800,200" +st "Concurrent Statements" +blo "11400,0" +) +second (MLText +uid 24,0 +va (VaSet +) +xt "11400,200,11400,200" +tm "ArchConcStmtTextMgr" +) +associable 1 +) +signalsGenStatus *59 (SmSignalGenStatus +uid 28,0 +stg "VerticalLayoutStrategy" +first (Text +uid 29,0 +va (VaSet +font "Verdana,9,1" +) +xt "45400,-1000,53200,200" +st "Signal Status" +blo "45400,0" +) +second (MLText +uid 30,0 +va (VaSet +font "Courier New,8,0" +) +xt "45400,200,77400,1800" +st "SIGNAL MODE DEFAULT RESET SCHEME COMMENT +power_acceleration OUT COMB +" +tm "SmSignalsGenStatusTextMgr" +) +) +stateRegBlock *60 (BiTextGroup +uid 25,0 +stg "VerticalLayoutStrategy" +first (Text +uid 26,0 +va (VaSet +font "Verdana,9,1" +) +xt "56200,-1000,71500,200" +st "State Register Statements" +blo "56200,0" +) +second (MLText +uid 27,0 +va (VaSet +) +xt "56200,200,56200,200" +tm "Actions" +) +associable 1 +) +) +genChar (SmGenChar +uid 42,0 +nextStateClocking 0 +) +encoding (Encoding +scheme 3 +encodingStyles [ +(pair +scheme 0 +style 0 +) +(pair +scheme 1 +style 1 +) +(pair +scheme 2 +style 0 +) +(pair +scheme 3 +style 0 +) +(pair +scheme 4 +style 0 +) +(pair +scheme 5 +style 0 +) +] +otherValues [ +(pair +scheme 0 +otherValue "" +) +(pair +scheme 1 +otherValue "" +) +(pair +scheme 2 +otherValue "" +) +(pair +scheme 3 +otherValue "" +) +(pair +scheme 4 +otherValue "" +) +(pair +scheme 5 +otherValue "" +) +] +attribute 0 +synSafe 0 +outputEncodedLocals 0 +useVerilogParameterRange 0 +radix 2 +) +stateOrder [ +&18 +&19 +&20 +] +name "csm" +) +] +lastUid 1140,0 +commonDM (CommonDM +ldm (LogicalDM +emptyRow *61 (LEmptyRow +) +uid 687,0 +optionalChildren [ +*62 (RefLabelRowHdr +) +*63 (TitleRowHdr +) +*64 (FilterRowHdr +) +*65 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*66 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*67 (GroupColHdr +tm "GroupColHdrMgr" +) +*68 (NameColHdr +tm "SmNameColHdrMgr" +) +*69 (ModeColHdr +tm "SmModeColHdrMgr" +) +*70 (TypeColHdr +tm "SmTypeColHdrMgr" +) +*71 (BoundsColHdr +tm "SmBoundsColHdrMgr" +) +*72 (InitColHdr +tm "SmInitColHdrMgr" +) +*73 (ColumnHdr +tm "SmCategoryColHdrMgr" +) +*74 (ColumnHdr +tm "SmAssignColHdrMgr" +) +*75 (ColumnHdr +tm "SmExprColHdrMgr" +) +*76 (ColumnHdr +tm "SmSchemeColHdrMgr" +) +*77 (ColumnHdr +tm "SmDefValColHdrMgr" +) +*78 (ColumnHdr +tm "SmRstValColHdrMgr" +) +*79 (EolColHdr +tm "SmEolColHdrMgr" +) +*80 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "Position" +t "std_logic" +b "(15 DOWNTO 0)" +o 1 +) +) +uid 156,0 +) +*81 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "unsigned" +o 2 +) +) +uid 166,0 +cat 1 +expr "clk'EVENT AND clk = '1'" +) +*82 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "rst" +t "unsigned" +o 5 +) +) +uid 168,0 +cat 8 +expr "rst = '0'" +) +*83 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "end_acceleration" +t "std_ulogic" +o 3 +) +) +uid 832,0 +ass "" +) +*84 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "info_acceleration" +t "unsigned" +b "(15 DOWNTO 0)" +o 4 +) +) +uid 909,0 +ass "" +) +*85 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "power_acceleration" +t "unsigned" +b "(7 DOWNTO 0)" +o 7 +) +) +uid 911,0 +scheme 0 +) +*86 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "sideL_acceleration" +t "std_ulogic" +o 6 +) +) +uid 971,0 +ass "" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 706,0 +optionalChildren [ +*87 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *88 (MRCItem +litem &61 +pos 7 +dimension 20 +) +uid 708,0 +optionalChildren [ +*89 (MRCItem +litem &62 +pos 0 +dimension 20 +uid 709,0 +) +*90 (MRCItem +litem &63 +pos 1 +dimension 23 +uid 710,0 +) +*91 (MRCItem +litem &64 +pos 2 +hidden 1 +dimension 20 +uid 711,0 +) +*92 (MRCItem +litem &80 +pos 3 +dimension 20 +uid 157,0 +) +*93 (MRCItem +litem &81 +pos 0 +dimension 20 +uid 167,0 +) +*94 (MRCItem +litem &82 +pos 5 +dimension 20 +uid 169,0 +) +*95 (MRCItem +litem &83 +pos 1 +dimension 20 +uid 831,0 +) +*96 (MRCItem +litem &84 +pos 2 +dimension 20 +uid 908,0 +) +*97 (MRCItem +litem &85 +pos 4 +dimension 20 +uid 910,0 +) +*98 (MRCItem +litem &86 +pos 6 +dimension 20 +uid 970,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 712,0 +optionalChildren [ +*99 (MRCItem +litem &65 +pos 0 +dimension 20 +uid 713,0 +) +*100 (MRCItem +litem &67 +pos 1 +dimension 50 +uid 714,0 +) +*101 (MRCItem +litem &68 +pos 2 +dimension 70 +uid 715,0 +) +*102 (MRCItem +litem &69 +pos 3 +dimension 50 +uid 716,0 +) +*103 (MRCItem +litem &70 +pos 4 +dimension 80 +uid 717,0 +) +*104 (MRCItem +litem &71 +pos 5 +dimension 80 +uid 718,0 +) +*105 (MRCItem +litem &72 +pos 6 +dimension 40 +uid 719,0 +) +*106 (MRCItem +litem &73 +pos 7 +dimension 100 +uid 720,0 +) +*107 (MRCItem +litem &74 +pos 8 +dimension 60 +uid 721,0 +) +*108 (MRCItem +litem &75 +pos 9 +dimension 130 +uid 722,0 +) +*109 (MRCItem +litem &76 +pos 10 +dimension 56 +uid 723,0 +) +*110 (MRCItem +litem &77 +pos 11 +dimension 50 +uid 724,0 +) +*111 (MRCItem +litem &78 +pos 12 +dimension 50 +uid 725,0 +) +*112 (MRCItem +litem &79 +pos 13 +dimension 80 +uid 726,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 707,0 +vaOverrides [ +] +) +] +) +uid 686,0 +) +cdmCsm &1 +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *113 (LEmptyRow +) +uid 747,0 +optionalChildren [ +*114 (RefLabelRowHdr +) +*115 (TitleRowHdr +) +*116 (FilterRowHdr +) +*117 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*118 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*119 (GroupColHdr +tm "GroupColHdrMgr" +) +*120 (NameColHdr +tm "GenericNameColHdrMgr" +) +*121 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*122 (InitColHdr +tm "GenericValueColHdrMgr" +) +*123 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*124 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 759,0 +optionalChildren [ +*125 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *126 (MRCItem +litem &113 +pos 0 +dimension 20 +) +uid 761,0 +optionalChildren [ +*127 (MRCItem +litem &114 +pos 0 +dimension 20 +uid 762,0 +) +*128 (MRCItem +litem &115 +pos 1 +dimension 23 +uid 763,0 +) +*129 (MRCItem +litem &116 +pos 2 +hidden 1 +dimension 20 +uid 764,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 765,0 +optionalChildren [ +*130 (MRCItem +litem &117 +pos 0 +dimension 20 +uid 766,0 +) +*131 (MRCItem +litem &119 +pos 1 +dimension 50 +uid 767,0 +) +*132 (MRCItem +litem &120 +pos 2 +dimension 100 +uid 768,0 +) +*133 (MRCItem +litem &121 +pos 3 +dimension 100 +uid 769,0 +) +*134 (MRCItem +litem &122 +pos 4 +dimension 50 +uid 770,0 +) +*135 (MRCItem +litem &123 +pos 5 +dimension 50 +uid 771,0 +) +*136 (MRCItem +litem &124 +pos 6 +dimension 80 +uid 772,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 760,0 +vaOverrides [ +] +) +] +) +uid 746,0 +type 1 +) +signalSuffix "_int" +clockSuffix "_cld" +defaultState (State +shape (Circle +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-3551,-3551,3551,3551" +radius 3551 +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3900,3300,4100,3500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +entryActions (MLText +va (VaSet +) +tm "Actions" +) +inActions (MLText +va (VaSet +) +tm "Actions" +) +exitActions (MLText +va (VaSet +) +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultWaitState (State +shape (CircleInOctagon +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +xt "-529,-529,6529,6529" +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8900,6300,9100,6500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +entryActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +inActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +exitActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +isWait 1 +) +defaultCompositeState (CompositeState +shape (TripleCircle +va (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "Verdana,10,1" +) +xt "-1000,-600,1000,600" +st "s0" +ju 0 +blo "0,400" +tm "ONodeName" +) +childDiagram &0 +) +defaultJunction (Junction +shape (Diamond +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "-1300,-1300,2300,2300" +) +symbol (Text +va (VaSet +font "Verdana,10,1" +) +xt "-300,-100,1300,1100" +st "&" +ju 0 +blo "500,900" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2000,1000,2000,1000" +blo "2000,1000" +tm "JunctionName" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2550,2000,4150,3200" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultEntryPoint (EntryPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +] +) +) +defaultInterruptPoint (InterruptPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +(CustomPolygon +pts [ +"-625,1600" +"-625,1300" +"25,1425" +"-75,1150" +"1025,1350" +"200,1350" +"375,1600" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "-625,1150,1025,1600" +) +] +) +) +defaultLink (Link +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2375,875,5075,2075" +st "Link" +blo "2375,1875" +tm "LinkName" +) +) +) +defaultExitPoint (ExitPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +) +defaultTransition (Transition +shape (Spline +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +arrow 1 +) +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-500,-500,5800,1700" +) +autoResize 1 +lineShape (Line +va (VaSet +vasetType 3 +isHidden 1 +) +xt "2650,1600,2650,1600" +pts [ +"2650,1600" +"2650,1600" +] +) +condition (MLText +va (VaSet +) +xt "0,0,5300,1200" +st "condition" +tm "Condition" +) +actions (MLText +va (VaSet +) +xt "2650,2000,2650,2000" +tm "Actions" +) +) +tp (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-1063,-1063,1063,1063" +radius 1063 +) +pr (Text +va (VaSet +) +xt "-700,-600,700,600" +st "1" +ju 0 +blo "0,400" +tm "TransitionPriority" +) +padding "100,100" +) +) +defaultClk (SmClockPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"275,1425" +"574,1425" +"574,825" +"874,825" +] +) +(Arc2D +pts [ +"-116,1278" +"-371,972" +"-116,972" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-441,926,-116,1323" +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-3325,625,-1125,1825" +st "clk" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultEnable (SmEnablePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Arc2D +pts [ +"-130,1263" +"-415,1064" +"-76,1064" +] +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-425,943,-76,1304" +) +(Line +sl 0 +ro 270 +xt "-415,1064,-106,1064" +pts [ +"-415,1064" +"-106,1064" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-5125,625,-1125,1825" +st "enable" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultRst (SmResetPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-276,1000" +pts [ +"-376,1000" +"-276,950" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-376,1300" +pts [ +"-376,1300" +"-376,950" +] +) +(Circle +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "424,975,724,1275" +radius 150 +) +] +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-625,-1175,2675,225" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "-525,-1075,2575,125" +st "cond" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "1625,62,3751,2188" +radius 1063 +) +pr (Text +va (VaSet +) +xt "1988,525,3388,1725" +st "1" +ju 0 +blo "2688,1525" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-2725,525,-625,1725" +st "rst" +ju 2 +blo "-625,1525" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +) +xt "4750,2625,13350,3825" +st "< Automatic >" +tm "Actions" +) +) +) +defaultRecStatePt (SmRecoveryStatePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +sl 0 +xt "-900,-900,900,900" +radius 900 +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"-426,426" +"426,-426" +] +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"426,426" +"-426,-426" +] +) +] +) +) +activeModelName "StateMachine" +LanguageMgr "Vhdl2008LangMgr" +) diff --git a/Cursor/hds/accelerator/interface b/Cursor/hds/accelerator/interface new file mode 100644 index 0000000..38978fc --- /dev/null +++ b/Cursor/hds/accelerator/interface @@ -0,0 +1,1710 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 45,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 88,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "unsigned" +o 2 +suid 39,0 +) +) +uid 616,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "end_acceleration" +t "std_ulogic" +o 3 +suid 40,0 +) +) +uid 618,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "info_acceleration" +t "unsigned" +b "(15 DOWNTO 0)" +o 4 +suid 41,0 +) +) +uid 620,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "Position" +t "std_logic" +b "(15 DOWNTO 0)" +o 1 +suid 42,0 +) +) +uid 622,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "power_acceleration" +t "unsigned" +b "(7 DOWNTO 0)" +o 7 +suid 43,0 +) +) +uid 624,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "rst" +t "unsigned" +o 5 +suid 44,0 +) +) +uid 626,0 +) +*20 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "sideL_acceleration" +t "std_ulogic" +o 6 +suid 45,0 +) +) +uid 628,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 101,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 103,0 +optionalChildren [ +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 104,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 105,0 +) +*25 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 106,0 +) +*26 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 617,0 +) +*27 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 619,0 +) +*28 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 621,0 +) +*29 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 623,0 +) +*30 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 625,0 +) +*31 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 627,0 +) +*32 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 629,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 107,0 +optionalChildren [ +*33 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 108,0 +) +*34 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 109,0 +) +*35 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 110,0 +) +*36 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 111,0 +) +*37 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 112,0 +) +*38 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 113,0 +) +*39 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 114,0 +) +*40 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 102,0 +vaOverrides [ +] +) +] +) +uid 87,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 117,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 129,0 +optionalChildren [ +*53 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *54 (MRCItem +litem &41 +pos 3 +dimension 20 +) +uid 131,0 +optionalChildren [ +*55 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 132,0 +) +*56 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 133,0 +) +*57 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*58 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 136,0 +) +*59 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 137,0 +) +*60 (MRCItem +litem &48 +pos 2 +dimension 100 +uid 138,0 +) +*61 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 139,0 +) +*62 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 140,0 +) +*63 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 141,0 +) +*64 (MRCItem +litem &52 +pos 6 +dimension 80 +uid 142,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 116,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\accelerator\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\accelerator\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\accelerator" +) +(vvPair +variable "d_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\accelerator" +) +(vvPair +variable "date" +value "14.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "entity_name" +value "accelerator" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "Simon" +) +(vvPair +variable "graphical_source_date" +value "14.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "PC-SDM" +) +(vvPair +variable "graphical_source_time" +value "09:16:20" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "PC-SDM" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "accelerator" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\accelerator\\interface" +) +(vvPair +variable "p_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\accelerator\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "09:16:20" +) +(vvPair +variable "unit" +value "accelerator" +) +(vvPair +variable "user" +value "Simon" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 581,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 582,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,9625,15000,10375" +) +tg (CPTG +uid 583,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 584,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,9300,18400,10700" +st "clk" +blo "16000,10500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 585,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,65000,4000" +st "clk : IN unsigned ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk" +t "unsigned" +o 2 +suid 39,0 +) +) +) +*67 (CptPort +uid 586,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 587,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19625,16000,20375,16750" +) +tg (CPTG +uid 588,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 589,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "19300,2600,20700,15000" +st "end_acceleration" +blo "20500,15000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 590,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,66500,4800" +st "end_acceleration : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "end_acceleration" +t "std_ulogic" +o 3 +suid 40,0 +) +) +) +*68 (CptPort +uid 591,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 592,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,7625,15000,8375" +) +tg (CPTG +uid 593,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 594,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,7300,28300,8700" +st "info_acceleration" +blo "16000,8500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 595,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,72000,5600" +st "info_acceleration : IN unsigned (15 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "info_acceleration" +t "unsigned" +b "(15 DOWNTO 0)" +o 4 +suid 41,0 +) +) +) +*69 (CptPort +uid 596,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 597,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,6625,15000,7375" +) +tg (CPTG +uid 598,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 599,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,6300,21800,7700" +st "Position" +blo "16000,7500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 600,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,72500,3200" +st "Position : IN std_logic (15 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Position" +t "std_logic" +b "(15 DOWNTO 0)" +o 1 +suid 42,0 +) +) +) +*70 (CptPort +uid 601,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 602,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,6625,23750,7375" +) +tg (CPTG +uid 603,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 604,0 +va (VaSet +font "Verdana,12,0" +) +xt "8000,6300,22000,7700" +st "power_acceleration" +ju 2 +blo "22000,7500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 605,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7200,70500,8000" +st "power_acceleration : OUT unsigned (7 DOWNTO 0) +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "power_acceleration" +t "unsigned" +b "(7 DOWNTO 0)" +o 7 +suid 43,0 +) +) +) +*71 (CptPort +uid 606,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 607,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,10625,15000,11375" +) +tg (CPTG +uid 608,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 609,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,10300,18500,11700" +st "rst" +blo "16000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 610,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,65000,6400" +st "rst : IN unsigned ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "rst" +t "unsigned" +o 5 +suid 44,0 +) +) +) +*72 (CptPort +uid 611,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 612,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17625,16000,18375,16750" +) +tg (CPTG +uid 613,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 614,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "17300,1700,18700,15000" +st "sideL_acceleration" +blo "18500,15000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 615,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,66500,7200" +st "sideL_acceleration : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "sideL_acceleration" +t "std_ulogic" +o 6 +suid 45,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,23000,16000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "15600,9800,19300,11000" +st "Cursor" +blo "15600,10800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "15600,11000,22400,12200" +st "accelerator" +blo "15600,12000" +) +) +gi *73 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "-38000,7000,-26500,7800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*74 (Grouping +uid 16,0 +optionalChildren [ +*75 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,46000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,46900,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *85 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*86 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*87 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor" +entityName "process_acceleration" +viewName "struct1.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *88 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *89 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,8000,45200,9200" +st "User:" +blo "42000,9000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9200,44000,9200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 652,0 +activeModelName "Symbol:CDM" +) diff --git a/Cursor/hds/process_cruse/fsm.sm b/Cursor/hds/process_cruse/fsm.sm new file mode 100644 index 0000000..21fcb61 --- /dev/null +++ b/Cursor/hds/process_cruse/fsm.sm @@ -0,0 +1,4702 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +machine (Machine +name "csm" +children [ +(Machine +name "csm" +children [ +] +stateSignalName "current_state" +) +] +) +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (StateMachine +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\process_cruse\\fsm.sm.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\process_cruse\\fsm.sm.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "fsm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\process_cruse" +) +(vvPair +variable "d_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\process_cruse" +) +(vvPair +variable "date" +value "14.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "entity_name" +value "process_cruse" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "fsm.sm" +) +(vvPair +variable "f_logical" +value "fsm.sm" +) +(vvPair +variable "f_noext" +value "fsm" +) +(vvPair +variable "graphical_source_author" +value "Simon" +) +(vvPair +variable "graphical_source_date" +value "14.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "PC-SDM" +) +(vvPair +variable "graphical_source_time" +value "13:35:00" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "PC-SDM" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "process_cruse" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\process_cruse\\fsm.sm" +) +(vvPair +variable "p_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\process_cruse\\fsm.sm" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sm" +) +(vvPair +variable "this_file" +value "fsm" +) +(vvPair +variable "this_file_logical" +value "fsm" +) +(vvPair +variable "time" +value "13:35:00" +) +(vvPair +variable "unit" +value "process_cruse" +) +(vvPair +variable "user" +value "Simon" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "fsm" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +uid 174,0 +optionalChildren [ +*1 (ConcurrentSM +uid 1,0 +topDiagram (StateDiagram +LanguageMgr "None" +uid 2,0 +optionalChildren [ +*2 (State +uid 43,0 +shape (Circle +uid 44,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "42249,7337,49351,14439" +radius 3551 +) +name (Text +uid 45,0 +va (VaSet +font "Verdana,12,1" +) +xt "44300,10188,47300,11588" +st "init" +ju 0 +blo "45800,11388" +tm "ONodeName" +) +wait (TextAssociate +uid 46,0 +ps "CenterOffsetStrategy" +text (Text +uid 47,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "45550,11088,50650,12488" +st "wait 2" +blo "45550,12288" +tm "SmWaitText" +) +) +encoding (Text +uid 48,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "45800,11988,45800,11988" +blo "45800,11988" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 51,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 52,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "44550,13588,55050,14988" +) +autoResize 1 +tline (Line +uid 53,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "44650,13488,54950,13488" +pts [ +"44650,13488" +"54950,13488" +] +) +bline (Line +uid 54,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "44650,12888,54950,12888" +pts [ +"44650,12888" +"54950,12888" +] +) +ttri (Triangle +uid 55,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "44200,13113,44550,13463" +) +btri (Triangle +uid 56,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "44200,10713,44550,11063" +) +entryActions (MLText +uid 57,0 +va (VaSet +) +xt "44650,13288,44650,13288" +tm "Actions" +) +inActions (MLText +uid 58,0 +va (VaSet +) +xt "44650,13688,54950,14888" +st "end_cruse <= '0';" +tm "Actions" +) +exitActions (MLText +uid 59,0 +va (VaSet +) +xt "45800,10888,45800,10888" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 49,0 +ps "CenterOffsetStrategy" +text (MLText +uid 50,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "43700,12088,50400,13288" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*3 (SmClockPoint +uid 60,0 +shape (CompositeShape +uid 61,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 62,0 +sl 0 +ro 270 +xt "3250,10500,5500,11500" +) +(OrthoPolyLine +uid 63,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,10700,4749,11300" +pts [ +"4150,11300" +"4449,11300" +"4449,10700" +"4749,10700" +] +) +(Arc2D +pts [ +"3759,11153" +"3504,10847" +"3759,10847" +] +uid 64,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "3431,10800,3759,11199" +) +] +) +name (TextAssociate +uid 65,0 +ps "CenterOffsetStrategy" +text (Text +uid 66,0 +va (VaSet +) +xt "550,10500,2750,11700" +st "clk" +ju 2 +blo "2750,11500" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +uid 67,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 68,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "5500,10300,20400,11700" +) +autoResize 1 +cond (MLText +uid 69,0 +va (VaSet +) +xt "5600,10400,20300,11600" +st "clk'EVENT AND clk = '1'" +tm "SmControlConditionMgr" +) +) +) +*4 (SmResetPoint +uid 70,0 +shape (CompositeShape +uid 71,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 72,0 +sl 0 +ro 270 +xt "3250,19500,5500,20500" +) +(OrthoPolyLine +uid 73,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,19700,4749,20300" +pts [ +"4749,20300" +"4449,20300" +"4449,19700" +"4150,19700" +] +) +(Line +uid 74,0 +sl 0 +ro 270 +xt "3499,19825,3599,19875" +pts [ +"3499,19875" +"3599,19825" +] +) +(Line +uid 75,0 +sl 0 +ro 270 +xt "3499,19825,3499,20175" +pts [ +"3499,20175" +"3499,19825" +] +) +(Circle +uid 76,0 +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "4299,19850,4599,20150" +radius 150 +) +] +) +cond (SmControlCondition +uid 82,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 83,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "3250,17700,8150,19100" +) +autoResize 1 +cond (MLText +uid 84,0 +va (VaSet +isHidden 1 +) +xt "3350,17800,8050,19000" +st "rst = '0'" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +uid 79,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 80,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5500,18937,7626,21063" +radius 1063 +) +pr (Text +uid 81,0 +va (VaSet +isHidden 1 +) +xt "5863,19400,7263,20600" +st "1" +ju 0 +blo "6563,20400" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +uid 77,0 +ps "CenterOffsetStrategy" +text (Text +uid 78,0 +va (VaSet +) +xt "1150,19400,3250,20600" +st "rst" +ju 2 +blo "3250,20400" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +uid 85,0 +ps "CenterOffsetStrategy" +text (MLText +uid 86,0 +va (VaSet +isHidden 1 +) +xt "11125,24125,19725,25325" +st "< Automatic >" +tm "Actions" +) +) +) +*5 (Link +uid 87,0 +shape (CompositeShape +uid 88,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 89,0 +sl 0 +ro 270 +xt "17000,19500,19250,20500" +) +(Line +uid 90,0 +sl 0 +ro 270 +xt "16500,20000,17000,20000" +pts [ +"16500,20000" +"17000,20000" +] +) +] +) +name (TextAssociate +uid 91,0 +ps "CenterOffsetStrategy" +text (Text +uid 92,0 +va (VaSet +font "Verdana,9,1" +) +xt "19750,19500,22050,20700" +st "init" +blo "19750,20500" +tm "LinkName" +) +) +) +*6 (SmRecoveryStatePoint +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +uid 95,0 +sl 0 +xt "3250,14100,5050,15900" +radius 900 +) +(Line +uid 96,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"3724,15426" +"4576,14574" +] +) +(Line +uid 97,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"4576,15426" +"3724,14574" +] +) +] +) +) +*7 (Link +uid 98,0 +shape (CompositeShape +uid 99,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 100,0 +sl 0 +ro 270 +xt "17000,14500,19250,15500" +) +(Line +uid 101,0 +sl 0 +ro 270 +xt "16500,15000,17000,15000" +pts [ +"16500,15000" +"17000,15000" +] +) +] +) +name (TextAssociate +uid 102,0 +ps "CenterOffsetStrategy" +text (Text +uid 103,0 +va (VaSet +font "Verdana,9,1" +) +xt "19750,14500,22050,15700" +st "init" +blo "19750,15500" +tm "LinkName" +) +) +) +*8 (Grouping +uid 124,0 +optionalChildren [ +*9 (CommentText +uid 126,0 +shape (Rectangle +uid 127,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 128,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46000,47000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 129,0 +shape (Rectangle +uid 130,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "53000,42000,57000,43000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 131,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,42000,56200,43000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 132,0 +shape (Rectangle +uid 133,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 134,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,44000,46200,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*12 (CommentText +uid 135,0 +shape (Rectangle +uid 136,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 137,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,44000,34300,45000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*13 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "53000,43000,73000,47000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 140,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,43200,62600,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*14 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "57000,42000,73000,43000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 143,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,42000,58800,43000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*15 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "32000,42000,53000,44000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 146,0 +va (VaSet +isHidden 1 +fg "32768,0,0" +) +xt "37350,42400,47650,43600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*16 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 149,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,45000,34300,46000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*17 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 152,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34900,47000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*18 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 155,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,45000,46500,46000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 125,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,42000,73000,47000" +) +oxt "14000,66000,55000,71000" +) +*19 (State +uid 243,0 +shape (Circle +uid 244,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "27209,20603,39543,32937" +radius 6167 +) +name (Text +uid 245,0 +va (VaSet +font "Verdana,12,1" +) +xt "27826,26070,38926,27470" +st "cruse_from_L" +ju 0 +blo "33376,27270" +tm "ONodeName" +) +wait (TextAssociate +uid 246,0 +ps "CenterOffsetStrategy" +text (Text +uid 247,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "33126,26970,38226,28370" +st "wait 2" +blo "33126,28170" +tm "SmWaitText" +) +) +encoding (Text +uid 248,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "33376,27870,33376,27870" +blo "33376,27870" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 251,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 252,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "28576,28270,46176,32070" +) +autoResize 1 +tline (Line +uid 253,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "28676,28170,46076,28170" +pts [ +"28676,28170" +"46076,28170" +] +) +bline (Line +uid 254,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "28676,29370,46076,29370" +pts [ +"28676,29370" +"46076,29370" +] +) +ttri (Triangle +uid 255,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "28226,27795,28576,28145" +) +btri (Triangle +uid 256,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "28226,26595,28576,26945" +) +entryActions (MLText +uid 257,0 +va (VaSet +) +xt "28676,27970,28676,27970" +tm "Actions" +) +inActions (MLText +uid 258,0 +va (VaSet +) +xt "28676,28370,46076,31970" +st "sideL_cruse <= '1'; +power_cruse <= \"11111111\"; +end_cruse <= '0' ;" +tm "Actions" +) +exitActions (MLText +uid 259,0 +va (VaSet +) +xt "33376,26770,33376,26770" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 249,0 +ps "CenterOffsetStrategy" +text (MLText +uid 250,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "31276,27970,37976,29170" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*20 (State +uid 270,0 +shape (Circle +uid 271,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "49984,19906,62416,32338" +radius 6216 +) +name (Text +uid 272,0 +va (VaSet +font "Verdana,12,1" +) +xt "50600,25422,61800,26822" +st "cruse_from_R" +ju 0 +blo "56200,26622" +tm "ONodeName" +) +wait (TextAssociate +uid 273,0 +ps "CenterOffsetStrategy" +text (Text +uid 274,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "55950,26322,61050,27722" +st "wait 2" +blo "55950,27522" +tm "SmWaitText" +) +) +encoding (Text +uid 275,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "56200,27222,56200,27222" +blo "56200,27222" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 278,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 279,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "51400,27622,69000,31422" +) +autoResize 1 +tline (Line +uid 280,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "51500,27522,68900,27522" +pts [ +"51500,27522" +"68900,27522" +] +) +bline (Line +uid 281,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "51500,28722,68900,28722" +pts [ +"51500,28722" +"68900,28722" +] +) +ttri (Triangle +uid 282,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "51050,27147,51400,27497" +) +btri (Triangle +uid 283,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "51050,25947,51400,26297" +) +entryActions (MLText +uid 284,0 +va (VaSet +) +xt "51500,27322,51500,27322" +tm "Actions" +) +inActions (MLText +uid 285,0 +va (VaSet +) +xt "51500,27722,68900,31322" +st "sideL_cruse <= '0' ; +power_cruse <= \"11111111\"; +end_cruse <= '0' ;" +tm "Actions" +) +exitActions (MLText +uid 286,0 +va (VaSet +) +xt "56200,26122,56200,26122" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 276,0 +ps "CenterOffsetStrategy" +text (MLText +uid 277,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "54100,27322,60800,28522" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*21 (State +uid 307,0 +shape (Circle +uid 308,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "43289,37187,50391,44289" +radius 3551 +) +name (Text +uid 309,0 +va (VaSet +font "Verdana,12,1" +) +xt "44540,40038,49140,41438" +st "stopR" +ju 0 +blo "46840,41238" +tm "ONodeName" +) +wait (TextAssociate +uid 310,0 +ps "CenterOffsetStrategy" +text (Text +uid 311,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "46590,40938,51690,42338" +st "wait 2" +blo "46590,42138" +tm "SmWaitText" +) +) +encoding (Text +uid 312,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "46840,41838,46840,41838" +blo "46840,41838" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 315,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 316,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "42040,42838,59640,45438" +) +autoResize 1 +tline (Line +uid 317,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "42140,42738,59540,42738" +pts [ +"42140,42738" +"59540,42738" +] +) +bline (Line +uid 318,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "42140,43038,59540,43038" +pts [ +"42140,43038" +"59540,43038" +] +) +ttri (Triangle +uid 319,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "41690,42363,42040,42713" +) +btri (Triangle +uid 320,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "41690,40563,42040,40913" +) +entryActions (MLText +uid 321,0 +va (VaSet +) +xt "42140,42538,42140,42538" +tm "Actions" +) +inActions (MLText +uid 322,0 +va (VaSet +) +xt "42140,42938,59540,45338" +st "power_cruse <= \"00000000\"; +end_cruse <= '1' ;" +tm "Actions" +) +exitActions (MLText +uid 323,0 +va (VaSet +) +xt "46840,40738,46840,40738" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 313,0 +ps "CenterOffsetStrategy" +text (MLText +uid 314,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "44740,41938,51440,43138" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*22 (State +uid 469,0 +shape (Circle +uid 470,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "75145,16791,85719,27365" +radius 5287 +) +name (Text +uid 471,0 +va (VaSet +font "Verdana,12,1" +) +xt "75782,21378,85082,22778" +st "GoToOrigin" +ju 0 +blo "80432,22578" +tm "ONodeName" +) +wait (TextAssociate +uid 472,0 +ps "CenterOffsetStrategy" +text (Text +uid 473,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "80182,22278,85282,23678" +st "wait 2" +blo "80182,23478" +tm "SmWaitText" +) +) +encoding (Text +uid 474,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "80432,23178,80432,23178" +blo "80432,23178" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 477,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 478,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "75482,23578,93382,27378" +) +autoResize 1 +tline (Line +uid 479,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "75582,23478,93282,23478" +pts [ +"75582,23478" +"93282,23478" +] +) +bline (Line +uid 480,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "75582,24678,93282,24678" +pts [ +"75582,24678" +"93282,24678" +] +) +ttri (Triangle +uid 481,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "75132,23103,75482,23453" +) +btri (Triangle +uid 482,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "75132,21903,75482,22253" +) +entryActions (MLText +uid 483,0 +va (VaSet +) +xt "75582,23278,75582,23278" +tm "Actions" +) +inActions (MLText +uid 484,0 +va (VaSet +) +xt "75582,23678,93282,27278" +st "sideL_cruse <= '1' ; +power_cruse <= \"11111111\" ; +end_cruse <= '0' ;" +tm "Actions" +) +exitActions (MLText +uid 485,0 +va (VaSet +) +xt "80432,22078,80432,22078" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 475,0 +ps "CenterOffsetStrategy" +text (MLText +uid 476,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "78332,23278,85032,24478" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*23 (Transition +uid 104,0 +shape (Spline +uid 105,0 +va (VaSet +vasetType 3 +) +xt "5500,20000,16500,20000" +pts [ +"5500,20000" +"16500,20000" +] +) +start &4 +end &5 +ss 0 +es 0 +cond "rst = '0'" +tb (TransitionBlock +uid 106,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 107,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "8150,18900,13850,21100" +) +autoResize 1 +lineShape (Line +uid 108,0 +va (VaSet +vasetType 3 +) +xt "8650,20500,13350,20500" +pts [ +"8650,20500" +"13350,20500" +] +) +condition (MLText +uid 109,0 +va (VaSet +) +xt "8650,18900,13350,20100" +st "rst = '0'" +tm "Condition" +) +actions (MLText +uid 110,0 +va (VaSet +isHidden 1 +) +xt "6700,20900,15300,22100" +st "< Automatic >" +tm "Actions" +) +) +tp (TransitionPriority +uid 111,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 112,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5537,18937,7663,21063" +radius 1063 +) +pr (Text +uid 113,0 +va (VaSet +isHidden 1 +) +xt "5900,19400,7300,20600" +st "1" +ju 0 +blo "6600,20400" +tm "TransitionPriority" +) +padding "100,100" +) +) +*24 (Transition +uid 114,0 +shape (Spline +uid 115,0 +va (VaSet +vasetType 3 +) +xt "5050,15000,16500,15000" +pts [ +"5050,15000" +"16500,15000" +] +) +start &6 +end &7 +ss 0 +es 0 +tb (TransitionBlock +uid 116,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 117,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "7625,14495,13925,15505" +) +autoResize 1 +lineShape (Line +uid 118,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "10775,16000,10775,16000" +pts [ +"10775,16000" +"10775,16000" +] +) +condition (MLText +uid 119,0 +va (VaSet +) +xt "8125,14400,13425,15600" +tm "Condition" +) +actions (MLText +uid 120,0 +va (VaSet +) +xt "10775,16000,10775,16000" +tm "Actions" +) +) +tp (TransitionPriority +uid 121,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 122,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5132,13937,7258,16063" +radius 1063 +) +pr (Text +uid 123,0 +va (VaSet +isHidden 1 +) +xt "5495,14400,6895,15600" +st "1" +ju 0 +blo "6195,15400" +tm "TransitionPriority" +) +padding "100,100" +) +) +*25 (Transition +uid 287,0 +shape (Spline +uid 288,0 +va (VaSet +vasetType 3 +) +xt "37175,13684,43613,21913" +pts [ +"43613,13684" +"37175,21913" +] +arrow 1 +) +start &2 +end &19 +ss 0 +es 0 +cond "info_cruse < Position" +tb (TransitionBlock +uid 289,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 290,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "32190,16939,45890,19139" +) +autoResize 1 +lineShape (Line +uid 291,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "35340,19039,35340,19039" +pts [ +"35340,19039" +"35340,19039" +] +) +condition (MLText +uid 292,0 +va (VaSet +) +xt "32690,17439,45390,18639" +st "info_cruse < Position" +tm "Condition" +) +actions (MLText +uid 293,0 +va (VaSet +) +xt "39040,19039,39040,19039" +tm "Actions" +) +) +tp (TransitionPriority +uid 294,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 295,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "41906,13443,44032,15569" +radius 1063 +) +pr (Text +uid 296,0 +va (VaSet +) +xt "42269,13906,43669,15106" +st "2" +ju 0 +blo "42969,14906" +tm "TransitionPriority" +) +padding "100,100" +) +) +*26 (Transition +uid 297,0 +shape (Spline +uid 298,0 +va (VaSet +vasetType 3 +) +xt "47802,13820,52696,20989" +pts [ +"47802,13820" +"52696,20989" +] +arrow 1 +) +start &2 +end &20 +ss 0 +es 0 +cond "info_cruse >= Position" +tb (TransitionBlock +uid 299,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 300,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "48885,16689,63285,18889" +) +autoResize 1 +lineShape (Line +uid 301,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "52035,18789,52035,18789" +pts [ +"52035,18789" +"52035,18789" +] +) +condition (MLText +uid 302,0 +va (VaSet +) +xt "49385,17189,62785,18389" +st "info_cruse >= Position" +tm "Condition" +) +actions (MLText +uid 303,0 +va (VaSet +) +xt "56085,18789,56085,18789" +tm "Actions" +) +) +tp (TransitionPriority +uid 304,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 305,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "47228,13473,49354,15599" +radius 1063 +) +pr (Text +uid 306,0 +va (VaSet +) +xt "47591,13936,48991,15136" +st "3" +ju 0 +blo "48291,14936" +tm "TransitionPriority" +) +padding "100,100" +) +) +*27 (Transition +uid 324,0 +shape (Spline +uid 325,0 +va (VaSet +vasetType 3 +) +xt "48755,31356,52848,37748" +pts [ +"52848,31356" +"48755,37748" +] +arrow 1 +) +start &20 +end &21 +ss 0 +es 0 +cond "Position <= info_cruse" +tb (TransitionBlock +uid 326,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 327,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "50301,34052,64701,36252" +) +autoResize 1 +lineShape (Line +uid 328,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "53451,36152,53451,36152" +pts [ +"53451,36152" +"53451,36152" +] +) +condition (MLText +uid 329,0 +va (VaSet +) +xt "50801,34552,64201,35752" +st "Position <= info_cruse" +tm "Condition" +) +actions (MLText +uid 330,0 +va (VaSet +) +xt "57501,36152,57501,36152" +tm "Actions" +) +) +tp (TransitionPriority +uid 331,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 332,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "51375,30932,53501,33058" +radius 1063 +) +pr (Text +uid 333,0 +va (VaSet +) +xt "51738,31395,53138,32595" +st "1" +ju 0 +blo "52438,32395" +tm "TransitionPriority" +) +padding "100,100" +) +) +*28 (Transition +uid 361,0 +shape (Spline +uid 362,0 +va (VaSet +vasetType 3 +) +xt "35960,32369,44026,38573" +pts [ +"35960,32369" +"44026,38573" +] +arrow 1 +) +start &19 +end &21 +es 0 +cond "Position >= info_cruse" +tb (TransitionBlock +uid 363,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 364,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "32869,34755,47269,36955" +) +autoResize 1 +lineShape (Line +uid 365,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "36019,36855,36019,36855" +pts [ +"36019,36855" +"36019,36855" +] +) +condition (MLText +uid 366,0 +va (VaSet +) +xt "33369,35255,46769,36455" +st "Position >= info_cruse" +tm "Condition" +) +actions (MLText +uid 367,0 +va (VaSet +) +xt "40069,36855,40069,36855" +tm "Actions" +) +) +tp (TransitionPriority +uid 368,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 369,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "35703,31926,37829,34052" +radius 1063 +) +pr (Text +uid 370,0 +va (VaSet +) +xt "36066,32389,37466,33589" +st "1" +ju 0 +blo "36766,33389" +tm "TransitionPriority" +) +padding "100,100" +) +) +*29 (Transition +uid 371,0 +shape (Spline +uid 372,0 +va (VaSet +vasetType 3 +) +xt "46532,14363,47081,37195" +pts [ +"47081,37195" +"46532,14363" +] +arrow 1 +) +start &21 +end &2 +cond "unlock = '1'" +tb (TransitionBlock +uid 373,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 374,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "42706,22111,51006,24311" +) +autoResize 1 +lineShape (Line +uid 375,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "45856,24211,45856,24211" +pts [ +"45856,24211" +"45856,24211" +] +) +condition (MLText +uid 376,0 +va (VaSet +) +xt "43206,22611,50506,23811" +st "unlock = '1'" +tm "Condition" +) +actions (MLText +uid 377,0 +va (VaSet +) +xt "46856,24211,46856,24211" +tm "Actions" +) +) +tp (TransitionPriority +uid 378,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 379,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "45963,33849,48089,35975" +radius 1063 +) +pr (Text +uid 380,0 +va (VaSet +isHidden 1 +) +xt "46326,34312,47726,35512" +st "1" +ju 0 +blo "47026,35312" +tm "TransitionPriority" +) +padding "100,100" +) +) +*30 (Transition +uid 410,0 +shape (Spline +uid 411,0 +va (VaSet +vasetType 3 +) +xt "47900,28783,50582,37349" +pts [ +"50582,28783" +"47900,37349" +] +arrow 1 +) +start &20 +end &21 +es 0 +cond "sensor_bus /= \"00\"" +tb (TransitionBlock +uid 412,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 413,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "48741,32566,61041,34766" +) +autoResize 1 +lineShape (Line +uid 414,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "51891,34666,51891,34666" +pts [ +"51891,34666" +"51891,34666" +] +) +condition (MLText +uid 415,0 +va (VaSet +) +xt "49241,33066,60541,34266" +st "sensor_bus /= \"00\"" +tm "Condition" +) +actions (MLText +uid 416,0 +va (VaSet +) +xt "54891,34666,54891,34666" +tm "Actions" +) +) +tp (TransitionPriority +uid 417,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 418,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "49250,28576,51376,30702" +radius 1063 +) +pr (Text +uid 419,0 +va (VaSet +) +xt "49613,29039,51013,30239" +st "2" +ju 0 +blo "50313,30039" +tm "TransitionPriority" +) +padding "100,100" +) +) +*31 (Transition +uid 420,0 +shape (Spline +uid 421,0 +va (VaSet +vasetType 3 +) +xt "38685,29908,44704,37902" +pts [ +"38685,29908" +"44704,37902" +] +arrow 1 +) +start &19 +end &21 +es 0 +cond "sensor_bus /= \"00\"" +tb (TransitionBlock +uid 422,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 423,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "37691,32164,49991,34364" +) +autoResize 1 +lineShape (Line +uid 424,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "40841,34264,40841,34264" +pts [ +"40841,34264" +"40841,34264" +] +) +condition (MLText +uid 425,0 +va (VaSet +) +xt "38191,32664,49491,33864" +st "sensor_bus /= \"00\"" +tm "Condition" +) +actions (MLText +uid 426,0 +va (VaSet +) +xt "43841,34264,43841,34264" +tm "Actions" +) +) +tp (TransitionPriority +uid 427,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 428,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "38223,29643,40349,31769" +radius 1063 +) +pr (Text +uid 429,0 +va (VaSet +) +xt "38586,30106,39986,31306" +st "2" +ju 0 +blo "39286,31106" +tm "TransitionPriority" +) +padding "100,100" +) +) +*32 (Transition +uid 430,0 +shape (Spline +uid 431,0 +va (VaSet +vasetType 3 +) +xt "45214,14428,45526,37581" +pts [ +"45526,14428" +"45214,37581" +] +arrow 1 +) +start &2 +end &21 +cond "sensor_bus /= \"00\"" +tb (TransitionBlock +uid 432,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 433,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "38227,25140,50527,27340" +) +autoResize 1 +lineShape (Line +uid 434,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "41377,27240,41377,27240" +pts [ +"41377,27240" +"41377,27240" +] +) +condition (MLText +uid 435,0 +va (VaSet +) +xt "38727,25640,50027,26840" +st "sensor_bus /= \"00\"" +tm "Condition" +) +actions (MLText +uid 436,0 +va (VaSet +) +xt "44377,27240,44377,27240" +tm "Actions" +) +) +tp (TransitionPriority +uid 437,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 438,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "44431,15679,46557,17805" +radius 1063 +) +pr (Text +uid 439,0 +va (VaSet +) +xt "44794,16142,46194,17342" +st "4" +ju 0 +blo "45494,17142" +tm "TransitionPriority" +) +padding "100,100" +) +) +*33 (Transition +uid 486,0 +shape (Spline +uid 487,0 +va (VaSet +vasetType 3 +) +xt "49267,10121,75496,20185" +pts [ +"49267,10121" +"75496,20185" +] +arrow 1 +) +start &2 +end &22 +es 0 +cond "info_cruse = \"00000000\"" +tb (TransitionBlock +uid 488,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 489,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "59108,12244,75308,14444" +) +autoResize 1 +lineShape (Line +uid 490,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "62258,14344,62258,14344" +pts [ +"62258,14344" +"62258,14344" +] +) +condition (MLText +uid 491,0 +va (VaSet +) +xt "59608,12744,74808,13944" +st "info_cruse = \"00000000\"" +tm "Condition" +) +actions (MLText +uid 492,0 +va (VaSet +) +xt "67208,14344,67208,14344" +tm "Actions" +) +) +tp (TransitionPriority +uid 493,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 494,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "50826,10064,52952,12190" +radius 1063 +) +pr (Text +uid 495,0 +va (VaSet +) +xt "51189,10527,52589,11727" +st "1" +ju 0 +blo "51889,11527" +tm "TransitionPriority" +) +padding "100,100" +) +) +*34 (Transition +uid 496,0 +shape (Spline +uid 497,0 +va (VaSet +vasetType 3 +) +xt "50389,27348,79999,40842" +pts [ +"79999,27348" +"50389,40842" +] +arrow 1 +) +start &22 +end &21 +cond "sensor_bus /= \"00\"" +tb (TransitionBlock +uid 498,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 499,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "64694,33595,76994,35795" +) +autoResize 1 +lineShape (Line +uid 500,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "67844,35695,67844,35695" +pts [ +"67844,35695" +"67844,35695" +] +) +condition (MLText +uid 501,0 +va (VaSet +) +xt "65194,34095,76494,35295" +st "sensor_bus /= \"00\"" +tm "Condition" +) +actions (MLText +uid 502,0 +va (VaSet +) +xt "70844,35695,70844,35695" +tm "Actions" +) +) +tp (TransitionPriority +uid 503,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 504,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "75975,27634,78101,29760" +radius 1063 +) +pr (Text +uid 505,0 +va (VaSet +isHidden 1 +) +xt "76338,28097,77738,29297" +st "1" +ju 0 +blo "77038,29097" +tm "TransitionPriority" +) +padding "100,100" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 0 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *35 (PackageList +uid 31,0 +stg "VerticalLayoutStrategy" +textVec [ +*36 (Text +uid 32,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "0,3000,7600,4200" +st "Package List" +blo "0,4000" +) +*37 (MLText +uid 33,0 +va (VaSet +isHidden 1 +) +xt "0,4200,17500,7800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "SmPackageListTextMgr" +) +] +) +compDirBlock (MlTextGroup +uid 34,0 +stg "VerticalLayoutStrategy" +textVec [ +*38 (Text +uid 35,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*39 (Text +uid 36,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*40 (MLText +uid 37,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "SmCompilerDirectivesTextMgr" +) +*41 (Text +uid 38,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*42 (MLText +uid 39,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "SmCompilerDirectivesTextMgr" +) +*43 (Text +uid 40,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*44 (MLText +uid 41,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "SmCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,24,1715,1143" +viewArea "-6000,-6100,102551,66243" +cachedDiagramExtent "0,-1000,93382,47000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-2000" +isTopLevel 1 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +localDecl *45 (SmLocalDecl +uid 3,0 +stg "VerticalLayoutStrategy" +textVec [ +*46 (Text +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "27800,-1000,42400,200" +st "Architecture Declarations" +blo "27800,0" +) +*47 (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*48 (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "27800,200,33000,1400" +st "Pre Decls" +blo "27800,1200" +) +*49 (MLText +uid 7,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*50 (Text +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "27800,200,34200,1400" +st "Post Decls" +blo "27800,1200" +) +*51 (MLText +uid 9,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,200,27800,200" +tm "LocalDeclTextMgr" +) +] +) +processDecl *52 (SmProcessDecl +uid 10,0 +stg "VerticalLayoutStrategy" +textVec [ +*53 (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,-1000,86600,200" +st "Process Declarations" +blo "74500,0" +) +*54 (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,200,84000,1400" +st "Clocked Process:" +blo "74500,1200" +) +*55 (MLText +uid 13,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,-1000,74500,-1000" +tm "ProcessDeclTextMgr" +) +*56 (Text +uid 14,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,1400,83800,2600" +st "Output Process:" +blo "74500,2400" +) +*57 (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,2600,74500,2600" +tm "ProcessDeclTextMgr" +) +] +associable 1 +) +defaultActions *58 (MlTextGroup +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +uid 17,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "0,-1000,8400,200" +st "Global Actions" +blo "0,0" +) +*60 (Text +uid 18,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "0,200,7400,1400" +st "Pre Actions:" +blo "0,1200" +) +*61 (MLText +uid 19,0 +va (VaSet +) +xt "0,-1000,0,-1000" +tm "Actions" +) +*62 (Text +uid 20,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "0,1400,8000,2600" +st "Post Actions:" +blo "0,2400" +) +*63 (MLText +uid 21,0 +va (VaSet +) +xt "0,200,0,200" +tm "Actions" +) +] +associable 1 +) +archConcurrentStatementBlock *64 (BiTextGroup +uid 22,0 +stg "VerticalLayoutStrategy" +first (Text +uid 23,0 +va (VaSet +font "Verdana,9,1" +) +xt "11400,-1000,24800,200" +st "Concurrent Statements" +blo "11400,0" +) +second (MLText +uid 24,0 +va (VaSet +isHidden 1 +) +xt "11400,200,11400,200" +tm "ArchConcStmtTextMgr" +) +associable 1 +) +signalsGenStatus *65 (SmSignalGenStatus +uid 28,0 +stg "VerticalLayoutStrategy" +first (Text +uid 29,0 +va (VaSet +font "Verdana,9,1" +) +xt "45400,-1000,53200,200" +st "Signal Status" +blo "45400,0" +) +second (MLText +uid 30,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "45400,200,73900,3400" +st "SIGNAL MODE DEFAULT RESET SCHEME COMMENT +end_cruse OUT COMB +power_cruse OUT COMB +sideL_cruse OUT COMB +" +tm "SmSignalsGenStatusTextMgr" +) +) +stateRegBlock *66 (BiTextGroup +uid 25,0 +stg "VerticalLayoutStrategy" +first (Text +uid 26,0 +va (VaSet +font "Verdana,9,1" +) +xt "56200,-1000,71500,200" +st "State Register Statements" +blo "56200,0" +) +second (MLText +uid 27,0 +va (VaSet +isHidden 1 +) +xt "56200,200,56200,200" +tm "Actions" +) +associable 1 +) +) +genChar (SmGenChar +uid 42,0 +nextStateClocking 0 +) +encoding (Encoding +scheme 3 +encodingStyles [ +(pair +scheme 0 +style 0 +) +(pair +scheme 1 +style 1 +) +(pair +scheme 2 +style 0 +) +(pair +scheme 3 +style 0 +) +(pair +scheme 4 +style 0 +) +(pair +scheme 5 +style 0 +) +] +otherValues [ +(pair +scheme 0 +otherValue "" +) +(pair +scheme 1 +otherValue "" +) +(pair +scheme 2 +otherValue "" +) +(pair +scheme 3 +otherValue "" +) +(pair +scheme 4 +otherValue "" +) +(pair +scheme 5 +otherValue "" +) +] +attribute 0 +synSafe 0 +outputEncodedLocals 0 +useVerilogParameterRange 0 +radix 2 +) +stateOrder [ +&2 +&19 +&20 +&21 +&22 +] +name "csm" +) +] +lastUid 505,0 +commonDM (CommonDM +ldm (LogicalDM +emptyRow *67 (LEmptyRow +) +uid 176,0 +optionalChildren [ +*68 (RefLabelRowHdr +) +*69 (TitleRowHdr +) +*70 (FilterRowHdr +) +*71 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*72 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*73 (GroupColHdr +tm "GroupColHdrMgr" +) +*74 (NameColHdr +tm "SmNameColHdrMgr" +) +*75 (ModeColHdr +tm "SmModeColHdrMgr" +) +*76 (TypeColHdr +tm "SmTypeColHdrMgr" +) +*77 (BoundsColHdr +tm "SmBoundsColHdrMgr" +) +*78 (InitColHdr +tm "SmInitColHdrMgr" +) +*79 (ColumnHdr +tm "SmCategoryColHdrMgr" +) +*80 (ColumnHdr +tm "SmAssignColHdrMgr" +) +*81 (ColumnHdr +tm "SmExprColHdrMgr" +) +*82 (ColumnHdr +tm "SmSchemeColHdrMgr" +) +*83 (ColumnHdr +tm "SmDefValColHdrMgr" +) +*84 (ColumnHdr +tm "SmRstValColHdrMgr" +) +*85 (EolColHdr +tm "SmEolColHdrMgr" +) +*86 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "Position" +t "std_logic" +b "(15 DOWNTO 0)" +o 1 +) +) +uid 156,0 +) +*87 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "info_cruse" +t "unsigned" +b "(15 DOWNTO 0)" +o 2 +) +) +uid 158,0 +) +*88 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "unlock" +t "std_ulogic" +o 3 +) +) +uid 160,0 +) +*89 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "end_cruse" +t "std_ulogic" +o 4 +) +) +uid 162,0 +scheme 0 +) +*90 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "power_cruse" +t "unsigned" +b "(7 DOWNTO 0)" +o 5 +) +) +uid 164,0 +scheme 0 +) +*91 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "sensor_bus" +t "unsigned" +b "(1 DOWNTO 0)" +o 6 +) +) +uid 166,0 +scheme 0 +) +*92 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "sideL_cruse" +t "std_ulogic" +o 7 +) +) +uid 168,0 +scheme 0 +) +*93 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "unsigned" +o 8 +) +) +uid 170,0 +cat 1 +scheme 0 +expr "clk'EVENT AND clk = '1'" +) +*94 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "rst" +t "unsigned" +o 9 +) +) +uid 172,0 +cat 8 +scheme 0 +expr "rst = '0'" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 195,0 +optionalChildren [ +*95 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *96 (MRCItem +litem &67 +pos 9 +dimension 20 +) +uid 197,0 +optionalChildren [ +*97 (MRCItem +litem &68 +pos 0 +dimension 20 +uid 198,0 +) +*98 (MRCItem +litem &69 +pos 1 +dimension 23 +uid 199,0 +) +*99 (MRCItem +litem &70 +pos 2 +hidden 1 +dimension 20 +uid 200,0 +) +*100 (MRCItem +litem &86 +pos 0 +dimension 20 +uid 157,0 +) +*101 (MRCItem +litem &87 +pos 1 +dimension 20 +uid 159,0 +) +*102 (MRCItem +litem &88 +pos 2 +dimension 20 +uid 161,0 +) +*103 (MRCItem +litem &89 +pos 3 +dimension 20 +uid 163,0 +) +*104 (MRCItem +litem &90 +pos 4 +dimension 20 +uid 165,0 +) +*105 (MRCItem +litem &91 +pos 5 +dimension 20 +uid 167,0 +) +*106 (MRCItem +litem &92 +pos 6 +dimension 20 +uid 169,0 +) +*107 (MRCItem +litem &93 +pos 7 +dimension 20 +uid 171,0 +) +*108 (MRCItem +litem &94 +pos 8 +dimension 20 +uid 173,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 201,0 +optionalChildren [ +*109 (MRCItem +litem &71 +pos 0 +dimension 20 +uid 202,0 +) +*110 (MRCItem +litem &73 +pos 1 +dimension 50 +uid 203,0 +) +*111 (MRCItem +litem &74 +pos 2 +dimension 70 +uid 204,0 +) +*112 (MRCItem +litem &75 +pos 3 +dimension 50 +uid 205,0 +) +*113 (MRCItem +litem &76 +pos 4 +dimension 80 +uid 206,0 +) +*114 (MRCItem +litem &77 +pos 5 +dimension 80 +uid 207,0 +) +*115 (MRCItem +litem &78 +pos 6 +dimension 40 +uid 208,0 +) +*116 (MRCItem +litem &79 +pos 7 +dimension 100 +uid 209,0 +) +*117 (MRCItem +litem &80 +pos 8 +dimension 60 +uid 210,0 +) +*118 (MRCItem +litem &81 +pos 9 +dimension 130 +uid 211,0 +) +*119 (MRCItem +litem &82 +pos 10 +dimension 56 +uid 212,0 +) +*120 (MRCItem +litem &83 +pos 11 +dimension 50 +uid 213,0 +) +*121 (MRCItem +litem &84 +pos 12 +dimension 50 +uid 214,0 +) +*122 (MRCItem +litem &85 +pos 13 +dimension 80 +uid 215,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 196,0 +vaOverrides [ +] +) +] +) +uid 175,0 +) +cdmCsm &1 +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *123 (LEmptyRow +) +uid 217,0 +optionalChildren [ +*124 (RefLabelRowHdr +) +*125 (TitleRowHdr +) +*126 (FilterRowHdr +) +*127 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*128 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*129 (GroupColHdr +tm "GroupColHdrMgr" +) +*130 (NameColHdr +tm "GenericNameColHdrMgr" +) +*131 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*132 (InitColHdr +tm "GenericValueColHdrMgr" +) +*133 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*134 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 229,0 +optionalChildren [ +*135 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *136 (MRCItem +litem &123 +pos 0 +dimension 20 +) +uid 231,0 +optionalChildren [ +*137 (MRCItem +litem &124 +pos 0 +dimension 20 +uid 232,0 +) +*138 (MRCItem +litem &125 +pos 1 +dimension 23 +uid 233,0 +) +*139 (MRCItem +litem &126 +pos 2 +hidden 1 +dimension 20 +uid 234,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 235,0 +optionalChildren [ +*140 (MRCItem +litem &127 +pos 0 +dimension 20 +uid 236,0 +) +*141 (MRCItem +litem &129 +pos 1 +dimension 50 +uid 237,0 +) +*142 (MRCItem +litem &130 +pos 2 +dimension 100 +uid 238,0 +) +*143 (MRCItem +litem &131 +pos 3 +dimension 100 +uid 239,0 +) +*144 (MRCItem +litem &132 +pos 4 +dimension 50 +uid 240,0 +) +*145 (MRCItem +litem &133 +pos 5 +dimension 50 +uid 241,0 +) +*146 (MRCItem +litem &134 +pos 6 +dimension 80 +uid 242,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 230,0 +vaOverrides [ +] +) +] +) +uid 216,0 +type 1 +) +signalSuffix "_int" +clockSuffix "_cld" +defaultState (State +shape (Circle +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-3551,-3551,3551,3551" +radius 3551 +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3900,3300,4100,3500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +entryActions (MLText +va (VaSet +) +tm "Actions" +) +inActions (MLText +va (VaSet +) +tm "Actions" +) +exitActions (MLText +va (VaSet +) +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultWaitState (State +shape (CircleInOctagon +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +xt "-529,-529,6529,6529" +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8900,6300,9100,6500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +entryActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +inActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +exitActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +isWait 1 +) +defaultCompositeState (CompositeState +shape (TripleCircle +va (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "Verdana,10,1" +) +xt "-1000,-600,1000,600" +st "s0" +ju 0 +blo "0,400" +tm "ONodeName" +) +childDiagram &0 +) +defaultJunction (Junction +shape (Diamond +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "-1300,-1300,2300,2300" +) +symbol (Text +va (VaSet +font "Verdana,10,1" +) +xt "-300,-100,1300,1100" +st "&" +ju 0 +blo "500,900" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2000,1000,2000,1000" +blo "2000,1000" +tm "JunctionName" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2550,2000,4150,3200" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultEntryPoint (EntryPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +] +) +) +defaultInterruptPoint (InterruptPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +(CustomPolygon +pts [ +"-625,1600" +"-625,1300" +"25,1425" +"-75,1150" +"1025,1350" +"200,1350" +"375,1600" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "-625,1150,1025,1600" +) +] +) +) +defaultLink (Link +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2375,875,5075,2075" +st "Link" +blo "2375,1875" +tm "LinkName" +) +) +) +defaultExitPoint (ExitPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +) +defaultTransition (Transition +shape (Spline +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +arrow 1 +) +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-500,-500,5800,1700" +) +autoResize 1 +lineShape (Line +va (VaSet +vasetType 3 +isHidden 1 +) +xt "2650,1600,2650,1600" +pts [ +"2650,1600" +"2650,1600" +] +) +condition (MLText +va (VaSet +) +xt "0,0,5300,1200" +st "condition" +tm "Condition" +) +actions (MLText +va (VaSet +) +xt "2650,2000,2650,2000" +tm "Actions" +) +) +tp (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-1063,-1063,1063,1063" +radius 1063 +) +pr (Text +va (VaSet +) +xt "-700,-600,700,600" +st "1" +ju 0 +blo "0,400" +tm "TransitionPriority" +) +padding "100,100" +) +) +defaultClk (SmClockPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"275,1425" +"574,1425" +"574,825" +"874,825" +] +) +(Arc2D +pts [ +"-116,1278" +"-371,972" +"-116,972" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-441,926,-116,1323" +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-3325,625,-1125,1825" +st "clk" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultEnable (SmEnablePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Arc2D +pts [ +"-130,1263" +"-415,1064" +"-76,1064" +] +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-425,943,-76,1304" +) +(Line +sl 0 +ro 270 +xt "-415,1064,-106,1064" +pts [ +"-415,1064" +"-106,1064" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-5125,625,-1125,1825" +st "enable" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultRst (SmResetPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-276,1000" +pts [ +"-376,1000" +"-276,950" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-376,1300" +pts [ +"-376,1300" +"-376,950" +] +) +(Circle +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "424,975,724,1275" +radius 150 +) +] +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-625,-1175,2675,225" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "-525,-1075,2575,125" +st "cond" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "1625,62,3751,2188" +radius 1063 +) +pr (Text +va (VaSet +) +xt "1988,525,3388,1725" +st "1" +ju 0 +blo "2688,1525" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-2725,525,-625,1725" +st "rst" +ju 2 +blo "-625,1525" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +) +xt "4750,2625,13350,3825" +st "< Automatic >" +tm "Actions" +) +) +) +defaultRecStatePt (SmRecoveryStatePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +sl 0 +xt "-900,-900,900,900" +radius 900 +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"-426,426" +"426,-426" +] +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"426,426" +"-426,-426" +] +) +] +) +) +activeModelName "StateMachine" +LanguageMgr "Vhdl2008LangMgr" +) diff --git a/Cursor/hds/process_cruse/interface b/Cursor/hds/process_cruse/interface new file mode 100644 index 0000000..ef3b7cd --- /dev/null +++ b/Cursor/hds/process_cruse/interface @@ -0,0 +1,1852 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 45,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 102,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "unsigned" +o 3 +suid 37,0 +) +) +uid 635,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "end_cruse" +t "std_ulogic" +o 14 +suid 38,0 +) +) +uid 637,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "info_cruse" +t "unsigned" +b "(15 DOWNTO 0)" +o 17 +suid 39,0 +) +) +uid 639,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 1 +suid 40,0 +) +) +uid 641,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "power_cruse" +t "unsigned" +b "(7 DOWNTO 0)" +o 20 +suid 41,0 +) +) +uid 643,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "rst" +t "unsigned" +o 4 +suid 42,0 +) +) +uid 645,0 +) +*20 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "sensor_bus" +t "unsigned" +b "(1 DOWNTO 0)" +o 22 +suid 43,0 +) +) +uid 647,0 +) +*21 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "sideL_cruse" +t "std_ulogic" +o 24 +suid 44,0 +) +) +uid 649,0 +) +*22 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "unlock" +t "std_ulogic" +o 12 +suid 45,0 +) +) +uid 651,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 115,0 +optionalChildren [ +*23 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *24 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 117,0 +optionalChildren [ +*25 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 118,0 +) +*26 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 119,0 +) +*27 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 120,0 +) +*28 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 636,0 +) +*29 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 638,0 +) +*30 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 640,0 +) +*31 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 642,0 +) +*32 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 644,0 +) +*33 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 646,0 +) +*34 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 648,0 +) +*35 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 650,0 +) +*36 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 652,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 121,0 +optionalChildren [ +*37 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 122,0 +) +*38 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 123,0 +) +*39 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 124,0 +) +*40 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 125,0 +) +*41 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 126,0 +) +*42 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 127,0 +) +*43 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 128,0 +) +*44 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 129,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 116,0 +vaOverrides [ +] +) +] +) +uid 101,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *45 (LEmptyRow +) +uid 131,0 +optionalChildren [ +*46 (RefLabelRowHdr +) +*47 (TitleRowHdr +) +*48 (FilterRowHdr +) +*49 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*50 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*51 (GroupColHdr +tm "GroupColHdrMgr" +) +*52 (NameColHdr +tm "GenericNameColHdrMgr" +) +*53 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*54 (InitColHdr +tm "GenericValueColHdrMgr" +) +*55 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*56 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 143,0 +optionalChildren [ +*57 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *58 (MRCItem +litem &45 +pos 3 +dimension 20 +) +uid 145,0 +optionalChildren [ +*59 (MRCItem +litem &46 +pos 0 +dimension 20 +uid 146,0 +) +*60 (MRCItem +litem &47 +pos 1 +dimension 23 +uid 147,0 +) +*61 (MRCItem +litem &48 +pos 2 +hidden 1 +dimension 20 +uid 148,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 149,0 +optionalChildren [ +*62 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 150,0 +) +*63 (MRCItem +litem &51 +pos 1 +dimension 50 +uid 151,0 +) +*64 (MRCItem +litem &52 +pos 2 +dimension 100 +uid 152,0 +) +*65 (MRCItem +litem &53 +pos 3 +dimension 100 +uid 153,0 +) +*66 (MRCItem +litem &54 +pos 4 +dimension 50 +uid 154,0 +) +*67 (MRCItem +litem &55 +pos 5 +dimension 50 +uid 155,0 +) +*68 (MRCItem +litem &56 +pos 6 +dimension 80 +uid 156,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 144,0 +vaOverrides [ +] +) +] +) +uid 130,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\process_cruse\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\process_cruse\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\process_cruse" +) +(vvPair +variable "d_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\process_cruse" +) +(vvPair +variable "date" +value "14.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "entity_name" +value "process_cruse" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "Simon" +) +(vvPair +variable "graphical_source_date" +value "14.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "PC-SDM" +) +(vvPair +variable "graphical_source_time" +value "14:18:34" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "PC-SDM" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "process_cruse" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\process_cruse\\interface" +) +(vvPair +variable "p_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\process_cruse\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "14:18:34" +) +(vvPair +variable "unit" +value "process_cruse" +) +(vvPair +variable "user" +value "Simon" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 100,0 +optionalChildren [ +*69 (SymbolBody +uid 8,0 +optionalChildren [ +*70 (CptPort +uid 590,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 591,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,6625,15000,7375" +) +tg (CPTG +uid 592,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 593,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,6300,18400,7700" +st "clk" +blo "16000,7500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 594,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,61500,4000" +st "clk : IN unsigned ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk" +t "unsigned" +o 3 +suid 37,0 +) +) +) +*71 (CptPort +uid 595,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 596,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33625,15000,34375,15750" +) +tg (CPTG +uid 597,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 598,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "33300,6600,34700,14000" +st "end_cruse" +blo "34500,14000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 599,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7200,62500,8000" +st "end_cruse : OUT std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "end_cruse" +t "std_ulogic" +o 14 +suid 38,0 +) +) +) +*72 (CptPort +uid 600,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 601,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "20625,5250,21375,6000" +) +tg (CPTG +uid 602,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 603,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "20300,7000,21700,15100" +st "info_cruse" +ju 2 +blo "21500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 604,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,68500,4800" +st "info_cruse : IN unsigned (15 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "info_cruse" +t "unsigned" +b "(15 DOWNTO 0)" +o 17 +suid 39,0 +) +) +) +*73 (CptPort +uid 605,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 606,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "18625,5250,19375,6000" +) +tg (CPTG +uid 607,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 608,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "18300,7000,19700,12800" +st "Position" +ju 2 +blo "19500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 609,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,68500,3200" +st "Position : IN unsigned (15 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 1 +suid 40,0 +) +) +) +*74 (CptPort +uid 610,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 611,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31625,15000,32375,15750" +) +tg (CPTG +uid 612,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 613,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "31300,4200,32700,14000" +st "power_cruse" +blo "32500,14000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 614,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8000,68000,8800" +st "power_cruse : OUT unsigned (7 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "power_cruse" +t "unsigned" +b "(7 DOWNTO 0)" +o 20 +suid 41,0 +) +) +) +*75 (CptPort +uid 615,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 616,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,7625,15000,8375" +) +tg (CPTG +uid 617,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 618,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,7300,18500,8700" +st "rst" +blo "16000,8500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 619,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,61500,5600" +st "rst : IN unsigned ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "rst" +t "unsigned" +o 4 +suid 42,0 +) +) +) +*76 (CptPort +uid 620,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 621,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36000,12625,36750,13375" +) +tg (CPTG +uid 622,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 623,0 +va (VaSet +font "Verdana,12,0" +) +xt "26000,12300,35000,13700" +st "sensor_bus" +ju 2 +blo "35000,13500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 624,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,68000,6400" +st "sensor_bus : IN unsigned (1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "sensor_bus" +t "unsigned" +b "(1 DOWNTO 0)" +o 22 +suid 43,0 +) +) +) +*77 (CptPort +uid 625,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 626,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29625,15000,30375,15750" +) +tg (CPTG +uid 627,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 628,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "29300,4900,30700,14000" +st "sideL_cruse" +blo "30500,14000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 629,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8800,61500,9600" +st "sideL_cruse : OUT std_ulogic +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "sideL_cruse" +t "std_ulogic" +o 24 +suid 44,0 +) +) +) +*78 (CptPort +uid 630,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 631,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36000,10625,36750,11375" +) +tg (CPTG +uid 632,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 633,0 +va (VaSet +font "Verdana,12,0" +) +xt "30200,10300,35000,11700" +st "unlock" +ju 2 +blo "35000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 634,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,62500,7200" +st "unlock : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "unlock" +t "std_ulogic" +o 12 +suid 45,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,36000,15000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "21450,9300,25150,10500" +st "Cursor" +blo "21450,10300" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "21450,10500,29550,11700" +st "process_cruse" +blo "21450,11500" +) +) +gi *79 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "-4000,6500,7500,7300" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*80 (Grouping +uid 16,0 +optionalChildren [ +*81 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,46000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,48100,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *91 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*92 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*93 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor" +entityName "Main" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *94 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *95 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,9600,45200,10800" +st "User:" +blo "42000,10600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,10800,44000,10800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 652,0 +activeModelName "Symbol:CDM" +) diff --git a/Cursor/hds/process_deceleration/fsm.sm b/Cursor/hds/process_deceleration/fsm.sm new file mode 100644 index 0000000..d2ba2a8 --- /dev/null +++ b/Cursor/hds/process_deceleration/fsm.sm @@ -0,0 +1,4238 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +machine (Machine +name "csm" +children [ +(Machine +name "csm" +children [ +] +stateSignalName "current_state" +) +] +) +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (StateMachine +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\process_deceleration\\fsm.sm.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\process_deceleration\\fsm.sm.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "fsm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\process_deceleration" +) +(vvPair +variable "d_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\process_deceleration" +) +(vvPair +variable "date" +value "14.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "entity_name" +value "process_deceleration" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "fsm.sm" +) +(vvPair +variable "f_logical" +value "fsm.sm" +) +(vvPair +variable "f_noext" +value "fsm" +) +(vvPair +variable "graphical_source_author" +value "Simon" +) +(vvPair +variable "graphical_source_date" +value "14.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "PC-SDM" +) +(vvPair +variable "graphical_source_time" +value "15:07:05" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "PC-SDM" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "process_deceleration" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\process_deceleration\\fsm.sm" +) +(vvPair +variable "p_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\process_deceleration\\fsm.sm" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sm" +) +(vvPair +variable "this_file" +value "fsm" +) +(vvPair +variable "this_file_logical" +value "fsm" +) +(vvPair +variable "time" +value "15:07:05" +) +(vvPair +variable "unit" +value "process_deceleration" +) +(vvPair +variable "user" +value "Simon" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "fsm" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +optionalChildren [ +*1 (ConcurrentSM +uid 1,0 +topDiagram (StateDiagram +LanguageMgr "None" +uid 2,0 +optionalChildren [ +*2 (State +uid 43,0 +shape (Circle +uid 44,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "74469,5069,81571,12171" +radius 3551 +) +name (Text +uid 45,0 +va (VaSet +font "Verdana,12,1" +) +xt "76520,7920,79520,9320" +st "init" +ju 0 +blo "78020,9120" +tm "ONodeName" +) +wait (TextAssociate +uid 46,0 +ps "CenterOffsetStrategy" +text (Text +uid 47,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "77770,8820,82870,10220" +st "wait 2" +blo "77770,10020" +tm "SmWaitText" +) +) +encoding (Text +uid 48,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "78020,9720,78020,9720" +blo "78020,9720" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 51,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 52,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "81920,11920,82120,12120" +) +autoResize 1 +tline (Line +uid 53,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "78020,8620,78020,8620" +pts [ +"78020,8620" +"78020,8620" +] +) +bline (Line +uid 54,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "78020,8620,78020,8620" +pts [ +"78020,8620" +"78020,8620" +] +) +ttri (Triangle +uid 55,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "77570,8445,77920,8795" +) +btri (Triangle +uid 56,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "77570,8445,77920,8795" +) +entryActions (MLText +uid 57,0 +va (VaSet +) +xt "78020,8620,78020,8620" +tm "Actions" +) +inActions (MLText +uid 58,0 +va (VaSet +) +xt "78020,8620,78020,8620" +tm "Actions" +) +exitActions (MLText +uid 59,0 +va (VaSet +) +xt "78020,8620,78020,8620" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 49,0 +ps "CenterOffsetStrategy" +text (MLText +uid 50,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "75920,9820,82620,11020" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*3 (SmClockPoint +uid 60,0 +shape (CompositeShape +uid 61,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 62,0 +sl 0 +ro 270 +xt "3250,10500,5500,11500" +) +(OrthoPolyLine +uid 63,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,10700,4749,11300" +pts [ +"4150,11300" +"4449,11300" +"4449,10700" +"4749,10700" +] +) +(Arc2D +pts [ +"3759,11153" +"3504,10847" +"3759,10847" +] +uid 64,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "3431,10800,3759,11199" +) +] +) +name (TextAssociate +uid 65,0 +ps "CenterOffsetStrategy" +text (Text +uid 66,0 +va (VaSet +) +xt "550,10500,2750,11700" +st "clk" +ju 2 +blo "2750,11500" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +uid 67,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 68,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "5500,10300,20400,11700" +) +autoResize 1 +cond (MLText +uid 69,0 +va (VaSet +) +xt "5600,10400,20300,11600" +st "clk'EVENT AND clk = '1'" +tm "SmControlConditionMgr" +) +) +) +*4 (SmResetPoint +uid 70,0 +shape (CompositeShape +uid 71,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 72,0 +sl 0 +ro 270 +xt "3250,19500,5500,20500" +) +(OrthoPolyLine +uid 73,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,19700,4749,20300" +pts [ +"4749,20300" +"4449,20300" +"4449,19700" +"4150,19700" +] +) +(Line +uid 74,0 +sl 0 +ro 270 +xt "3499,19825,3599,19875" +pts [ +"3499,19875" +"3599,19825" +] +) +(Line +uid 75,0 +sl 0 +ro 270 +xt "3499,19825,3499,20175" +pts [ +"3499,20175" +"3499,19825" +] +) +(Circle +uid 76,0 +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "4299,19850,4599,20150" +radius 150 +) +] +) +cond (SmControlCondition +uid 82,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 83,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "3250,17700,8150,19100" +) +autoResize 1 +cond (MLText +uid 84,0 +va (VaSet +isHidden 1 +) +xt "3350,17800,8050,19000" +st "rst = '0'" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +uid 79,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 80,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5500,18937,7626,21063" +radius 1063 +) +pr (Text +uid 81,0 +va (VaSet +isHidden 1 +) +xt "5863,19400,7263,20600" +st "1" +ju 0 +blo "6563,20400" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +uid 77,0 +ps "CenterOffsetStrategy" +text (Text +uid 78,0 +va (VaSet +) +xt "1150,19400,3250,20600" +st "rst" +ju 2 +blo "3250,20400" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +uid 85,0 +ps "CenterOffsetStrategy" +text (MLText +uid 86,0 +va (VaSet +isHidden 1 +) +xt "11125,24125,19725,25325" +st "< Automatic >" +tm "Actions" +) +) +) +*5 (Link +uid 87,0 +shape (CompositeShape +uid 88,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 89,0 +sl 0 +ro 270 +xt "17000,19500,19250,20500" +) +(Line +uid 90,0 +sl 0 +ro 270 +xt "16500,20000,17000,20000" +pts [ +"16500,20000" +"17000,20000" +] +) +] +) +name (TextAssociate +uid 91,0 +ps "CenterOffsetStrategy" +text (Text +uid 92,0 +va (VaSet +font "Verdana,9,1" +) +xt "19750,19500,22050,20700" +st "init" +blo "19750,20500" +tm "LinkName" +) +) +) +*6 (SmRecoveryStatePoint +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +uid 95,0 +sl 0 +xt "3250,14100,5050,15900" +radius 900 +) +(Line +uid 96,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"3724,15426" +"4576,14574" +] +) +(Line +uid 97,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"4576,15426" +"3724,14574" +] +) +] +) +) +*7 (Link +uid 98,0 +shape (CompositeShape +uid 99,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 100,0 +sl 0 +ro 270 +xt "17000,14500,19250,15500" +) +(Line +uid 101,0 +sl 0 +ro 270 +xt "16500,15000,17000,15000" +pts [ +"16500,15000" +"17000,15000" +] +) +] +) +name (TextAssociate +uid 102,0 +ps "CenterOffsetStrategy" +text (Text +uid 103,0 +va (VaSet +font "Verdana,9,1" +) +xt "19750,14500,22050,15700" +st "init" +blo "19750,15500" +tm "LinkName" +) +) +) +*8 (Grouping +uid 124,0 +optionalChildren [ +*9 (CommentText +uid 126,0 +shape (Rectangle +uid 127,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 128,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46000,47000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 129,0 +shape (Rectangle +uid 130,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "53000,42000,57000,43000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 131,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,42000,56200,43000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 132,0 +shape (Rectangle +uid 133,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 134,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,44000,46200,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*12 (CommentText +uid 135,0 +shape (Rectangle +uid 136,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 137,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,44000,34300,45000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*13 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "53000,43000,73000,47000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 140,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,43200,62600,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*14 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "57000,42000,73000,43000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 143,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,42000,58800,43000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*15 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "32000,42000,53000,44000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 146,0 +va (VaSet +isHidden 1 +fg "32768,0,0" +) +xt "37350,42400,47650,43600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*16 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 149,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,45000,34300,46000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*17 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 152,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34900,47000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*18 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 155,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,45000,48700,46000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 125,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,42000,73000,47000" +) +oxt "14000,66000,55000,71000" +) +*19 (State +uid 176,0 +shape (Circle +uid 177,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "101249,16379,108351,23481" +radius 3551 +) +name (Text +uid 178,0 +va (VaSet +font "Verdana,12,1" +) +xt "103900,19230,105700,20630" +st "R" +ju 0 +blo "104800,20430" +tm "ONodeName" +) +wait (TextAssociate +uid 179,0 +ps "CenterOffsetStrategy" +text (Text +uid 180,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "104550,20130,109650,21530" +st "wait 2" +blo "104550,21330" +tm "SmWaitText" +) +) +encoding (Text +uid 181,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "104800,21030,104800,21030" +blo "104800,21030" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 184,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 185,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "92600,22030,125000,24630" +) +autoResize 1 +tline (Line +uid 186,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "92700,21930,124900,21930" +pts [ +"92700,21930" +"124900,21930" +] +) +bline (Line +uid 187,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "92700,22230,124900,22230" +pts [ +"92700,22230" +"124900,22230" +] +) +ttri (Triangle +uid 188,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "92250,21555,92600,21905" +) +btri (Triangle +uid 189,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "92250,19755,92600,20105" +) +entryActions (MLText +uid 190,0 +va (VaSet +) +xt "92700,21730,92700,21730" +tm "Actions" +) +inActions (MLText +uid 191,0 +va (VaSet +) +xt "92700,22130,124900,24530" +st "sideL_deceleration <= '0' ; +power_deceleration <= ( Position - info_deceleration ) /17;" +tm "Actions" +) +exitActions (MLText +uid 192,0 +va (VaSet +) +xt "104800,19930,104800,19930" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 182,0 +ps "CenterOffsetStrategy" +text (MLText +uid 183,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "102700,21130,109400,22330" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*20 (State +uid 193,0 +shape (Circle +uid 194,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "41939,17459,49041,24561" +radius 3551 +) +name (Text +uid 195,0 +va (VaSet +font "Verdana,12,1" +) +xt "44640,20310,46340,21710" +st "L" +ju 0 +blo "45490,21510" +tm "ONodeName" +) +wait (TextAssociate +uid 196,0 +ps "CenterOffsetStrategy" +text (Text +uid 197,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "45240,21210,50340,22610" +st "wait 2" +blo "45240,22410" +tm "SmWaitText" +) +) +encoding (Text +uid 198,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "45490,22110,45490,22110" +blo "45490,22110" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 201,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 202,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "33290,23110,65690,25710" +) +autoResize 1 +tline (Line +uid 203,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "33390,23010,65590,23010" +pts [ +"33390,23010" +"65590,23010" +] +) +bline (Line +uid 204,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "33390,23310,65590,23310" +pts [ +"33390,23310" +"65590,23310" +] +) +ttri (Triangle +uid 205,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "32940,22635,33290,22985" +) +btri (Triangle +uid 206,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "32940,20835,33290,21185" +) +entryActions (MLText +uid 207,0 +va (VaSet +) +xt "33390,22810,33390,22810" +tm "Actions" +) +inActions (MLText +uid 208,0 +va (VaSet +) +xt "33390,23210,65590,25610" +st "sideL_deceleration <= '1' ; +power_deceleration <= ( info_deceleration - Position ) /17;" +tm "Actions" +) +exitActions (MLText +uid 209,0 +va (VaSet +) +xt "45490,21010,45490,21010" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 199,0 +ps "CenterOffsetStrategy" +text (MLText +uid 200,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "43390,22210,50090,23410" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*21 (State +uid 230,0 +shape (Circle +uid 231,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "103346,926,110934,8514" +radius 3794 +) +name (Text +uid 232,0 +va (VaSet +font "Verdana,12,1" +) +xt "104040,4020,110240,5420" +st "stopRaZ" +ju 0 +blo "107140,5220" +tm "ONodeName" +) +wait (TextAssociate +uid 233,0 +ps "CenterOffsetStrategy" +text (Text +uid 234,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "106890,4920,111990,6320" +st "wait 2" +blo "106890,6120" +tm "SmWaitText" +) +) +encoding (Text +uid 235,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "107140,5820,107140,5820" +blo "107140,5820" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 238,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 239,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "100490,6220,121790,10020" +) +autoResize 1 +tline (Line +uid 240,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "100590,6120,121690,6120" +pts [ +"100590,6120" +"121690,6120" +] +) +bline (Line +uid 241,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "100590,7320,121690,7320" +pts [ +"100590,7320" +"121690,7320" +] +) +ttri (Triangle +uid 242,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "100140,5745,100490,6095" +) +btri (Triangle +uid 243,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "100140,4545,100490,4895" +) +entryActions (MLText +uid 244,0 +va (VaSet +) +xt "100590,5920,100590,5920" +tm "Actions" +) +inActions (MLText +uid 245,0 +va (VaSet +) +xt "100590,6320,121690,9920" +st "power_deceleration <= \"00000000\" ; +end_deceleration <= '1' ; +RaZ <= '1' ;" +tm "Actions" +) +exitActions (MLText +uid 246,0 +va (VaSet +) +xt "107140,4720,107140,4720" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 236,0 +ps "CenterOffsetStrategy" +text (MLText +uid 237,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "105040,5920,111740,7120" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*22 (State +uid 311,0 +shape (Circle +uid 312,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "70919,32669,78021,39771" +radius 3551 +) +name (Text +uid 313,0 +va (VaSet +font "Verdana,12,1" +) +xt "72620,35520,76320,36920" +st "stop" +ju 0 +blo "74470,36720" +tm "ONodeName" +) +wait (TextAssociate +uid 314,0 +ps "CenterOffsetStrategy" +text (Text +uid 315,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "74220,36420,79320,37820" +st "wait 2" +blo "74220,37620" +tm "SmWaitText" +) +) +encoding (Text +uid 316,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "74470,37320,74470,37320" +blo "74470,37320" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 319,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 320,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "67670,37720,89270,41520" +) +autoResize 1 +tline (Line +uid 321,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "67770,37620,89170,37620" +pts [ +"67770,37620" +"89170,37620" +] +) +bline (Line +uid 322,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "67770,38820,89170,38820" +pts [ +"67770,38820" +"89170,38820" +] +) +ttri (Triangle +uid 323,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "67320,37245,67670,37595" +) +btri (Triangle +uid 324,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "67320,36045,67670,36395" +) +entryActions (MLText +uid 325,0 +va (VaSet +) +xt "67770,37420,67770,37420" +tm "Actions" +) +inActions (MLText +uid 326,0 +va (VaSet +) +xt "67770,37820,89170,41420" +st "end_deceleration <= '1'; + power_deceleration <= \"00000000\" ; +RaZ <= '0' ;" +tm "Actions" +) +exitActions (MLText +uid 327,0 +va (VaSet +) +xt "74470,36220,74470,36220" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 317,0 +ps "CenterOffsetStrategy" +text (MLText +uid 318,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "72370,37420,79070,38620" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*23 (Transition +uid 104,0 +shape (Spline +uid 105,0 +va (VaSet +vasetType 3 +) +xt "5500,20000,16500,20000" +pts [ +"5500,20000" +"16500,20000" +] +) +start &4 +end &5 +ss 0 +es 0 +cond "rst = '0'" +tb (TransitionBlock +uid 106,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 107,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "8150,18900,13850,21100" +) +autoResize 1 +lineShape (Line +uid 108,0 +va (VaSet +vasetType 3 +) +xt "8650,20500,13350,20500" +pts [ +"8650,20500" +"13350,20500" +] +) +condition (MLText +uid 109,0 +va (VaSet +) +xt "8650,18900,13350,20100" +st "rst = '0'" +tm "Condition" +) +actions (MLText +uid 110,0 +va (VaSet +isHidden 1 +) +xt "6700,20900,15300,22100" +st "< Automatic >" +tm "Actions" +) +) +tp (TransitionPriority +uid 111,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 112,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5537,18937,7663,21063" +radius 1063 +) +pr (Text +uid 113,0 +va (VaSet +isHidden 1 +) +xt "5900,19400,7300,20600" +st "1" +ju 0 +blo "6600,20400" +tm "TransitionPriority" +) +padding "100,100" +) +) +*24 (Transition +uid 114,0 +shape (Spline +uid 115,0 +va (VaSet +vasetType 3 +) +xt "5050,15000,16500,15000" +pts [ +"5050,15000" +"16500,15000" +] +) +start &6 +end &7 +ss 0 +es 0 +tb (TransitionBlock +uid 116,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 117,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "7625,14495,13925,15505" +) +autoResize 1 +lineShape (Line +uid 118,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "10775,16000,10775,16000" +pts [ +"10775,16000" +"10775,16000" +] +) +condition (MLText +uid 119,0 +va (VaSet +) +xt "8125,14400,13425,15600" +tm "Condition" +) +actions (MLText +uid 120,0 +va (VaSet +) +xt "10775,16000,10775,16000" +tm "Actions" +) +) +tp (TransitionPriority +uid 121,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 122,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5132,13937,7258,16063" +radius 1063 +) +pr (Text +uid 123,0 +va (VaSet +isHidden 1 +) +xt "5495,14400,6895,15600" +st "1" +ju 0 +blo "6195,15400" +tm "TransitionPriority" +) +padding "100,100" +) +) +*25 (Transition +uid 210,0 +shape (Spline +uid 211,0 +va (VaSet +vasetType 3 +) +xt "48808,9883,74702,19746" +pts [ +"74702,9883" +"48808,19746" +] +arrow 1 +) +start &2 +end &20 +ss 0 +es 0 +cond "Position <= info_deceleration" +tb (TransitionBlock +uid 212,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 213,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "60355,13505,78155,15705" +) +autoResize 1 +lineShape (Line +uid 214,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "63505,15605,63505,15605" +pts [ +"63505,15605" +"63505,15605" +] +) +condition (MLText +uid 215,0 +va (VaSet +) +xt "60855,14005,77655,15205" +st "Position <= info_deceleration" +tm "Condition" +) +actions (MLText +uid 216,0 +va (VaSet +) +xt "69255,15605,69255,15605" +tm "Actions" +) +) +tp (TransitionPriority +uid 217,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 218,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "71050,9806,73176,11932" +radius 1063 +) +pr (Text +uid 219,0 +va (VaSet +) +xt "71413,10269,72813,11469" +st "2" +ju 0 +blo "72113,11269" +tm "TransitionPriority" +) +padding "100,100" +) +) +*26 (Transition +uid 220,0 +shape (Spline +uid 221,0 +va (VaSet +vasetType 3 +) +xt "81291,10001,101529,18549" +pts [ +"81291,10001" +"101529,18549" +] +arrow 1 +) +start &2 +end &19 +ss 0 +es 0 +cond "Position > info_deceleration" +tb (TransitionBlock +uid 222,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 223,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "90910,13775,108010,15975" +) +autoResize 1 +lineShape (Line +uid 224,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "94060,15875,94060,15875" +pts [ +"94060,15875" +"94060,15875" +] +) +condition (MLText +uid 225,0 +va (VaSet +) +xt "91410,14275,107510,15475" +st "Position > info_deceleration" +tm "Condition" +) +actions (MLText +uid 226,0 +va (VaSet +) +xt "99460,15875,99460,15875" +tm "Actions" +) +) +tp (TransitionPriority +uid 227,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 228,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "82250,9792,84376,11918" +radius 1063 +) +pr (Text +uid 229,0 +va (VaSet +) +xt "82613,10255,84013,11455" +st "3" +ju 0 +blo "83313,11255" +tm "TransitionPriority" +) +padding "100,100" +) +) +*27 (Transition +uid 247,0 +shape (Spline +uid 248,0 +va (VaSet +vasetType 3 +) +xt "79026,5215,103380,5223" +pts [ +"79026,5215" +"103380,5223" +] +arrow 1 +) +start &2 +end &21 +es 0 +cond "skip_deceleration = '1'" +tb (TransitionBlock +uid 249,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 250,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "85303,4269,99903,6469" +) +autoResize 1 +lineShape (Line +uid 251,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "88453,6369,88453,6369" +pts [ +"88453,6369" +"88453,6369" +] +) +condition (MLText +uid 252,0 +va (VaSet +) +xt "85803,4769,99403,5969" +st "skip_deceleration = '1'" +tm "Condition" +) +actions (MLText +uid 253,0 +va (VaSet +) +xt "92603,6369,92603,6369" +tm "Actions" +) +) +tp (TransitionPriority +uid 254,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 255,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "80398,4152,82524,6278" +radius 1063 +) +pr (Text +uid 256,0 +va (VaSet +) +xt "80761,4615,82161,5815" +st "1" +ju 0 +blo "81461,5615" +tm "TransitionPriority" +) +padding "100,100" +) +) +*28 (Transition +uid 328,0 +shape (Spline +uid 329,0 +va (VaSet +vasetType 3 +) +xt "47865,23649,71260,34703" +pts [ +"47865,23649" +"71260,34703" +] +arrow 1 +) +start &20 +end &22 +es 0 +cond "Position >= info_deceleration" +tb (TransitionBlock +uid 330,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 331,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "59063,28676,76863,30876" +) +autoResize 1 +lineShape (Line +uid 332,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "62213,30776,62213,30776" +pts [ +"62213,30776" +"62213,30776" +] +) +condition (MLText +uid 333,0 +va (VaSet +) +xt "59563,29176,76363,30376" +st "Position >= info_deceleration" +tm "Condition" +) +actions (MLText +uid 334,0 +va (VaSet +) +xt "67963,30776,67963,30776" +tm "Actions" +) +) +tp (TransitionPriority +uid 335,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 336,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "49141,23691,51267,25817" +radius 1063 +) +pr (Text +uid 337,0 +va (VaSet +isHidden 1 +) +xt "49504,24154,50904,25354" +st "1" +ju 0 +blo "50204,25154" +tm "TransitionPriority" +) +padding "100,100" +) +) +*29 (Transition +uid 338,0 +shape (Spline +uid 339,0 +va (VaSet +vasetType 3 +) +xt "77707,23193,103402,34763" +pts [ +"103402,23193" +"77707,34763" +] +arrow 1 +) +start &19 +end &22 +es 0 +cond "Position <= info_deceleration" +tb (TransitionBlock +uid 340,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 341,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "90054,28478,107854,30678" +) +autoResize 1 +lineShape (Line +uid 342,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "93204,30578,93204,30578" +pts [ +"93204,30578" +"93204,30578" +] +) +condition (MLText +uid 343,0 +va (VaSet +) +xt "90554,28978,107354,30178" +st "Position <= info_deceleration" +tm "Condition" +) +actions (MLText +uid 344,0 +va (VaSet +) +xt "98954,30578,98954,30578" +tm "Actions" +) +) +tp (TransitionPriority +uid 345,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 346,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "99770,23286,101896,25412" +radius 1063 +) +pr (Text +uid 347,0 +va (VaSet +isHidden 1 +) +xt "100133,23749,101533,24949" +st "1" +ju 0 +blo "100833,24749" +tm "TransitionPriority" +) +padding "100,100" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 0 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *30 (PackageList +uid 31,0 +stg "VerticalLayoutStrategy" +textVec [ +*31 (Text +uid 32,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,3000,7600,4200" +st "Package List" +blo "0,4000" +) +*32 (MLText +uid 33,0 +va (VaSet +) +xt "0,4200,17500,7800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "SmPackageListTextMgr" +) +] +) +compDirBlock (MlTextGroup +uid 34,0 +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 35,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*34 (Text +uid 36,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*35 (MLText +uid 37,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "SmCompilerDirectivesTextMgr" +) +*36 (Text +uid 38,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*37 (MLText +uid 39,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "SmCompilerDirectivesTextMgr" +) +*38 (Text +uid 40,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*39 (MLText +uid 41,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "SmCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1722,1111" +viewArea "-500,-10490,134680,78700" +cachedDiagramExtent "0,-1000,125000,47000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-2000" +isTopLevel 1 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +localDecl *40 (SmLocalDecl +uid 3,0 +stg "VerticalLayoutStrategy" +textVec [ +*41 (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,-1000,42400,200" +st "Architecture Declarations" +blo "27800,0" +) +*42 (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*43 (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,200,33000,1400" +st "Pre Decls" +blo "27800,1200" +) +*44 (MLText +uid 7,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*45 (Text +uid 8,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,1400,34200,2600" +st "Post Decls" +blo "27800,2400" +) +*46 (MLText +uid 9,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,2600,27800,2600" +tm "LocalDeclTextMgr" +) +] +) +processDecl *47 (SmProcessDecl +uid 10,0 +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,-1000,86600,200" +st "Process Declarations" +blo "74500,0" +) +*49 (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,200,84000,1400" +st "Clocked Process:" +blo "74500,1200" +) +*50 (MLText +uid 13,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,-1000,74500,-1000" +tm "ProcessDeclTextMgr" +) +*51 (Text +uid 14,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,1400,83800,2600" +st "Output Process:" +blo "74500,2400" +) +*52 (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,2600,74500,2600" +tm "ProcessDeclTextMgr" +) +] +associable 1 +) +defaultActions *53 (MlTextGroup +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-1000,8400,200" +st "Global Actions" +blo "0,0" +) +*55 (Text +uid 18,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,200,7400,1400" +st "Pre Actions:" +blo "0,1200" +) +*56 (MLText +uid 19,0 +va (VaSet +) +xt "0,-1000,0,-1000" +tm "Actions" +) +*57 (Text +uid 20,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,1400,8000,2600" +st "Post Actions:" +blo "0,2400" +) +*58 (MLText +uid 21,0 +va (VaSet +) +xt "0,2600,0,2600" +tm "Actions" +) +] +associable 1 +) +archConcurrentStatementBlock *59 (BiTextGroup +uid 22,0 +stg "VerticalLayoutStrategy" +first (Text +uid 23,0 +va (VaSet +font "Verdana,9,1" +) +xt "11400,-1000,24800,200" +st "Concurrent Statements" +blo "11400,0" +) +second (MLText +uid 24,0 +va (VaSet +) +xt "11400,200,11400,200" +tm "ArchConcStmtTextMgr" +) +associable 1 +) +signalsGenStatus *60 (SmSignalGenStatus +uid 28,0 +stg "VerticalLayoutStrategy" +first (Text +uid 29,0 +va (VaSet +font "Verdana,9,1" +) +xt "45400,-1000,53200,200" +st "Signal Status" +blo "45400,0" +) +second (MLText +uid 30,0 +va (VaSet +font "Courier New,8,0" +) +xt "45400,200,77400,4200" +st "SIGNAL MODE DEFAULT RESET SCHEME COMMENT +RaZ OUT COMB +end_deceleration OUT COMB +power_deceleration OUT COMB +sideL_deceleration OUT COMB +" +tm "SmSignalsGenStatusTextMgr" +) +) +stateRegBlock *61 (BiTextGroup +uid 25,0 +stg "VerticalLayoutStrategy" +first (Text +uid 26,0 +va (VaSet +font "Verdana,9,1" +) +xt "56200,-1000,71500,200" +st "State Register Statements" +blo "56200,0" +) +second (MLText +uid 27,0 +va (VaSet +) +xt "56200,200,56200,200" +tm "Actions" +) +associable 1 +) +) +genChar (SmGenChar +uid 42,0 +nextStateClocking 0 +) +encoding (Encoding +scheme 3 +encodingStyles [ +(pair +scheme 0 +style 0 +) +(pair +scheme 1 +style 1 +) +(pair +scheme 2 +style 0 +) +(pair +scheme 3 +style 0 +) +(pair +scheme 4 +style 0 +) +(pair +scheme 5 +style 0 +) +] +otherValues [ +(pair +scheme 0 +otherValue "" +) +(pair +scheme 1 +otherValue "" +) +(pair +scheme 2 +otherValue "" +) +(pair +scheme 3 +otherValue "" +) +(pair +scheme 4 +otherValue "" +) +(pair +scheme 5 +otherValue "" +) +] +attribute 0 +synSafe 0 +outputEncodedLocals 0 +useVerilogParameterRange 0 +radix 2 +) +stateOrder [ +&2 +&19 +&20 +&21 +&22 +] +name "csm" +) +] +lastUid 347,0 +commonDM (CommonDM +ldm (LogicalDM +emptyRow *62 (LEmptyRow +) +optionalChildren [ +*63 (RefLabelRowHdr +) +*64 (TitleRowHdr +) +*65 (FilterRowHdr +) +*66 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*67 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*68 (GroupColHdr +tm "GroupColHdrMgr" +) +*69 (NameColHdr +tm "SmNameColHdrMgr" +) +*70 (ModeColHdr +tm "SmModeColHdrMgr" +) +*71 (TypeColHdr +tm "SmTypeColHdrMgr" +) +*72 (BoundsColHdr +tm "SmBoundsColHdrMgr" +) +*73 (InitColHdr +tm "SmInitColHdrMgr" +) +*74 (ColumnHdr +tm "SmCategoryColHdrMgr" +) +*75 (ColumnHdr +tm "SmAssignColHdrMgr" +) +*76 (ColumnHdr +tm "SmExprColHdrMgr" +) +*77 (ColumnHdr +tm "SmSchemeColHdrMgr" +) +*78 (ColumnHdr +tm "SmDefValColHdrMgr" +) +*79 (ColumnHdr +tm "SmRstValColHdrMgr" +) +*80 (EolColHdr +tm "SmEolColHdrMgr" +) +*81 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 1 +) +) +uid 156,0 +) +*82 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "info_deceleration" +t "unsigned" +b "(15 DOWNTO 0)" +o 2 +) +) +uid 158,0 +) +*83 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "skip_deceleration" +t "std_uLogic" +o 3 +) +) +uid 160,0 +) +*84 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "unlock" +t "std_ulogic" +o 4 +) +) +uid 162,0 +) +*85 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "RaZ" +t "std_ulogic" +o 5 +) +) +uid 164,0 +scheme 0 +) +*86 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "end_deceleration" +t "std_ulogic" +o 6 +) +) +uid 166,0 +scheme 0 +) +*87 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "power_deceleration" +t "unsigned" +b "(7 DOWNTO 0)" +o 7 +) +) +uid 168,0 +scheme 0 +) +*88 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "sideL_deceleration" +t "std_ulogic" +o 8 +) +) +uid 170,0 +scheme 0 +) +*89 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "unsigned" +o 9 +) +) +uid 172,0 +cat 1 +scheme 0 +expr "clk'EVENT AND clk = '1'" +) +*90 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "rst" +t "unsigned" +o 10 +) +) +uid 174,0 +cat 8 +scheme 0 +expr "rst = '0'" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*91 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *92 (MRCItem +litem &62 +pos 10 +dimension 20 +) +optionalChildren [ +*93 (MRCItem +litem &63 +pos 0 +dimension 20 +) +*94 (MRCItem +litem &64 +pos 1 +dimension 23 +) +*95 (MRCItem +litem &65 +pos 2 +hidden 1 +dimension 20 +) +*96 (MRCItem +litem &81 +pos 0 +dimension 20 +uid 157,0 +) +*97 (MRCItem +litem &82 +pos 1 +dimension 20 +uid 159,0 +) +*98 (MRCItem +litem &83 +pos 2 +dimension 20 +uid 161,0 +) +*99 (MRCItem +litem &84 +pos 3 +dimension 20 +uid 163,0 +) +*100 (MRCItem +litem &85 +pos 4 +dimension 20 +uid 165,0 +) +*101 (MRCItem +litem &86 +pos 5 +dimension 20 +uid 167,0 +) +*102 (MRCItem +litem &87 +pos 6 +dimension 20 +uid 169,0 +) +*103 (MRCItem +litem &88 +pos 7 +dimension 20 +uid 171,0 +) +*104 (MRCItem +litem &89 +pos 8 +dimension 20 +uid 173,0 +) +*105 (MRCItem +litem &90 +pos 9 +dimension 20 +uid 175,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +optionalChildren [ +*106 (MRCItem +litem &66 +pos 0 +dimension 20 +) +*107 (MRCItem +litem &68 +pos 1 +dimension 50 +) +*108 (MRCItem +litem &69 +pos 2 +dimension 70 +) +*109 (MRCItem +litem &70 +pos 3 +dimension 50 +) +*110 (MRCItem +litem &71 +pos 4 +dimension 80 +) +*111 (MRCItem +litem &72 +pos 5 +dimension 80 +) +*112 (MRCItem +litem &73 +pos 6 +dimension 40 +) +*113 (MRCItem +litem &74 +pos 7 +dimension 100 +) +*114 (MRCItem +litem &75 +pos 8 +dimension 60 +) +*115 (MRCItem +litem &76 +pos 9 +dimension 130 +) +*116 (MRCItem +litem &77 +pos 10 +dimension 56 +) +*117 (MRCItem +litem &78 +pos 11 +dimension 50 +) +*118 (MRCItem +litem &79 +pos 12 +dimension 50 +) +*119 (MRCItem +litem &80 +pos 13 +dimension 80 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +) +cdmCsm &1 +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *120 (LEmptyRow +) +optionalChildren [ +*121 (RefLabelRowHdr +) +*122 (TitleRowHdr +) +*123 (FilterRowHdr +) +*124 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*125 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*126 (GroupColHdr +tm "GroupColHdrMgr" +) +*127 (NameColHdr +tm "GenericNameColHdrMgr" +) +*128 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*129 (InitColHdr +tm "GenericValueColHdrMgr" +) +*130 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*131 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*132 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *133 (MRCItem +litem &120 +pos 0 +dimension 20 +) +optionalChildren [ +*134 (MRCItem +litem &121 +pos 0 +dimension 20 +) +*135 (MRCItem +litem &122 +pos 1 +dimension 23 +) +*136 (MRCItem +litem &123 +pos 2 +hidden 1 +dimension 20 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +optionalChildren [ +*137 (MRCItem +litem &124 +pos 0 +dimension 20 +) +*138 (MRCItem +litem &126 +pos 1 +dimension 50 +) +*139 (MRCItem +litem &127 +pos 2 +dimension 100 +) +*140 (MRCItem +litem &128 +pos 3 +dimension 100 +) +*141 (MRCItem +litem &129 +pos 4 +dimension 50 +) +*142 (MRCItem +litem &130 +pos 5 +dimension 50 +) +*143 (MRCItem +litem &131 +pos 6 +dimension 80 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +type 1 +) +signalSuffix "_int" +clockSuffix "_cld" +defaultState (State +shape (Circle +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-3551,-3551,3551,3551" +radius 3551 +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3900,3300,4100,3500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +entryActions (MLText +va (VaSet +) +tm "Actions" +) +inActions (MLText +va (VaSet +) +tm "Actions" +) +exitActions (MLText +va (VaSet +) +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultWaitState (State +shape (CircleInOctagon +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +xt "-529,-529,6529,6529" +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8900,6300,9100,6500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +entryActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +inActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +exitActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +isWait 1 +) +defaultCompositeState (CompositeState +shape (TripleCircle +va (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "Verdana,10,1" +) +xt "-1000,-600,1000,600" +st "s0" +ju 0 +blo "0,400" +tm "ONodeName" +) +childDiagram &0 +) +defaultJunction (Junction +shape (Diamond +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "-1300,-1300,2300,2300" +) +symbol (Text +va (VaSet +font "Verdana,10,1" +) +xt "-300,-100,1300,1100" +st "&" +ju 0 +blo "500,900" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2000,1000,2000,1000" +blo "2000,1000" +tm "JunctionName" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2550,2000,4150,3200" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultEntryPoint (EntryPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +] +) +) +defaultInterruptPoint (InterruptPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +(CustomPolygon +pts [ +"-625,1600" +"-625,1300" +"25,1425" +"-75,1150" +"1025,1350" +"200,1350" +"375,1600" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "-625,1150,1025,1600" +) +] +) +) +defaultLink (Link +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2375,875,5075,2075" +st "Link" +blo "2375,1875" +tm "LinkName" +) +) +) +defaultExitPoint (ExitPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +) +defaultTransition (Transition +shape (Spline +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +arrow 1 +) +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-500,-500,5800,1700" +) +autoResize 1 +lineShape (Line +va (VaSet +vasetType 3 +isHidden 1 +) +xt "2650,1600,2650,1600" +pts [ +"2650,1600" +"2650,1600" +] +) +condition (MLText +va (VaSet +) +xt "0,0,5300,1200" +st "condition" +tm "Condition" +) +actions (MLText +va (VaSet +) +xt "2650,2000,2650,2000" +tm "Actions" +) +) +tp (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-1063,-1063,1063,1063" +radius 1063 +) +pr (Text +va (VaSet +) +xt "-700,-600,700,600" +st "1" +ju 0 +blo "0,400" +tm "TransitionPriority" +) +padding "100,100" +) +) +defaultClk (SmClockPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"275,1425" +"574,1425" +"574,825" +"874,825" +] +) +(Arc2D +pts [ +"-116,1278" +"-371,972" +"-116,972" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-371,972,-116,1278" +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-3325,625,-1125,1825" +st "clk" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultEnable (SmEnablePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Arc2D +pts [ +"-130,1263" +"-415,1064" +"-76,1064" +] +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-415,1064,-76,1263" +) +(Line +sl 0 +ro 270 +xt "-415,1064,-106,1064" +pts [ +"-415,1064" +"-106,1064" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-5125,625,-1125,1825" +st "enable" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultRst (SmResetPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-276,1000" +pts [ +"-376,1000" +"-276,950" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-376,1300" +pts [ +"-376,1300" +"-376,950" +] +) +(Circle +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "424,975,724,1275" +radius 150 +) +] +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-625,-1175,2675,225" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "-525,-1075,2575,125" +st "cond" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "1625,62,3751,2188" +radius 1063 +) +pr (Text +va (VaSet +) +xt "1988,525,3388,1725" +st "1" +ju 0 +blo "2688,1525" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-2725,525,-625,1725" +st "rst" +ju 2 +blo "-625,1525" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +) +xt "4750,2625,13350,3825" +st "< Automatic >" +tm "Actions" +) +) +) +defaultRecStatePt (SmRecoveryStatePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +sl 0 +xt "-900,-900,900,900" +radius 900 +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"-426,426" +"426,-426" +] +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"426,426" +"-426,-426" +] +) +] +) +) +activeModelName "StateMachine" +LanguageMgr "Vhdl2008LangMgr" +) diff --git a/Cursor/hds/process_deceleration/interface b/Cursor/hds/process_deceleration/interface new file mode 100644 index 0000000..26b4d66 --- /dev/null +++ b/Cursor/hds/process_deceleration/interface @@ -0,0 +1,1908 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 30,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 109,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "unsigned" +o 2 +suid 21,0 +) +) +uid 344,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "end_deceleration" +t "std_ulogic" +o 8 +suid 22,0 +) +) +uid 346,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "info_deceleration" +t "unsigned" +b "(15 DOWNTO 0)" +o 3 +suid 23,0 +) +) +uid 348,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 1 +suid 24,0 +) +) +uid 350,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "power_deceleration" +t "unsigned" +b "(7 DOWNTO 0)" +o 9 +suid 25,0 +) +) +uid 352,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "RaZ" +t "std_ulogic" +o 7 +suid 26,0 +) +) +uid 354,0 +) +*20 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "rst" +t "unsigned" +o 4 +suid 27,0 +) +) +uid 356,0 +) +*21 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "sideL_deceleration" +t "std_ulogic" +o 10 +suid 28,0 +) +) +uid 358,0 +) +*22 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "skip_deceleration" +t "std_uLogic" +o 5 +suid 29,0 +) +) +uid 360,0 +) +*23 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "unlock" +t "std_ulogic" +o 6 +suid 30,0 +) +) +uid 362,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*24 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *25 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 124,0 +optionalChildren [ +*26 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 125,0 +) +*27 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 126,0 +) +*28 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*29 (MRCItem +litem &14 +pos 8 +dimension 20 +uid 345,0 +) +*30 (MRCItem +litem &15 +pos 5 +dimension 20 +uid 347,0 +) +*31 (MRCItem +litem &16 +pos 1 +dimension 20 +uid 349,0 +) +*32 (MRCItem +litem &17 +pos 0 +dimension 20 +uid 351,0 +) +*33 (MRCItem +litem &18 +pos 6 +dimension 20 +uid 353,0 +) +*34 (MRCItem +litem &19 +pos 4 +dimension 20 +uid 355,0 +) +*35 (MRCItem +litem &20 +pos 9 +dimension 20 +uid 357,0 +) +*36 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 359,0 +) +*37 (MRCItem +litem &22 +pos 2 +dimension 20 +uid 361,0 +) +*38 (MRCItem +litem &23 +pos 3 +dimension 20 +uid 363,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*39 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 129,0 +) +*40 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 130,0 +) +*41 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 131,0 +) +*42 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 132,0 +) +*43 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 133,0 +) +*44 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 134,0 +) +*45 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 135,0 +) +*46 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 136,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 108,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *47 (LEmptyRow +) +uid 138,0 +optionalChildren [ +*48 (RefLabelRowHdr +) +*49 (TitleRowHdr +) +*50 (FilterRowHdr +) +*51 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*52 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*53 (GroupColHdr +tm "GroupColHdrMgr" +) +*54 (NameColHdr +tm "GenericNameColHdrMgr" +) +*55 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*56 (InitColHdr +tm "GenericValueColHdrMgr" +) +*57 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*58 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 150,0 +optionalChildren [ +*59 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *60 (MRCItem +litem &47 +pos 3 +dimension 20 +) +uid 152,0 +optionalChildren [ +*61 (MRCItem +litem &48 +pos 0 +dimension 20 +uid 153,0 +) +*62 (MRCItem +litem &49 +pos 1 +dimension 23 +uid 154,0 +) +*63 (MRCItem +litem &50 +pos 2 +hidden 1 +dimension 20 +uid 155,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 156,0 +optionalChildren [ +*64 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 157,0 +) +*65 (MRCItem +litem &53 +pos 1 +dimension 50 +uid 158,0 +) +*66 (MRCItem +litem &54 +pos 2 +dimension 100 +uid 159,0 +) +*67 (MRCItem +litem &55 +pos 3 +dimension 100 +uid 160,0 +) +*68 (MRCItem +litem &56 +pos 4 +dimension 50 +uid 161,0 +) +*69 (MRCItem +litem &57 +pos 5 +dimension 50 +uid 162,0 +) +*70 (MRCItem +litem &58 +pos 6 +dimension 80 +uid 163,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 151,0 +vaOverrides [ +] +) +] +) +uid 137,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\process_deceleration\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\process_deceleration\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\process_deceleration" +) +(vvPair +variable "d_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\process_deceleration" +) +(vvPair +variable "date" +value "14.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "entity_name" +value "process_deceleration" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "Simon" +) +(vvPair +variable "graphical_source_date" +value "14.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "PC-SDM" +) +(vvPair +variable "graphical_source_time" +value "15:07:06" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "PC-SDM" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "process_deceleration" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\process_deceleration\\interface" +) +(vvPair +variable "p_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\process_deceleration\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "15:07:06" +) +(vvPair +variable "unit" +value "process_deceleration" +) +(vvPair +variable "user" +value "Simon" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 107,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 294,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 295,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,6625,15000,7375" +) +tg (CPTG +uid 296,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 297,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,6300,18400,7700" +st "clk" +blo "16000,7500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 298,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,65000,4000" +st "clk : IN unsigned ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk" +t "unsigned" +o 2 +suid 21,0 +) +) +) +*73 (CptPort +uid 299,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 300,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33625,15000,34375,15750" +) +tg (CPTG +uid 301,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 302,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "33300,1400,34700,14000" +st "end_deceleration" +blo "34500,14000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 303,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8000,66500,8800" +st "end_deceleration : OUT std_ulogic ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "end_deceleration" +t "std_ulogic" +o 8 +suid 22,0 +) +) +) +*74 (CptPort +uid 304,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 305,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "20625,5250,21375,6000" +) +tg (CPTG +uid 306,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 307,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "20300,7000,21700,19500" +st "info_deceleration" +ju 2 +blo "21500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 308,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,72000,4800" +st "info_deceleration : IN unsigned (15 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "info_deceleration" +t "unsigned" +b "(15 DOWNTO 0)" +o 3 +suid 23,0 +) +) +) +*75 (CptPort +uid 309,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 310,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "18625,5250,19375,6000" +) +tg (CPTG +uid 311,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 312,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "18300,7000,19700,12800" +st "Position" +ju 2 +blo "19500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 313,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,72000,3200" +st "Position : IN unsigned (15 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 1 +suid 24,0 +) +) +) +*76 (CptPort +uid 314,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 315,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31625,15000,32375,15750" +) +tg (CPTG +uid 316,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 317,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "31300,-200,32700,14000" +st "power_deceleration" +blo "32500,14000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 318,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8800,71500,9600" +st "power_deceleration : OUT unsigned (7 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "power_deceleration" +t "unsigned" +b "(7 DOWNTO 0)" +o 9 +suid 25,0 +) +) +) +*77 (CptPort +uid 319,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 320,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36000,8625,36750,9375" +) +tg (CPTG +uid 321,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 322,0 +va (VaSet +font "Verdana,12,0" +) +xt "31800,8300,35000,9700" +st "RaZ" +ju 2 +blo "35000,9500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 323,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7200,66500,8000" +st "RaZ : OUT std_ulogic ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "RaZ" +t "std_ulogic" +o 7 +suid 26,0 +) +) +) +*78 (CptPort +uid 324,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 325,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,7625,15000,8375" +) +tg (CPTG +uid 326,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 327,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,7300,18500,8700" +st "rst" +blo "16000,8500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 328,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,65000,5600" +st "rst : IN unsigned ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "rst" +t "unsigned" +o 4 +suid 27,0 +) +) +) +*79 (CptPort +uid 329,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 330,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29625,15000,30375,15750" +) +tg (CPTG +uid 331,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 332,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "29300,500,30700,14000" +st "sideL_deceleration" +blo "30500,14000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 333,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9600,65000,10400" +st "sideL_deceleration : OUT std_ulogic " +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "sideL_deceleration" +t "std_ulogic" +o 10 +suid 28,0 +) +) +) +*80 (CptPort +uid 334,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 335,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23625,5250,24375,6000" +) +tg (CPTG +uid 336,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 337,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "23300,7000,24700,19700" +st "skip_deceleration" +ju 2 +blo "24500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 338,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,66500,6400" +st "skip_deceleration : IN std_uLogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "skip_deceleration" +t "std_uLogic" +o 5 +suid 29,0 +) +) +) +*81 (CptPort +uid 339,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 340,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36000,11625,36750,12375" +) +tg (CPTG +uid 341,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 342,0 +va (VaSet +font "Verdana,12,0" +) +xt "30200,11300,35000,12700" +st "unlock" +ju 2 +blo "35000,12500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 343,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,66500,7200" +st "unlock : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "unlock" +t "std_ulogic" +o 6 +suid 30,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,36000,15000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "19350,9300,23050,10500" +st "Cursor" +blo "19350,10300" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "19350,10500,31650,11700" +st "process_deceleration" +blo "19350,11500" +) +) +gi *82 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "-4000,6500,7500,7300" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*83 (Grouping +uid 16,0 +optionalChildren [ +*84 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,46000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*92 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*93 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,50300,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *94 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*95 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*96 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor" +entityName "Main" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *97 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *98 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,10400,45200,11600" +st "User:" +blo "42000,11400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,11600,44000,11600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 409,0 +activeModelName "Symbol:CDM" +) diff --git a/Cursor/hds/selector_acceleration/fsm.sm b/Cursor/hds/selector_acceleration/fsm.sm new file mode 100644 index 0000000..e8ede15 --- /dev/null +++ b/Cursor/hds/selector_acceleration/fsm.sm @@ -0,0 +1,5077 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +machine (Machine +name "csm" +children [ +(Machine +name "csm" +children [ +] +stateSignalName "current_state" +) +] +) +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (StateMachine +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_acceleration\\fsm.sm.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_acceleration\\fsm.sm.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "fsm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_acceleration" +) +(vvPair +variable "d_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_acceleration" +) +(vvPair +variable "date" +value "14.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "entity_name" +value "selector_acceleration" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "fsm.sm" +) +(vvPair +variable "f_logical" +value "fsm.sm" +) +(vvPair +variable "f_noext" +value "fsm" +) +(vvPair +variable "graphical_source_author" +value "Simon" +) +(vvPair +variable "graphical_source_date" +value "14.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "PC-SDM" +) +(vvPair +variable "graphical_source_time" +value "14:16:14" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "PC-SDM" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "selector_acceleration" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_acceleration\\fsm.sm" +) +(vvPair +variable "p_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_acceleration\\fsm.sm" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sm" +) +(vvPair +variable "this_file" +value "fsm" +) +(vvPair +variable "this_file_logical" +value "fsm" +) +(vvPair +variable "time" +value "14:16:14" +) +(vvPair +variable "unit" +value "selector_acceleration" +) +(vvPair +variable "user" +value "Simon" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "fsm" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +uid 290,0 +optionalChildren [ +*1 (ConcurrentSM +uid 1,0 +topDiagram (StateDiagram +LanguageMgr "None" +uid 2,0 +optionalChildren [ +*2 (State +uid 43,0 +shape (Circle +uid 44,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "45438,4178,52540,11280" +radius 3551 +) +name (Text +uid 45,0 +va (VaSet +font "Verdana,12,1" +) +xt "47489,7029,50489,8429" +st "init" +ju 0 +blo "48989,8229" +tm "ONodeName" +) +wait (TextAssociate +uid 46,0 +ps "CenterOffsetStrategy" +text (Text +uid 47,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "48739,7929,53839,9329" +st "wait 2" +blo "48739,9129" +tm "SmWaitText" +) +) +encoding (Text +uid 48,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "48989,8829,48989,8829" +blo "48989,8829" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 51,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 52,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "52889,11029,53089,11229" +) +autoResize 1 +tline (Line +uid 53,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "48989,7729,48989,7729" +pts [ +"48989,7729" +"48989,7729" +] +) +bline (Line +uid 54,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "48989,7729,48989,7729" +pts [ +"48989,7729" +"48989,7729" +] +) +ttri (Triangle +uid 55,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "48539,7554,48889,7904" +) +btri (Triangle +uid 56,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "48539,7554,48889,7904" +) +entryActions (MLText +uid 57,0 +va (VaSet +) +xt "48989,7729,48989,7729" +tm "Actions" +) +inActions (MLText +uid 58,0 +va (VaSet +) +xt "48989,7729,48989,7729" +tm "Actions" +) +exitActions (MLText +uid 59,0 +va (VaSet +) +xt "48989,7729,48989,7729" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 49,0 +ps "CenterOffsetStrategy" +text (MLText +uid 50,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "46889,8929,53589,10129" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*3 (SmClockPoint +uid 60,0 +shape (CompositeShape +uid 61,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 62,0 +sl 0 +ro 270 +xt "3250,10500,5500,11500" +) +(OrthoPolyLine +uid 63,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,10700,4749,11300" +pts [ +"4150,11300" +"4449,11300" +"4449,10700" +"4749,10700" +] +) +(Arc2D +pts [ +"3759,11153" +"3504,10847" +"3759,10847" +] +uid 64,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "3431,10800,3759,11199" +) +] +) +name (TextAssociate +uid 65,0 +ps "CenterOffsetStrategy" +text (Text +uid 66,0 +va (VaSet +) +xt "550,10500,2750,11700" +st "clk" +ju 2 +blo "2750,11500" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +uid 67,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 68,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "5500,10300,20400,11700" +) +autoResize 1 +cond (MLText +uid 69,0 +va (VaSet +) +xt "5600,10400,20300,11600" +st "clk'EVENT AND clk = '1'" +tm "SmControlConditionMgr" +) +) +) +*4 (SmResetPoint +uid 70,0 +shape (CompositeShape +uid 71,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 72,0 +sl 0 +ro 270 +xt "3250,19500,5500,20500" +) +(OrthoPolyLine +uid 73,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,19700,4749,20300" +pts [ +"4749,20300" +"4449,20300" +"4449,19700" +"4150,19700" +] +) +(Line +uid 74,0 +sl 0 +ro 270 +xt "3499,19825,3599,19875" +pts [ +"3499,19875" +"3599,19825" +] +) +(Line +uid 75,0 +sl 0 +ro 270 +xt "3499,19825,3499,20175" +pts [ +"3499,20175" +"3499,19825" +] +) +(Circle +uid 76,0 +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "4299,19850,4599,20150" +radius 150 +) +] +) +cond (SmControlCondition +uid 82,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 83,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "3250,17700,8150,19100" +) +autoResize 1 +cond (MLText +uid 84,0 +va (VaSet +isHidden 1 +) +xt "3350,17800,8050,19000" +st "rst = '0'" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +uid 79,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 80,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5500,18937,7626,21063" +radius 1063 +) +pr (Text +uid 81,0 +va (VaSet +isHidden 1 +) +xt "5863,19400,7263,20600" +st "1" +ju 0 +blo "6563,20400" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +uid 77,0 +ps "CenterOffsetStrategy" +text (Text +uid 78,0 +va (VaSet +) +xt "1150,19400,3250,20600" +st "rst" +ju 2 +blo "3250,20400" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +uid 85,0 +ps "CenterOffsetStrategy" +text (MLText +uid 86,0 +va (VaSet +isHidden 1 +) +xt "11125,24125,19725,25325" +st "< Automatic >" +tm "Actions" +) +) +) +*5 (Link +uid 87,0 +shape (CompositeShape +uid 88,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 89,0 +sl 0 +ro 270 +xt "17000,19500,19250,20500" +) +(Line +uid 90,0 +sl 0 +ro 270 +xt "16500,20000,17000,20000" +pts [ +"16500,20000" +"17000,20000" +] +) +] +) +name (TextAssociate +uid 91,0 +ps "CenterOffsetStrategy" +text (Text +uid 92,0 +va (VaSet +font "Verdana,9,1" +) +xt "19750,19500,22050,20700" +st "init" +blo "19750,20500" +tm "LinkName" +) +) +) +*6 (SmRecoveryStatePoint +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +uid 95,0 +sl 0 +xt "3250,14100,5050,15900" +radius 900 +) +(Line +uid 96,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"3724,15426" +"4576,14574" +] +) +(Line +uid 97,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"4576,15426" +"3724,14574" +] +) +] +) +) +*7 (Link +uid 98,0 +shape (CompositeShape +uid 99,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 100,0 +sl 0 +ro 270 +xt "17000,14500,19250,15500" +) +(Line +uid 101,0 +sl 0 +ro 270 +xt "16500,15000,17000,15000" +pts [ +"16500,15000" +"17000,15000" +] +) +] +) +name (TextAssociate +uid 102,0 +ps "CenterOffsetStrategy" +text (Text +uid 103,0 +va (VaSet +font "Verdana,9,1" +) +xt "19750,14500,22050,15700" +st "init" +blo "19750,15500" +tm "LinkName" +) +) +) +*8 (Grouping +uid 124,0 +optionalChildren [ +*9 (CommentText +uid 126,0 +shape (Rectangle +uid 127,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 128,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46000,47000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 129,0 +shape (Rectangle +uid 130,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "53000,42000,57000,43000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 131,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,42000,56200,43000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 132,0 +shape (Rectangle +uid 133,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 134,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,44000,46200,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*12 (CommentText +uid 135,0 +shape (Rectangle +uid 136,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 137,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,44000,34300,45000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*13 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "53000,43000,73000,47000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 140,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,43200,62600,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*14 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "57000,42000,73000,43000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 143,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,42000,58800,43000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*15 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "32000,42000,53000,44000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 146,0 +va (VaSet +isHidden 1 +fg "32768,0,0" +) +xt "37350,42400,47650,43600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*16 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 149,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,45000,34300,46000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*17 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 152,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34900,47000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*18 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 155,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,45000,48700,46000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 125,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,42000,73000,47000" +) +oxt "14000,66000,55000,71000" +) +*19 (State +uid 168,0 +shape (Circle +uid 169,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "32562,19633,40914,27985" +radius 4176 +) +name (Text +uid 170,0 +va (VaSet +font "Verdana,12,1" +) +xt "33238,23109,40238,24509" +st "position1" +ju 0 +blo "36738,24309" +tm "ONodeName" +) +wait (TextAssociate +uid 171,0 +ps "CenterOffsetStrategy" +text (Text +uid 172,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "36488,24009,41588,25409" +st "wait 2" +blo "36488,25209" +tm "SmWaitText" +) +) +encoding (Text +uid 173,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "36738,24909,36738,24909" +blo "36738,24909" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 176,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 177,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "40638,27109,40838,27309" +) +autoResize 1 +tline (Line +uid 178,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "36738,23809,36738,23809" +pts [ +"36738,23809" +"36738,23809" +] +) +bline (Line +uid 179,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "36738,23809,36738,23809" +pts [ +"36738,23809" +"36738,23809" +] +) +ttri (Triangle +uid 180,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "36288,23634,36638,23984" +) +btri (Triangle +uid 181,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "36288,23634,36638,23984" +) +entryActions (MLText +uid 182,0 +va (VaSet +) +xt "36738,23809,36738,23809" +tm "Actions" +) +inActions (MLText +uid 183,0 +va (VaSet +) +xt "36738,23809,36738,23809" +tm "Actions" +) +exitActions (MLText +uid 184,0 +va (VaSet +) +xt "36738,23809,36738,23809" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 174,0 +ps "CenterOffsetStrategy" +text (MLText +uid 175,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "34638,25009,41338,26209" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*20 (State +uid 185,0 +shape (Circle +uid 186,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "60998,19993,69350,28345" +radius 4176 +) +name (Text +uid 187,0 +va (VaSet +font "Verdana,12,1" +) +xt "61674,23469,68674,24869" +st "position2" +ju 0 +blo "65174,24669" +tm "ONodeName" +) +wait (TextAssociate +uid 188,0 +ps "CenterOffsetStrategy" +text (Text +uid 189,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "64924,24369,70024,25769" +st "wait 2" +blo "64924,25569" +tm "SmWaitText" +) +) +encoding (Text +uid 190,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "65174,25269,65174,25269" +blo "65174,25269" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 193,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 194,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "69074,27469,69274,27669" +) +autoResize 1 +tline (Line +uid 195,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "65174,24169,65174,24169" +pts [ +"65174,24169" +"65174,24169" +] +) +bline (Line +uid 196,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "65174,24169,65174,24169" +pts [ +"65174,24169" +"65174,24169" +] +) +ttri (Triangle +uid 197,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "64724,23994,65074,24344" +) +btri (Triangle +uid 198,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "64724,23994,65074,24344" +) +entryActions (MLText +uid 199,0 +va (VaSet +) +xt "65174,24169,65174,24169" +tm "Actions" +) +inActions (MLText +uid 200,0 +va (VaSet +) +xt "65174,24169,65174,24169" +tm "Actions" +) +exitActions (MLText +uid 201,0 +va (VaSet +) +xt "65174,24169,65174,24169" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 191,0 +ps "CenterOffsetStrategy" +text (MLText +uid 192,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "63074,25369,69774,26569" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*21 (State +uid 202,0 +shape (Circle +uid 203,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "91203,18005,102363,29165" +radius 5580 +) +name (Text +uid 204,0 +va (VaSet +font "Verdana,12,1" +) +xt "91833,22885,101733,24285" +st "initialisation" +ju 0 +blo "96783,24085" +tm "ONodeName" +) +wait (TextAssociate +uid 205,0 +ps "CenterOffsetStrategy" +text (Text +uid 206,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "96533,23785,101633,25185" +st "wait 2" +blo "96533,24985" +tm "SmWaitText" +) +) +encoding (Text +uid 207,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "96783,24685,96783,24685" +blo "96783,24685" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 210,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 211,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "87683,25685,113883,28285" +) +autoResize 1 +tline (Line +uid 212,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87783,25585,113783,25585" +pts [ +"87783,25585" +"113783,25585" +] +) +bline (Line +uid 213,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87783,25885,113783,25885" +pts [ +"87783,25885" +"113783,25885" +] +) +ttri (Triangle +uid 214,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87333,25210,87683,25560" +) +btri (Triangle +uid 215,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87333,23410,87683,23760" +) +entryActions (MLText +uid 216,0 +va (VaSet +) +xt "87783,25385,87783,25385" +tm "Actions" +) +inActions (MLText +uid 217,0 +va (VaSet +) +xt "87783,25785,113783,28185" +st "info_acceleration <= \"0000000000000000\"; +skip_acceleration <= '1';" +tm "Actions" +) +exitActions (MLText +uid 218,0 +va (VaSet +) +xt "96783,23585,96783,23585" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 208,0 +ps "CenterOffsetStrategy" +text (MLText +uid 209,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "94683,24785,101383,25985" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*22 (State +uid 371,0 +shape (Circle +uid 372,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "26289,31425,33391,38527" +radius 3551 +) +name (Text +uid 373,0 +va (VaSet +font "Verdana,12,1" +) +xt "28490,34276,31190,35676" +st "R1" +ju 0 +blo "29840,35476" +tm "ONodeName" +) +wait (TextAssociate +uid 374,0 +ps "CenterOffsetStrategy" +text (Text +uid 375,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "29590,35176,34690,36576" +st "wait 2" +blo "29590,36376" +tm "SmWaitText" +) +) +encoding (Text +uid 376,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "29840,36076,29840,36076" +blo "29840,36076" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 379,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 380,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "15264,38441,36764,41041" +) +autoResize 1 +tline (Line +uid 381,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "15364,38341,36664,38341" +pts [ +"15364,38341" +"36664,38341" +] +) +bline (Line +uid 382,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "15364,38641,36664,38641" +pts [ +"15364,38641" +"36664,38641" +] +) +ttri (Triangle +uid 383,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "14914,37966,15264,38316" +) +btri (Triangle +uid 384,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "14914,36166,15264,36516" +) +entryActions (MLText +uid 385,0 +va (VaSet +) +xt "15364,38141,15364,38141" +tm "Actions" +) +inActions (MLText +uid 386,0 +va (VaSet +) +xt "15364,38541,36664,40941" +st "info_acceleration <= Position + 4369; +skip_acceleration <= '0' ;" +tm "Actions" +) +exitActions (MLText +uid 387,0 +va (VaSet +) +xt "22014,36341,22014,36341" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 377,0 +ps "CenterOffsetStrategy" +text (MLText +uid 378,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "27740,36176,34440,37376" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*23 (State +uid 388,0 +shape (Circle +uid 389,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "36936,31334,44038,38436" +radius 3551 +) +name (Text +uid 390,0 +va (VaSet +font "Verdana,12,1" +) +xt "39187,34185,41787,35585" +st "L1" +ju 0 +blo "40487,35385" +tm "ONodeName" +) +wait (TextAssociate +uid 391,0 +ps "CenterOffsetStrategy" +text (Text +uid 392,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "40237,35085,45337,36485" +st "wait 2" +blo "40237,36285" +tm "SmWaitText" +) +) +encoding (Text +uid 393,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "40487,35985,40487,35985" +blo "40487,35985" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 396,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 397,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "32691,40352,53991,42952" +) +autoResize 1 +tline (Line +uid 398,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "32791,40252,53891,40252" +pts [ +"32791,40252" +"53891,40252" +] +) +bline (Line +uid 399,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "32791,40552,53891,40552" +pts [ +"32791,40552" +"53891,40552" +] +) +ttri (Triangle +uid 400,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "32341,39877,32691,40227" +) +btri (Triangle +uid 401,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "32341,38077,32691,38427" +) +entryActions (MLText +uid 402,0 +va (VaSet +) +xt "32791,40052,32791,40052" +tm "Actions" +) +inActions (MLText +uid 403,0 +va (VaSet +) +xt "32791,40452,53891,42852" +st "info_acceleration <= Position - 4369; +skip_acceleration <= '0' ;" +tm "Actions" +) +exitActions (MLText +uid 404,0 +va (VaSet +) +xt "39341,38252,39341,38252" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 394,0 +ps "CenterOffsetStrategy" +text (MLText +uid 395,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "38387,36085,45087,37285" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*24 (State +uid 405,0 +shape (Circle +uid 406,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "58048,30879,65150,37981" +radius 3551 +) +name (Text +uid 407,0 +va (VaSet +font "Verdana,12,1" +) +xt "60249,33730,62949,35130" +st "R2" +ju 0 +blo "61599,34930" +tm "ONodeName" +) +wait (TextAssociate +uid 408,0 +ps "CenterOffsetStrategy" +text (Text +uid 409,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "61349,34630,66449,36030" +st "wait 2" +blo "61349,35830" +tm "SmWaitText" +) +) +encoding (Text +uid 410,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "61599,35530,61599,35530" +blo "61599,35530" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 413,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 414,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "49935,37531,71435,40131" +) +autoResize 1 +tline (Line +uid 415,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "50035,37431,71335,37431" +pts [ +"50035,37431" +"71335,37431" +] +) +bline (Line +uid 416,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "50035,37731,71335,37731" +pts [ +"50035,37731" +"71335,37731" +] +) +ttri (Triangle +uid 417,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "49585,37056,49935,37406" +) +btri (Triangle +uid 418,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "49585,35256,49935,35606" +) +entryActions (MLText +uid 419,0 +va (VaSet +) +xt "50035,37231,50035,37231" +tm "Actions" +) +inActions (MLText +uid 420,0 +va (VaSet +) +xt "50035,37631,71335,40031" +st "info_acceleration <= Position + 4369; +skip_acceleration <= '0' ;" +tm "Actions" +) +exitActions (MLText +uid 421,0 +va (VaSet +) +xt "56685,35431,56685,35431" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 411,0 +ps "CenterOffsetStrategy" +text (MLText +uid 412,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "59499,35630,66199,36830" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*25 (State +uid 422,0 +shape (Circle +uid 423,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "66420,30515,73522,37617" +radius 3551 +) +name (Text +uid 424,0 +va (VaSet +font "Verdana,12,1" +) +xt "68671,33366,71271,34766" +st "L2" +ju 0 +blo "69971,34566" +tm "ONodeName" +) +wait (TextAssociate +uid 425,0 +ps "CenterOffsetStrategy" +text (Text +uid 426,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "69721,34266,74821,35666" +st "wait 2" +blo "69721,35466" +tm "SmWaitText" +) +) +encoding (Text +uid 427,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "69971,35166,69971,35166" +blo "69971,35166" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 430,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 431,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "67707,38387,89007,40987" +) +autoResize 1 +tline (Line +uid 432,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "67807,38287,88907,38287" +pts [ +"67807,38287" +"88907,38287" +] +) +bline (Line +uid 433,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "67807,38587,88907,38587" +pts [ +"67807,38587" +"88907,38587" +] +) +ttri (Triangle +uid 434,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "67357,37912,67707,38262" +) +btri (Triangle +uid 435,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "67357,36112,67707,36462" +) +entryActions (MLText +uid 436,0 +va (VaSet +) +xt "67807,38087,67807,38087" +tm "Actions" +) +inActions (MLText +uid 437,0 +va (VaSet +) +xt "67807,38487,88907,40887" +st "info_acceleration <= Position - 4369; +skip_acceleration <= '0' ;" +tm "Actions" +) +exitActions (MLText +uid 438,0 +va (VaSet +) +xt "74357,36287,74357,36287" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 428,0 +ps "CenterOffsetStrategy" +text (MLText +uid 429,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "67871,35266,74571,36466" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*26 (InterruptPoint +uid 562,0 +shape (CompositeShape +uid 563,0 +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +uid 564,0 +sl 0 +ro 270 +xt "92519,4537,94769,5537" +) +(Line +uid 565,0 +sl 0 +ro 270 +xt "94769,5037,95269,5037" +pts [ +"94769,5037" +"95269,5037" +] +) +(CustomPolygon +pts [ +"92769,5262" +"92769,4962" +"93419,5087" +"93319,4812" +"94419,5012" +"93594,5012" +"93769,5262" +] +uid 566,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "92769,4812,94419,5262" +) +] +) +) +*27 (Link +uid 567,0 +shape (CompositeShape +uid 568,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 569,0 +sl 0 +ro 270 +xt "107763,96,110013,1096" +) +(Line +uid 570,0 +sl 0 +ro 270 +xt "107263,596,107763,596" +pts [ +"107263,596" +"107763,596" +] +) +] +) +name (TextAssociate +uid 571,0 +ps "CenterOffsetStrategy" +text (Text +uid 572,0 +va (VaSet +font "Verdana,9,1" +) +xt "110513,96,115713,1296" +st "position1" +blo "110513,1096" +tm "LinkName" +) +) +) +*28 (Link +uid 573,0 +shape (CompositeShape +uid 574,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 575,0 +sl 0 +ro 270 +xt "108509,5902,110759,6902" +) +(Line +uid 576,0 +sl 0 +ro 270 +xt "108009,6402,108509,6402" +pts [ +"108009,6402" +"108509,6402" +] +) +] +) +name (TextAssociate +uid 577,0 +ps "CenterOffsetStrategy" +text (Text +uid 578,0 +va (VaSet +font "Verdana,9,1" +) +xt "111259,5902,116459,7102" +st "position2" +blo "111259,6902" +tm "LinkName" +) +) +) +*29 (Link +uid 579,0 +shape (CompositeShape +uid 580,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 581,0 +sl 0 +ro 270 +xt "108054,11635,110304,12635" +) +(Line +uid 582,0 +sl 0 +ro 270 +xt "107554,12135,108054,12135" +pts [ +"107554,12135" +"108054,12135" +] +) +] +) +name (TextAssociate +uid 583,0 +ps "CenterOffsetStrategy" +text (Text +uid 584,0 +va (VaSet +font "Verdana,9,1" +) +xt "110804,11635,118304,12835" +st "initialisation" +blo "110804,12635" +tm "LinkName" +) +) +) +*30 (Transition +uid 104,0 +shape (Spline +uid 105,0 +va (VaSet +vasetType 3 +) +xt "5500,20000,16500,20000" +pts [ +"5500,20000" +"16500,20000" +] +) +start &4 +end &5 +ss 0 +es 0 +cond "rst = '0'" +tb (TransitionBlock +uid 106,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 107,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "8150,18900,13850,21100" +) +autoResize 1 +lineShape (Line +uid 108,0 +va (VaSet +vasetType 3 +) +xt "8650,20500,13350,20500" +pts [ +"8650,20500" +"13350,20500" +] +) +condition (MLText +uid 109,0 +va (VaSet +) +xt "8650,18900,13350,20100" +st "rst = '0'" +tm "Condition" +) +actions (MLText +uid 110,0 +va (VaSet +isHidden 1 +) +xt "6700,20900,15300,22100" +st "< Automatic >" +tm "Actions" +) +) +tp (TransitionPriority +uid 111,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 112,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5537,18937,7663,21063" +radius 1063 +) +pr (Text +uid 113,0 +va (VaSet +isHidden 1 +) +xt "5900,19400,7300,20600" +st "1" +ju 0 +blo "6600,20400" +tm "TransitionPriority" +) +padding "100,100" +) +) +*31 (Transition +uid 114,0 +shape (Spline +uid 115,0 +va (VaSet +vasetType 3 +) +xt "5050,15000,16500,15000" +pts [ +"5050,15000" +"16500,15000" +] +) +start &6 +end &7 +ss 0 +es 0 +tb (TransitionBlock +uid 116,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 117,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "7625,14495,13925,15505" +) +autoResize 1 +lineShape (Line +uid 118,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "10775,16000,10775,16000" +pts [ +"10775,16000" +"10775,16000" +] +) +condition (MLText +uid 119,0 +va (VaSet +) +xt "8125,14400,13425,15600" +tm "Condition" +) +actions (MLText +uid 120,0 +va (VaSet +) +xt "10775,16000,10775,16000" +tm "Actions" +) +) +tp (TransitionPriority +uid 121,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 122,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5132,13937,7258,16063" +radius 1063 +) +pr (Text +uid 123,0 +va (VaSet +isHidden 1 +) +xt "5495,14400,6895,15600" +st "1" +ju 0 +blo "6195,15400" +tm "TransitionPriority" +) +padding "100,100" +) +) +*32 (Transition +uid 473,0 +shape (Spline +uid 474,0 +va (VaSet +vasetType 3 +) +xt "31347,26698,33722,31761" +pts [ +"33722,26698" +"31347,31761" +] +arrow 1 +) +start &19 +end &22 +es 0 +cond "Position < pos1" +tb (TransitionBlock +uid 475,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 476,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "21751,27456,32051,29656" +) +autoResize 1 +lineShape (Line +uid 477,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "24901,29556,24901,29556" +pts [ +"24901,29556" +"24901,29556" +] +) +condition (MLText +uid 478,0 +va (VaSet +) +xt "22251,27956,31551,29156" +st "Position < pos1" +tm "Condition" +) +actions (MLText +uid 479,0 +va (VaSet +) +xt "26901,29556,26901,29556" +tm "Actions" +) +) +tp (TransitionPriority +uid 480,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 481,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "32421,26141,34547,28267" +radius 1063 +) +pr (Text +uid 482,0 +va (VaSet +) +xt "32784,26604,34184,27804" +st "1" +ju 0 +blo "33484,27604" +tm "TransitionPriority" +) +padding "100,100" +) +) +*33 (Transition +uid 483,0 +shape (Spline +uid 484,0 +va (VaSet +vasetType 3 +) +xt "38790,27447,39698,31423" +pts [ +"38790,27447" +"39698,31423" +] +arrow 1 +) +start &19 +end &23 +es 0 +cond "Position >= pos1" +tb (TransitionBlock +uid 485,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 486,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "40200,28480,51200,30680" +) +autoResize 1 +lineShape (Line +uid 487,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "43350,30580,43350,30580" +pts [ +"43350,30580" +"43350,30580" +] +) +condition (MLText +uid 488,0 +va (VaSet +) +xt "40700,28980,50700,30180" +st "Position >= pos1" +tm "Condition" +) +actions (MLText +uid 489,0 +va (VaSet +) +xt "45700,30580,45700,30580" +tm "Actions" +) +) +tp (TransitionPriority +uid 490,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 491,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "37817,26780,39943,28906" +radius 1063 +) +pr (Text +uid 492,0 +va (VaSet +) +xt "38180,27243,39580,28443" +st "2" +ju 0 +blo "38880,28243" +tm "TransitionPriority" +) +padding "100,100" +) +) +*34 (Transition +uid 493,0 +shape (Spline +uid 494,0 +va (VaSet +vasetType 3 +) +xt "62767,28112,63800,31077" +pts [ +"63800,28112" +"62767,31077" +] +arrow 1 +) +start &20 +end &24 +ss 0 +es 0 +cond "Position < pos2" +tb (TransitionBlock +uid 495,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 496,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "55412,28640,65712,30840" +) +autoResize 1 +lineShape (Line +uid 497,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "58562,30740,58562,30740" +pts [ +"58562,30740" +"58562,30740" +] +) +condition (MLText +uid 498,0 +va (VaSet +) +xt "55912,29140,65212,30340" +st "Position < pos2" +tm "Condition" +) +actions (MLText +uid 499,0 +va (VaSet +) +xt "60562,30740,60562,30740" +tm "Actions" +) +) +tp (TransitionPriority +uid 500,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 501,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "62633,27344,64759,29470" +radius 1063 +) +pr (Text +uid 502,0 +va (VaSet +) +xt "62996,27807,64396,29007" +st "1" +ju 0 +blo "63696,28807" +tm "TransitionPriority" +) +padding "100,100" +) +) +*35 (Transition +uid 503,0 +shape (Spline +uid 504,0 +va (VaSet +vasetType 3 +) +xt "66995,27926,68423,30871" +pts [ +"66995,27926" +"68423,30871" +] +arrow 1 +) +start &20 +end &25 +ss 0 +es 0 +cond "Position >= pos2" +tb (TransitionBlock +uid 505,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 506,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "67209,28899,78209,31099" +) +autoResize 1 +lineShape (Line +uid 507,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "70359,30999,70359,30999" +pts [ +"70359,30999" +"70359,30999" +] +) +condition (MLText +uid 508,0 +va (VaSet +) +xt "67709,29399,77709,30599" +st "Position >= pos2" +tm "Condition" +) +actions (MLText +uid 509,0 +va (VaSet +) +xt "72709,30999,72709,30999" +tm "Actions" +) +) +tp (TransitionPriority +uid 510,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 511,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "66074,27157,68200,29283" +radius 1063 +) +pr (Text +uid 512,0 +va (VaSet +) +xt "66437,27620,67837,28820" +st "2" +ju 0 +blo "67137,28620" +tm "TransitionPriority" +) +padding "100,100" +) +) +*36 (Transition +uid 585,0 +shape (Spline +uid 586,0 +va (VaSet +vasetType 3 +) +xt "95269,596,107263,5037" +pts [ +"95269,5037" +"107263,596" +] +) +start &26 +end &27 +ss 0 +cond "button = \"0010\"" +tb (TransitionBlock +uid 587,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 588,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "98017,826,108717,3026" +) +autoResize 1 +lineShape (Line +uid 589,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "101167,2926,101167,2926" +pts [ +"101167,2926" +"101167,2926" +] +) +condition (MLText +uid 590,0 +va (VaSet +) +xt "98517,1326,108217,2526" +st "button = \"0010\"" +tm "Condition" +) +actions (MLText +uid 591,0 +va (VaSet +) +xt "103367,2926,103367,2926" +tm "Actions" +) +) +tp (TransitionPriority +uid 592,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 593,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "95404,3530,97530,5656" +radius 1063 +) +pr (Text +uid 594,0 +va (VaSet +) +xt "95767,3993,97167,5193" +st "1" +ju 0 +blo "96467,4993" +tm "TransitionPriority" +) +padding "100,100" +) +) +*37 (Transition +uid 595,0 +shape (Spline +uid 596,0 +va (VaSet +vasetType 3 +) +xt "95269,5037,108009,6402" +pts [ +"95269,5037" +"108009,6402" +] +) +start &26 +end &28 +ss 0 +cond "button = \"0100\"" +tb (TransitionBlock +uid 597,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 598,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "101139,5220,111839,7420" +) +autoResize 1 +lineShape (Line +uid 599,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "104289,7320,104289,7320" +pts [ +"104289,7320" +"104289,7320" +] +) +condition (MLText +uid 600,0 +va (VaSet +) +xt "101639,5720,111339,6920" +st "button = \"0100\"" +tm "Condition" +) +actions (MLText +uid 601,0 +va (VaSet +) +xt "106489,7320,106489,7320" +tm "Actions" +) +) +tp (TransitionPriority +uid 602,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 603,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "95479,4110,97605,6236" +radius 1063 +) +pr (Text +uid 604,0 +va (VaSet +) +xt "95842,4573,97242,5773" +st "2" +ju 0 +blo "96542,5573" +tm "TransitionPriority" +) +padding "100,100" +) +) +*38 (Transition +uid 605,0 +shape (Spline +uid 606,0 +va (VaSet +vasetType 3 +) +xt "95269,5037,107554,12135" +pts [ +"95269,5037" +"107554,12135" +] +) +start &26 +end &29 +ss 0 +cond "button = \"0001\"" +tb (TransitionBlock +uid 607,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 608,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "98862,9437,109562,11637" +) +autoResize 1 +lineShape (Line +uid 609,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "102012,11537,102012,11537" +pts [ +"102012,11537" +"102012,11537" +] +) +condition (MLText +uid 610,0 +va (VaSet +) +xt "99362,9937,109062,11137" +st "button = \"0001\"" +tm "Condition" +) +actions (MLText +uid 611,0 +va (VaSet +) +xt "104212,11537,104212,11537" +tm "Actions" +) +) +tp (TransitionPriority +uid 612,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 613,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "95433,4683,97559,6809" +radius 1063 +) +pr (Text +uid 614,0 +va (VaSet +) +xt "95796,5146,97196,6346" +st "3" +ju 0 +blo "96496,6146" +tm "TransitionPriority" +) +padding "100,100" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 0 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *39 (PackageList +uid 31,0 +stg "VerticalLayoutStrategy" +textVec [ +*40 (Text +uid 32,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,3000,7600,4200" +st "Package List" +blo "0,4000" +) +*41 (MLText +uid 33,0 +va (VaSet +) +xt "0,4200,17500,7800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "SmPackageListTextMgr" +) +] +) +compDirBlock (MlTextGroup +uid 34,0 +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +uid 35,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*43 (Text +uid 36,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*44 (MLText +uid 37,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "SmCompilerDirectivesTextMgr" +) +*45 (Text +uid 38,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*46 (MLText +uid 39,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "SmCompilerDirectivesTextMgr" +) +*47 (Text +uid 40,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*48 (MLText +uid 41,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "SmCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,24,1715,1143" +viewArea "11200,-8300,119751,64043" +cachedDiagramExtent "0,-1000,118304,47000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-2000" +isTopLevel 1 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +localDecl *49 (SmLocalDecl +uid 3,0 +stg "VerticalLayoutStrategy" +textVec [ +*50 (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,-1000,42400,200" +st "Architecture Declarations" +blo "27800,0" +) +*51 (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*52 (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,200,33000,1400" +st "Pre Decls" +blo "27800,1200" +) +*53 (MLText +uid 7,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*54 (Text +uid 8,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,1400,34200,2600" +st "Post Decls" +blo "27800,2400" +) +*55 (MLText +uid 9,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,2600,27800,2600" +tm "LocalDeclTextMgr" +) +] +) +processDecl *56 (SmProcessDecl +uid 10,0 +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,-1000,86600,200" +st "Process Declarations" +blo "74500,0" +) +*58 (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,200,84000,1400" +st "Clocked Process:" +blo "74500,1200" +) +*59 (MLText +uid 13,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,-1000,74500,-1000" +tm "ProcessDeclTextMgr" +) +*60 (Text +uid 14,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,1400,83800,2600" +st "Output Process:" +blo "74500,2400" +) +*61 (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,2600,74500,2600" +tm "ProcessDeclTextMgr" +) +] +associable 1 +) +defaultActions *62 (MlTextGroup +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-1000,8400,200" +st "Global Actions" +blo "0,0" +) +*64 (Text +uid 18,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,200,7400,1400" +st "Pre Actions:" +blo "0,1200" +) +*65 (MLText +uid 19,0 +va (VaSet +) +xt "0,-1000,0,-1000" +tm "Actions" +) +*66 (Text +uid 20,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,1400,8000,2600" +st "Post Actions:" +blo "0,2400" +) +*67 (MLText +uid 21,0 +va (VaSet +) +xt "0,2600,0,2600" +tm "Actions" +) +] +associable 1 +) +archConcurrentStatementBlock *68 (BiTextGroup +uid 22,0 +stg "VerticalLayoutStrategy" +first (Text +uid 23,0 +va (VaSet +font "Verdana,9,1" +) +xt "11400,-1000,24800,200" +st "Concurrent Statements" +blo "11400,0" +) +second (MLText +uid 24,0 +va (VaSet +) +xt "11400,200,11400,200" +tm "ArchConcStmtTextMgr" +) +associable 1 +) +signalsGenStatus *69 (SmSignalGenStatus +uid 28,0 +stg "VerticalLayoutStrategy" +first (Text +uid 29,0 +va (VaSet +font "Verdana,9,1" +) +xt "45400,-1000,53200,200" +st "Signal Status" +blo "45400,0" +) +second (MLText +uid 30,0 +va (VaSet +font "Courier New,8,0" +) +xt "45400,200,76900,2600" +st "SIGNAL MODE DEFAULT RESET SCHEME COMMENT +info_acceleration OUT COMB +skip_acceleration OUT COMB +" +tm "SmSignalsGenStatusTextMgr" +) +) +stateRegBlock *70 (BiTextGroup +uid 25,0 +stg "VerticalLayoutStrategy" +first (Text +uid 26,0 +va (VaSet +font "Verdana,9,1" +) +xt "56200,-1000,71500,200" +st "State Register Statements" +blo "56200,0" +) +second (MLText +uid 27,0 +va (VaSet +) +xt "56200,200,56200,200" +tm "Actions" +) +associable 1 +) +) +genChar (SmGenChar +uid 42,0 +nextStateClocking 0 +) +encoding (Encoding +scheme 3 +encodingStyles [ +(pair +scheme 0 +style 0 +) +(pair +scheme 1 +style 1 +) +(pair +scheme 2 +style 0 +) +(pair +scheme 3 +style 0 +) +(pair +scheme 4 +style 0 +) +(pair +scheme 5 +style 0 +) +] +otherValues [ +(pair +scheme 0 +otherValue "" +) +(pair +scheme 1 +otherValue "" +) +(pair +scheme 2 +otherValue "" +) +(pair +scheme 3 +otherValue "" +) +(pair +scheme 4 +otherValue "" +) +(pair +scheme 5 +otherValue "" +) +] +attribute 0 +synSafe 0 +outputEncodedLocals 0 +useVerilogParameterRange 0 +radix 2 +) +stateOrder [ +&2 +&19 +&20 +&21 +&22 +&23 +&24 +&25 +] +name "csm" +) +] +lastUid 672,0 +commonDM (CommonDM +ldm (LogicalDM +emptyRow *71 (LEmptyRow +) +uid 250,0 +optionalChildren [ +*72 (RefLabelRowHdr +) +*73 (TitleRowHdr +) +*74 (FilterRowHdr +) +*75 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*76 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*77 (GroupColHdr +tm "GroupColHdrMgr" +) +*78 (NameColHdr +tm "SmNameColHdrMgr" +) +*79 (ModeColHdr +tm "SmModeColHdrMgr" +) +*80 (TypeColHdr +tm "SmTypeColHdrMgr" +) +*81 (BoundsColHdr +tm "SmBoundsColHdrMgr" +) +*82 (InitColHdr +tm "SmInitColHdrMgr" +) +*83 (ColumnHdr +tm "SmCategoryColHdrMgr" +) +*84 (ColumnHdr +tm "SmAssignColHdrMgr" +) +*85 (ColumnHdr +tm "SmExprColHdrMgr" +) +*86 (ColumnHdr +tm "SmSchemeColHdrMgr" +) +*87 (ColumnHdr +tm "SmDefValColHdrMgr" +) +*88 (ColumnHdr +tm "SmRstValColHdrMgr" +) +*89 (EolColHdr +tm "SmEolColHdrMgr" +) +*90 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 1 +) +) +uid 156,0 +) +*91 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "button" +t "unsigned" +b "(3 DOWNTO 0)" +o 2 +) +) +uid 158,0 +) +*92 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_uLogic" +o 3 +) +) +uid 160,0 +cat 1 +expr "clk'EVENT AND clk = '1'" +) +*93 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_uLogic" +o 7 +) +) +uid 162,0 +cat 8 +expr "rst = '0'" +) +*94 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "info_acceleration" +t "unsigned" +b "(15 DOWNTO 0)" +o 8 +) +) +uid 164,0 +scheme 0 +) +*95 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "skip_acceleration" +t "unsigned" +o 9 +) +) +uid 166,0 +scheme 0 +) +*96 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "pos1" +t "std_logic" +b "(15 DOWNTO 0)" +o 4 +) +) +uid 337,0 +ass "" +) +*97 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "pos2" +t "std_logic" +b "(15 DOWNTO 0)" +o 5 +) +) +uid 339,0 +ass "" +) +*98 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "pos_init" +t "std_logic" +b "(15 DOWNTO 0)" +o 6 +) +) +uid 341,0 +ass "" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 269,0 +optionalChildren [ +*99 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *100 (MRCItem +litem &71 +pos 9 +dimension 20 +) +uid 271,0 +optionalChildren [ +*101 (MRCItem +litem &72 +pos 0 +dimension 20 +uid 272,0 +) +*102 (MRCItem +litem &73 +pos 1 +dimension 23 +uid 273,0 +) +*103 (MRCItem +litem &74 +pos 2 +hidden 1 +dimension 20 +uid 274,0 +) +*104 (MRCItem +litem &90 +pos 6 +dimension 20 +uid 157,0 +) +*105 (MRCItem +litem &91 +pos 0 +dimension 20 +uid 159,0 +) +*106 (MRCItem +litem &92 +pos 1 +dimension 20 +uid 161,0 +) +*107 (MRCItem +litem &93 +pos 7 +dimension 20 +uid 163,0 +) +*108 (MRCItem +litem &94 +pos 2 +dimension 20 +uid 165,0 +) +*109 (MRCItem +litem &95 +pos 8 +dimension 20 +uid 167,0 +) +*110 (MRCItem +litem &96 +pos 3 +dimension 20 +uid 336,0 +) +*111 (MRCItem +litem &97 +pos 4 +dimension 20 +uid 338,0 +) +*112 (MRCItem +litem &98 +pos 5 +dimension 20 +uid 340,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 275,0 +optionalChildren [ +*113 (MRCItem +litem &75 +pos 0 +dimension 20 +uid 276,0 +) +*114 (MRCItem +litem &77 +pos 1 +dimension 50 +uid 277,0 +) +*115 (MRCItem +litem &78 +pos 2 +dimension 70 +uid 278,0 +) +*116 (MRCItem +litem &79 +pos 3 +dimension 50 +uid 279,0 +) +*117 (MRCItem +litem &80 +pos 4 +dimension 80 +uid 280,0 +) +*118 (MRCItem +litem &81 +pos 5 +dimension 80 +uid 281,0 +) +*119 (MRCItem +litem &82 +pos 6 +dimension 40 +uid 282,0 +) +*120 (MRCItem +litem &83 +pos 7 +dimension 100 +uid 283,0 +) +*121 (MRCItem +litem &84 +pos 8 +dimension 60 +uid 284,0 +) +*122 (MRCItem +litem &85 +pos 9 +dimension 130 +uid 285,0 +) +*123 (MRCItem +litem &86 +pos 10 +dimension 56 +uid 286,0 +) +*124 (MRCItem +litem &87 +pos 11 +dimension 50 +uid 287,0 +) +*125 (MRCItem +litem &88 +pos 12 +dimension 50 +uid 288,0 +) +*126 (MRCItem +litem &89 +pos 13 +dimension 80 +uid 289,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 270,0 +vaOverrides [ +] +) +] +) +uid 249,0 +) +cdmCsm &1 +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *127 (LEmptyRow +) +uid 310,0 +optionalChildren [ +*128 (RefLabelRowHdr +) +*129 (TitleRowHdr +) +*130 (FilterRowHdr +) +*131 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*132 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*133 (GroupColHdr +tm "GroupColHdrMgr" +) +*134 (NameColHdr +tm "GenericNameColHdrMgr" +) +*135 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*136 (InitColHdr +tm "GenericValueColHdrMgr" +) +*137 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*138 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 322,0 +optionalChildren [ +*139 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *140 (MRCItem +litem &127 +pos 0 +dimension 20 +) +uid 324,0 +optionalChildren [ +*141 (MRCItem +litem &128 +pos 0 +dimension 20 +uid 325,0 +) +*142 (MRCItem +litem &129 +pos 1 +dimension 23 +uid 326,0 +) +*143 (MRCItem +litem &130 +pos 2 +hidden 1 +dimension 20 +uid 327,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 328,0 +optionalChildren [ +*144 (MRCItem +litem &131 +pos 0 +dimension 20 +uid 329,0 +) +*145 (MRCItem +litem &133 +pos 1 +dimension 50 +uid 330,0 +) +*146 (MRCItem +litem &134 +pos 2 +dimension 100 +uid 331,0 +) +*147 (MRCItem +litem &135 +pos 3 +dimension 100 +uid 332,0 +) +*148 (MRCItem +litem &136 +pos 4 +dimension 50 +uid 333,0 +) +*149 (MRCItem +litem &137 +pos 5 +dimension 50 +uid 334,0 +) +*150 (MRCItem +litem &138 +pos 6 +dimension 80 +uid 335,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 323,0 +vaOverrides [ +] +) +] +) +uid 309,0 +type 1 +) +signalSuffix "_int" +clockSuffix "_cld" +defaultState (State +shape (Circle +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-3551,-3551,3551,3551" +radius 3551 +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3900,3300,4100,3500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +entryActions (MLText +va (VaSet +) +tm "Actions" +) +inActions (MLText +va (VaSet +) +tm "Actions" +) +exitActions (MLText +va (VaSet +) +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultWaitState (State +shape (CircleInOctagon +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +xt "-529,-529,6529,6529" +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8900,6300,9100,6500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +entryActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +inActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +exitActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +isWait 1 +) +defaultCompositeState (CompositeState +shape (TripleCircle +va (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "Verdana,10,1" +) +xt "-1000,-600,1000,600" +st "s0" +ju 0 +blo "0,400" +tm "ONodeName" +) +childDiagram &0 +) +defaultJunction (Junction +shape (Diamond +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "-1300,-1300,2300,2300" +) +symbol (Text +va (VaSet +font "Verdana,10,1" +) +xt "-300,-100,1300,1100" +st "&" +ju 0 +blo "500,900" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2000,1000,2000,1000" +blo "2000,1000" +tm "JunctionName" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2550,2000,4150,3200" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultEntryPoint (EntryPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +] +) +) +defaultInterruptPoint (InterruptPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +(CustomPolygon +pts [ +"-625,1600" +"-625,1300" +"25,1425" +"-75,1150" +"1025,1350" +"200,1350" +"375,1600" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "-625,1150,1025,1600" +) +] +) +) +defaultLink (Link +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2375,875,5075,2075" +st "Link" +blo "2375,1875" +tm "LinkName" +) +) +) +defaultExitPoint (ExitPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +) +defaultTransition (Transition +shape (Spline +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +arrow 1 +) +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-500,-500,5800,1700" +) +autoResize 1 +lineShape (Line +va (VaSet +vasetType 3 +isHidden 1 +) +xt "2650,1600,2650,1600" +pts [ +"2650,1600" +"2650,1600" +] +) +condition (MLText +va (VaSet +) +xt "0,0,5300,1200" +st "condition" +tm "Condition" +) +actions (MLText +va (VaSet +) +xt "2650,2000,2650,2000" +tm "Actions" +) +) +tp (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-1063,-1063,1063,1063" +radius 1063 +) +pr (Text +va (VaSet +) +xt "-700,-600,700,600" +st "1" +ju 0 +blo "0,400" +tm "TransitionPriority" +) +padding "100,100" +) +) +defaultClk (SmClockPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"275,1425" +"574,1425" +"574,825" +"874,825" +] +) +(Arc2D +pts [ +"-116,1278" +"-371,972" +"-116,972" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-441,926,-116,1323" +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-3325,625,-1125,1825" +st "clk" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultEnable (SmEnablePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Arc2D +pts [ +"-130,1263" +"-415,1064" +"-76,1064" +] +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-425,943,-76,1304" +) +(Line +sl 0 +ro 270 +xt "-415,1064,-106,1064" +pts [ +"-415,1064" +"-106,1064" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-5125,625,-1125,1825" +st "enable" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultRst (SmResetPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-276,1000" +pts [ +"-376,1000" +"-276,950" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-376,1300" +pts [ +"-376,1300" +"-376,950" +] +) +(Circle +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "424,975,724,1275" +radius 150 +) +] +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-625,-1175,2675,225" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "-525,-1075,2575,125" +st "cond" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "1625,62,3751,2188" +radius 1063 +) +pr (Text +va (VaSet +) +xt "1988,525,3388,1725" +st "1" +ju 0 +blo "2688,1525" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-2725,525,-625,1725" +st "rst" +ju 2 +blo "-625,1525" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +) +xt "4750,2625,13350,3825" +st "< Automatic >" +tm "Actions" +) +) +) +defaultRecStatePt (SmRecoveryStatePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +sl 0 +xt "-900,-900,900,900" +radius 900 +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"-426,426" +"426,-426" +] +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"426,426" +"-426,-426" +] +) +] +) +) +activeModelName "StateMachine" +LanguageMgr "Vhdl2008LangMgr" +) diff --git a/Cursor/hds/selector_acceleration/interface b/Cursor/hds/selector_acceleration/interface new file mode 100644 index 0000000..544ba4e --- /dev/null +++ b/Cursor/hds/selector_acceleration/interface @@ -0,0 +1,1853 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 36,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 95,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "button" +t "unsigned" +b "(3 DOWNTO 0)" +o 2 +suid 28,0 +) +) +uid 572,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "unsigned" +o 3 +suid 29,0 +) +) +uid 574,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "info_acceleration" +t "unsigned" +b "(15 DOWNTO 0)" +o 16 +suid 30,0 +) +) +uid 576,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "pos1" +t "std_logic" +b "(15 DOWNTO 0)" +o 27 +suid 31,0 +) +) +uid 578,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "pos2" +t "std_logic" +b "(15 DOWNTO 0)" +o 28 +suid 32,0 +) +) +uid 580,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "pos_init" +t "std_logic" +b "(15 DOWNTO 0)" +o 29 +suid 33,0 +) +) +uid 582,0 +) +*20 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 1 +suid 34,0 +) +) +uid 584,0 +) +*21 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "rst" +t "unsigned" +o 4 +suid 35,0 +) +) +uid 586,0 +) +*22 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "skip_acceleration" +t "unsigned" +o 26 +suid 36,0 +) +) +uid 588,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*23 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *24 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 110,0 +optionalChildren [ +*25 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 111,0 +) +*26 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 112,0 +) +*27 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 113,0 +) +*28 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 573,0 +) +*29 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 575,0 +) +*30 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 577,0 +) +*31 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 579,0 +) +*32 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 581,0 +) +*33 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 583,0 +) +*34 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 585,0 +) +*35 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 587,0 +) +*36 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 589,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 114,0 +optionalChildren [ +*37 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 115,0 +) +*38 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 116,0 +) +*39 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 117,0 +) +*40 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 118,0 +) +*41 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 119,0 +) +*42 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 120,0 +) +*43 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 121,0 +) +*44 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 122,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 109,0 +vaOverrides [ +] +) +] +) +uid 94,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *45 (LEmptyRow +) +uid 124,0 +optionalChildren [ +*46 (RefLabelRowHdr +) +*47 (TitleRowHdr +) +*48 (FilterRowHdr +) +*49 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*50 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*51 (GroupColHdr +tm "GroupColHdrMgr" +) +*52 (NameColHdr +tm "GenericNameColHdrMgr" +) +*53 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*54 (InitColHdr +tm "GenericValueColHdrMgr" +) +*55 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*56 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 136,0 +optionalChildren [ +*57 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *58 (MRCItem +litem &45 +pos 3 +dimension 20 +) +uid 138,0 +optionalChildren [ +*59 (MRCItem +litem &46 +pos 0 +dimension 20 +uid 139,0 +) +*60 (MRCItem +litem &47 +pos 1 +dimension 23 +uid 140,0 +) +*61 (MRCItem +litem &48 +pos 2 +hidden 1 +dimension 20 +uid 141,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 142,0 +optionalChildren [ +*62 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 143,0 +) +*63 (MRCItem +litem &51 +pos 1 +dimension 50 +uid 144,0 +) +*64 (MRCItem +litem &52 +pos 2 +dimension 100 +uid 145,0 +) +*65 (MRCItem +litem &53 +pos 3 +dimension 100 +uid 146,0 +) +*66 (MRCItem +litem &54 +pos 4 +dimension 50 +uid 147,0 +) +*67 (MRCItem +litem &55 +pos 5 +dimension 50 +uid 148,0 +) +*68 (MRCItem +litem &56 +pos 6 +dimension 80 +uid 149,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 137,0 +vaOverrides [ +] +) +] +) +uid 123,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_acceleration\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_acceleration\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_acceleration" +) +(vvPair +variable "d_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_acceleration" +) +(vvPair +variable "date" +value "14.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "entity_name" +value "selector_acceleration" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "Simon" +) +(vvPair +variable "graphical_source_date" +value "14.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "PC-SDM" +) +(vvPair +variable "graphical_source_time" +value "14:18:34" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "PC-SDM" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "selector_acceleration" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_acceleration\\interface" +) +(vvPair +variable "p_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_acceleration\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "14:18:34" +) +(vvPair +variable "unit" +value "selector_acceleration" +) +(vvPair +variable "user" +value "Simon" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 93,0 +optionalChildren [ +*69 (SymbolBody +uid 8,0 +optionalChildren [ +*70 (CptPort +uid 527,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 528,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "16625,5250,17375,6000" +) +tg (CPTG +uid 529,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 530,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "16300,7000,17700,12000" +st "button" +ju 2 +blo "17500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 531,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,71000,4000" +st "button : IN unsigned (3 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "button" +t "unsigned" +b "(3 DOWNTO 0)" +o 2 +suid 28,0 +) +) +) +*71 (CptPort +uid 532,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 533,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19625,5250,20375,6000" +) +tg (CPTG +uid 534,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 535,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "19300,7000,20700,9400" +st "clk" +ju 2 +blo "20500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 536,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,64500,4800" +st "clk : IN unsigned ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk" +t "unsigned" +o 3 +suid 29,0 +) +) +) +*72 (CptPort +uid 537,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 538,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "16625,13000,17375,13750" +) +tg (CPTG +uid 539,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 540,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "16300,-300,17700,12000" +st "info_acceleration" +blo "17500,12000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 541,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8000,71500,8800" +st "info_acceleration : OUT unsigned (15 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "info_acceleration" +t "unsigned" +b "(15 DOWNTO 0)" +o 16 +suid 30,0 +) +) +) +*73 (CptPort +uid 542,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 543,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,11625,15000,12375" +) +tg (CPTG +uid 544,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 545,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,11300,19900,12700" +st "pos1" +blo "16000,12500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 546,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,72000,5600" +st "pos1 : IN std_logic (15 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "pos1" +t "std_logic" +b "(15 DOWNTO 0)" +o 27 +suid 31,0 +) +) +) +*74 (CptPort +uid 547,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 548,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,10625,15000,11375" +) +tg (CPTG +uid 549,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 550,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,10300,19900,11700" +st "pos2" +blo "16000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 551,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,72000,6400" +st "pos2 : IN std_logic (15 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "pos2" +t "std_logic" +b "(15 DOWNTO 0)" +o 28 +suid 32,0 +) +) +) +*75 (CptPort +uid 552,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 553,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,9625,15000,10375" +) +tg (CPTG +uid 554,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 555,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,9300,21800,10700" +st "pos_init" +blo "16000,10500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 556,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,72000,7200" +st "pos_init : IN std_logic (15 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "pos_init" +t "std_logic" +b "(15 DOWNTO 0)" +o 29 +suid 33,0 +) +) +) +*76 (CptPort +uid 557,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 558,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,7625,15000,8375" +) +tg (CPTG +uid 559,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 560,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,7300,21800,8700" +st "Position" +blo "16000,8500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 561,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,71500,3200" +st "Position : IN unsigned (15 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 1 +suid 34,0 +) +) +) +*77 (CptPort +uid 562,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 563,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "21625,5250,22375,6000" +) +tg (CPTG +uid 564,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 565,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "21300,7000,22700,9500" +st "rst" +ju 2 +blo "22500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 566,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7200,64500,8000" +st "rst : IN unsigned ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "rst" +t "unsigned" +o 4 +suid 35,0 +) +) +) +*78 (CptPort +uid 567,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 568,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19625,13000,20375,13750" +) +tg (CPTG +uid 569,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 570,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "19300,-500,20700,12000" +st "skip_acceleration" +blo "20500,12000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 571,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8800,63500,9600" +st "skip_acceleration : OUT unsigned +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "skip_acceleration" +t "unsigned" +o 26 +suid 36,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,27000,13000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "14750,8300,18450,9500" +st "Cursor" +blo "14750,9300" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "14750,9500,27250,10700" +st "selector_acceleration" +blo "14750,10500" +) +) +gi *79 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "-8500,5500,3000,6300" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*80 (Grouping +uid 16,0 +optionalChildren [ +*81 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,46000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,50300,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *91 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*92 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*93 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor" +entityName "Main" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *94 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *95 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,9600,45200,10800" +st "User:" +blo "42000,10600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,10800,44000,10800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 589,0 +activeModelName "Symbol:CDM" +) diff --git a/Cursor/hds/selector_cruse/fsm.sm b/Cursor/hds/selector_cruse/fsm.sm new file mode 100644 index 0000000..f1096c0 --- /dev/null +++ b/Cursor/hds/selector_cruse/fsm.sm @@ -0,0 +1,5053 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +machine (Machine +name "csm" +children [ +(Machine +name "csm" +children [ +] +stateSignalName "current_state" +) +] +) +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (StateMachine +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_cruse\\fsm.sm.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_cruse\\fsm.sm.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "fsm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_cruse" +) +(vvPair +variable "d_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_cruse" +) +(vvPair +variable "date" +value "14.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "entity_name" +value "selector_cruse" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "fsm.sm" +) +(vvPair +variable "f_logical" +value "fsm.sm" +) +(vvPair +variable "f_noext" +value "fsm" +) +(vvPair +variable "graphical_source_author" +value "Simon" +) +(vvPair +variable "graphical_source_date" +value "14.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "PC-SDM" +) +(vvPair +variable "graphical_source_time" +value "14:16:51" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "PC-SDM" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "selector_cruse" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_cruse\\fsm.sm" +) +(vvPair +variable "p_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_cruse\\fsm.sm" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sm" +) +(vvPair +variable "this_file" +value "fsm" +) +(vvPair +variable "this_file_logical" +value "fsm" +) +(vvPair +variable "time" +value "14:16:51" +) +(vvPair +variable "unit" +value "selector_cruse" +) +(vvPair +variable "user" +value "Simon" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "fsm" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +uid 414,0 +optionalChildren [ +*1 (ConcurrentSM +uid 1,0 +topDiagram (StateDiagram +LanguageMgr "None" +uid 2,0 +optionalChildren [ +*2 (State +uid 43,0 +shape (Circle +uid 44,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "34185,5033,41287,12135" +radius 3551 +) +name (Text +uid 45,0 +va (VaSet +font "Verdana,12,1" +) +xt "36236,7884,39236,9284" +st "init" +ju 0 +blo "37736,9084" +tm "ONodeName" +) +wait (TextAssociate +uid 46,0 +ps "CenterOffsetStrategy" +text (Text +uid 47,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "37486,8784,42586,10184" +st "wait 2" +blo "37486,9984" +tm "SmWaitText" +) +) +encoding (Text +uid 48,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "37736,9684,37736,9684" +blo "37736,9684" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 51,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 52,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "41636,11884,41836,12084" +) +autoResize 1 +tline (Line +uid 53,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "37736,8584,37736,8584" +pts [ +"37736,8584" +"37736,8584" +] +) +bline (Line +uid 54,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "37736,8584,37736,8584" +pts [ +"37736,8584" +"37736,8584" +] +) +ttri (Triangle +uid 55,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "37286,8409,37636,8759" +) +btri (Triangle +uid 56,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "37286,8409,37636,8759" +) +entryActions (MLText +uid 57,0 +va (VaSet +) +xt "37736,8584,37736,8584" +tm "Actions" +) +inActions (MLText +uid 58,0 +va (VaSet +) +xt "37736,8584,37736,8584" +tm "Actions" +) +exitActions (MLText +uid 59,0 +va (VaSet +) +xt "37736,8584,37736,8584" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 49,0 +ps "CenterOffsetStrategy" +text (MLText +uid 50,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "35636,9784,42336,10984" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*3 (SmClockPoint +uid 60,0 +shape (CompositeShape +uid 61,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 62,0 +sl 0 +ro 270 +xt "3250,10500,5500,11500" +) +(OrthoPolyLine +uid 63,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,10700,4749,11300" +pts [ +"4150,11300" +"4449,11300" +"4449,10700" +"4749,10700" +] +) +(Arc2D +pts [ +"3759,11153" +"3504,10847" +"3759,10847" +] +uid 64,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "3431,10800,3759,11199" +) +] +) +name (TextAssociate +uid 65,0 +ps "CenterOffsetStrategy" +text (Text +uid 66,0 +va (VaSet +) +xt "550,10500,2750,11700" +st "clk" +ju 2 +blo "2750,11500" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +uid 67,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 68,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "5500,10300,20400,11700" +) +autoResize 1 +cond (MLText +uid 69,0 +va (VaSet +) +xt "5600,10400,20300,11600" +st "clk'EVENT AND clk = '1'" +tm "SmControlConditionMgr" +) +) +) +*4 (SmResetPoint +uid 70,0 +shape (CompositeShape +uid 71,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 72,0 +sl 0 +ro 270 +xt "3250,19500,5500,20500" +) +(OrthoPolyLine +uid 73,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,19700,4749,20300" +pts [ +"4749,20300" +"4449,20300" +"4449,19700" +"4150,19700" +] +) +(Line +uid 74,0 +sl 0 +ro 270 +xt "3499,19825,3599,19875" +pts [ +"3499,19875" +"3599,19825" +] +) +(Line +uid 75,0 +sl 0 +ro 270 +xt "3499,19825,3499,20175" +pts [ +"3499,20175" +"3499,19825" +] +) +(Circle +uid 76,0 +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "4299,19850,4599,20150" +radius 150 +) +] +) +cond (SmControlCondition +uid 82,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 83,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "3250,17700,8150,19100" +) +autoResize 1 +cond (MLText +uid 84,0 +va (VaSet +isHidden 1 +) +xt "3350,17800,8050,19000" +st "rst = '0'" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +uid 79,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 80,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5500,18937,7626,21063" +radius 1063 +) +pr (Text +uid 81,0 +va (VaSet +isHidden 1 +) +xt "5863,19400,7263,20600" +st "1" +ju 0 +blo "6563,20400" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +uid 77,0 +ps "CenterOffsetStrategy" +text (Text +uid 78,0 +va (VaSet +) +xt "1150,19400,3250,20600" +st "rst" +ju 2 +blo "3250,20400" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +uid 85,0 +ps "CenterOffsetStrategy" +text (MLText +uid 86,0 +va (VaSet +isHidden 1 +) +xt "11125,24125,19725,25325" +st "< Automatic >" +tm "Actions" +) +) +) +*5 (Link +uid 87,0 +shape (CompositeShape +uid 88,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 89,0 +sl 0 +ro 270 +xt "17000,19500,19250,20500" +) +(Line +uid 90,0 +sl 0 +ro 270 +xt "16500,20000,17000,20000" +pts [ +"16500,20000" +"17000,20000" +] +) +] +) +name (TextAssociate +uid 91,0 +ps "CenterOffsetStrategy" +text (Text +uid 92,0 +va (VaSet +font "Verdana,9,1" +) +xt "19750,19500,22050,20700" +st "init" +blo "19750,20500" +tm "LinkName" +) +) +) +*6 (SmRecoveryStatePoint +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +uid 95,0 +sl 0 +xt "3250,14100,5050,15900" +radius 900 +) +(Line +uid 96,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"3724,15426" +"4576,14574" +] +) +(Line +uid 97,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"4576,15426" +"3724,14574" +] +) +] +) +) +*7 (Link +uid 98,0 +shape (CompositeShape +uid 99,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 100,0 +sl 0 +ro 270 +xt "17000,14500,19250,15500" +) +(Line +uid 101,0 +sl 0 +ro 270 +xt "16500,15000,17000,15000" +pts [ +"16500,15000" +"17000,15000" +] +) +] +) +name (TextAssociate +uid 102,0 +ps "CenterOffsetStrategy" +text (Text +uid 103,0 +va (VaSet +font "Verdana,9,1" +) +xt "19750,14500,22050,15700" +st "init" +blo "19750,15500" +tm "LinkName" +) +) +) +*8 (Grouping +uid 124,0 +optionalChildren [ +*9 (CommentText +uid 126,0 +shape (Rectangle +uid 127,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 128,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46000,47000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 129,0 +shape (Rectangle +uid 130,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "53000,42000,57000,43000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 131,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,42000,56200,43000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 132,0 +shape (Rectangle +uid 133,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 134,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,44000,46200,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*12 (CommentText +uid 135,0 +shape (Rectangle +uid 136,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 137,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,44000,34300,45000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*13 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "53000,43000,73000,47000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 140,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,43200,62600,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*14 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "57000,42000,73000,43000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 143,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,42000,58800,43000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*15 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "32000,42000,53000,44000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 146,0 +va (VaSet +isHidden 1 +fg "32768,0,0" +) +xt "37350,42400,47650,43600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*16 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 149,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,45000,34300,46000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*17 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 152,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34900,47000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*18 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 155,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,45000,46500,46000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 125,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,42000,73000,47000" +) +oxt "14000,66000,55000,71000" +) +*19 (State +uid 172,0 +shape (Circle +uid 173,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "27555,18038,36003,26486" +radius 4224 +) +name (Text +uid 174,0 +va (VaSet +font "Verdana,12,1" +) +xt "28279,21562,35279,22962" +st "position1" +ju 0 +blo "31779,22762" +tm "ONodeName" +) +wait (TextAssociate +uid 175,0 +ps "CenterOffsetStrategy" +text (Text +uid 176,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "31529,22462,36629,23862" +st "wait 2" +blo "31529,23662" +tm "SmWaitText" +) +) +encoding (Text +uid 177,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "31779,23362,31779,23362" +blo "31779,23362" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 180,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 181,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "35679,25562,35879,25762" +) +autoResize 1 +tline (Line +uid 182,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "31779,22262,31779,22262" +pts [ +"31779,22262" +"31779,22262" +] +) +bline (Line +uid 183,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "31779,22262,31779,22262" +pts [ +"31779,22262" +"31779,22262" +] +) +ttri (Triangle +uid 184,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "31329,22087,31679,22437" +) +btri (Triangle +uid 185,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "31329,22087,31679,22437" +) +entryActions (MLText +uid 186,0 +va (VaSet +) +xt "31779,22262,31779,22262" +tm "Actions" +) +inActions (MLText +uid 187,0 +va (VaSet +) +xt "31779,22262,31779,22262" +tm "Actions" +) +exitActions (MLText +uid 188,0 +va (VaSet +) +xt "31779,22262,31779,22262" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 178,0 +ps "CenterOffsetStrategy" +text (MLText +uid 179,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "29679,23462,36379,24662" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*20 (State +uid 189,0 +shape (Circle +uid 190,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "57771,16214,66123,24566" +radius 4176 +) +name (Text +uid 191,0 +va (VaSet +font "Verdana,12,1" +) +xt "58447,19690,65447,21090" +st "position2" +ju 0 +blo "61947,20890" +tm "ONodeName" +) +wait (TextAssociate +uid 192,0 +ps "CenterOffsetStrategy" +text (Text +uid 193,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "61697,20590,66797,21990" +st "wait 2" +blo "61697,21790" +tm "SmWaitText" +) +) +encoding (Text +uid 194,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "61947,21490,61947,21490" +blo "61947,21490" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 197,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 198,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "65847,23690,66047,23890" +) +autoResize 1 +tline (Line +uid 199,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "61947,20390,61947,20390" +pts [ +"61947,20390" +"61947,20390" +] +) +bline (Line +uid 200,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "61947,20390,61947,20390" +pts [ +"61947,20390" +"61947,20390" +] +) +ttri (Triangle +uid 201,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "61497,20215,61847,20565" +) +btri (Triangle +uid 202,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "61497,20215,61847,20565" +) +entryActions (MLText +uid 203,0 +va (VaSet +) +xt "61947,20390,61947,20390" +tm "Actions" +) +inActions (MLText +uid 204,0 +va (VaSet +) +xt "61947,20390,61947,20390" +tm "Actions" +) +exitActions (MLText +uid 205,0 +va (VaSet +) +xt "61947,20390,61947,20390" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 195,0 +ps "CenterOffsetStrategy" +text (MLText +uid 196,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "59847,21590,66547,22790" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*21 (State +uid 206,0 +shape (Circle +uid 207,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "61011,4190,69075,12254" +radius 4032 +) +name (Text +uid 208,0 +va (VaSet +font "Verdana,12,1" +) +xt "61693,7522,68393,8922" +st "positionI" +ju 0 +blo "65043,8722" +tm "ONodeName" +) +wait (TextAssociate +uid 209,0 +ps "CenterOffsetStrategy" +text (Text +uid 210,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "64793,8422,69893,9822" +st "wait 2" +blo "64793,9622" +tm "SmWaitText" +) +) +encoding (Text +uid 211,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "65043,9322,65043,9322" +blo "65043,9322" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 214,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 215,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "57993,10922,80093,12322" +) +autoResize 1 +tline (Line +uid 216,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "58093,10822,79993,10822" +pts [ +"58093,10822" +"79993,10822" +] +) +bline (Line +uid 217,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "58093,10222,79993,10222" +pts [ +"58093,10222" +"79993,10222" +] +) +ttri (Triangle +uid 218,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "57643,10447,57993,10797" +) +btri (Triangle +uid 219,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "57643,8047,57993,8397" +) +entryActions (MLText +uid 220,0 +va (VaSet +) +xt "58093,10622,58093,10622" +tm "Actions" +) +inActions (MLText +uid 221,0 +va (VaSet +) +xt "58093,11022,79993,12222" +st "info_cruse <= \"0000000000000000\";" +tm "Actions" +) +exitActions (MLText +uid 222,0 +va (VaSet +) +xt "65043,8222,65043,8222" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 212,0 +ps "CenterOffsetStrategy" +text (MLText +uid 213,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "62943,9422,69643,10622" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*22 (State +uid 253,0 +shape (Circle +uid 254,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "21748,29655,28850,36757" +radius 3551 +) +name (Text +uid 255,0 +va (VaSet +font "Verdana,12,1" +) +xt "23999,32506,26599,33906" +st "L1" +ju 0 +blo "25299,33706" +tm "ONodeName" +) +wait (TextAssociate +uid 256,0 +ps "CenterOffsetStrategy" +text (Text +uid 257,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "25049,33406,30149,34806" +st "wait 2" +blo "25049,34606" +tm "SmWaitText" +) +) +encoding (Text +uid 258,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "25299,34306,25299,34306" +blo "25299,34306" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 261,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 262,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "19957,36626,37057,38026" +) +autoResize 1 +tline (Line +uid 263,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "20057,36526,36957,36526" +pts [ +"20057,36526" +"36957,36526" +] +) +bline (Line +uid 264,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "20057,35926,36957,35926" +pts [ +"20057,35926" +"36957,35926" +] +) +ttri (Triangle +uid 265,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "19607,36151,19957,36501" +) +btri (Triangle +uid 266,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "19607,33751,19957,34101" +) +entryActions (MLText +uid 267,0 +va (VaSet +) +xt "20057,36326,20057,36326" +tm "Actions" +) +inActions (MLText +uid 268,0 +va (VaSet +) +xt "20057,36726,36957,37926" +st "info_cruse <= (pos1 - 4369);" +tm "Actions" +) +exitActions (MLText +uid 269,0 +va (VaSet +) +xt "24507,33926,24507,33926" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 259,0 +ps "CenterOffsetStrategy" +text (MLText +uid 260,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "23199,34406,29899,35606" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*23 (State +uid 270,0 +shape (Circle +uid 271,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "34996,29511,42098,36613" +radius 3551 +) +name (Text +uid 272,0 +va (VaSet +font "Verdana,12,1" +) +xt "37197,32362,39897,33762" +st "R1" +ju 0 +blo "38547,33562" +tm "ONodeName" +) +wait (TextAssociate +uid 273,0 +ps "CenterOffsetStrategy" +text (Text +uid 274,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "38297,33262,43397,34662" +st "wait 2" +blo "38297,34462" +tm "SmWaitText" +) +) +encoding (Text +uid 275,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "38547,34162,38547,34162" +blo "38547,34162" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 278,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 279,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "34329,36986,51629,38386" +) +autoResize 1 +tline (Line +uid 280,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "34429,36886,51529,36886" +pts [ +"34429,36886" +"51529,36886" +] +) +bline (Line +uid 281,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "34429,36286,51529,36286" +pts [ +"34429,36286" +"51529,36286" +] +) +ttri (Triangle +uid 282,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "33979,36511,34329,36861" +) +btri (Triangle +uid 283,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "33979,34111,34329,34461" +) +entryActions (MLText +uid 284,0 +va (VaSet +) +xt "34429,36686,34429,36686" +tm "Actions" +) +inActions (MLText +uid 285,0 +va (VaSet +) +xt "34429,37086,51529,38286" +st "info_cruse <= (pos1 + 4369);" +tm "Actions" +) +exitActions (MLText +uid 286,0 +va (VaSet +) +xt "38979,34286,38979,34286" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 276,0 +ps "CenterOffsetStrategy" +text (MLText +uid 277,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "36447,34262,43147,35462" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*24 (State +uid 287,0 +shape (Circle +uid 288,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "52132,29223,59234,36325" +radius 3551 +) +name (Text +uid 289,0 +va (VaSet +font "Verdana,12,1" +) +xt "54383,32074,56983,33474" +st "L2" +ju 0 +blo "55683,33274" +tm "ONodeName" +) +wait (TextAssociate +uid 290,0 +ps "CenterOffsetStrategy" +text (Text +uid 291,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "55433,32974,60533,34374" +st "wait 2" +blo "55433,34174" +tm "SmWaitText" +) +) +encoding (Text +uid 292,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "55683,33874,55683,33874" +blo "55683,33874" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 295,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 296,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "50341,36266,67441,37666" +) +autoResize 1 +tline (Line +uid 297,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "50441,36166,67341,36166" +pts [ +"50441,36166" +"67341,36166" +] +) +bline (Line +uid 298,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "50441,35566,67341,35566" +pts [ +"50441,35566" +"67341,35566" +] +) +ttri (Triangle +uid 299,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "49991,35791,50341,36141" +) +btri (Triangle +uid 300,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "49991,33391,50341,33741" +) +entryActions (MLText +uid 301,0 +va (VaSet +) +xt "50441,35966,50441,35966" +tm "Actions" +) +inActions (MLText +uid 302,0 +va (VaSet +) +xt "50441,36366,67341,37566" +st "info_cruse <= (pos1 - 4369);" +tm "Actions" +) +exitActions (MLText +uid 303,0 +va (VaSet +) +xt "54891,33566,54891,33566" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 293,0 +ps "CenterOffsetStrategy" +text (MLText +uid 294,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "53583,33974,60283,35174" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*25 (State +uid 304,0 +shape (Circle +uid 305,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "66532,28935,73634,36037" +radius 3551 +) +name (Text +uid 306,0 +va (VaSet +font "Verdana,12,1" +) +xt "68733,31786,71433,33186" +st "R2" +ju 0 +blo "70083,32986" +tm "ONodeName" +) +wait (TextAssociate +uid 307,0 +ps "CenterOffsetStrategy" +text (Text +uid 308,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "69833,32686,74933,34086" +st "wait 2" +blo "69833,33886" +tm "SmWaitText" +) +) +encoding (Text +uid 309,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "70083,33586,70083,33586" +blo "70083,33586" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 312,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 313,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "65361,35834,82661,37234" +) +autoResize 1 +tline (Line +uid 314,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "65461,35734,82561,35734" +pts [ +"65461,35734" +"82561,35734" +] +) +bline (Line +uid 315,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "65461,35134,82561,35134" +pts [ +"65461,35134" +"82561,35134" +] +) +ttri (Triangle +uid 316,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "65011,35359,65361,35709" +) +btri (Triangle +uid 317,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "65011,32959,65361,33309" +) +entryActions (MLText +uid 318,0 +va (VaSet +) +xt "65461,35534,65461,35534" +tm "Actions" +) +inActions (MLText +uid 319,0 +va (VaSet +) +xt "65461,35934,82561,37134" +st "info_cruse <= (pos1 + 4369);" +tm "Actions" +) +exitActions (MLText +uid 320,0 +va (VaSet +) +xt "70011,33134,70011,33134" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 310,0 +ps "CenterOffsetStrategy" +text (MLText +uid 311,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "67983,33686,74683,34886" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*26 (InterruptPoint +uid 361,0 +shape (CompositeShape +uid 362,0 +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +uid 363,0 +sl 0 +ro 270 +xt "2461,32994,4711,33994" +) +(Line +uid 364,0 +sl 0 +ro 270 +xt "4711,33494,5211,33494" +pts [ +"4711,33494" +"5211,33494" +] +) +(CustomPolygon +pts [ +"2711,33719" +"2711,33419" +"3361,33544" +"3261,33269" +"4361,33469" +"3536,33469" +"3711,33719" +] +uid 365,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "2711,33269,4361,33719" +) +] +) +) +*27 (Link +uid 366,0 +shape (CompositeShape +uid 367,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 368,0 +sl 0 +ro 270 +xt "15359,27306,17609,28306" +) +(Line +uid 369,0 +sl 0 +ro 270 +xt "14859,27806,15359,27806" +pts [ +"14859,27806" +"15359,27806" +] +) +] +) +name (TextAssociate +uid 370,0 +ps "CenterOffsetStrategy" +text (Text +uid 371,0 +va (VaSet +font "Verdana,9,1" +) +xt "18109,27306,23209,28506" +st "positionI" +blo "18109,28306" +tm "LinkName" +) +) +) +*28 (Link +uid 382,0 +shape (CompositeShape +uid 383,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 384,0 +sl 0 +ro 270 +xt "15215,32994,17465,33994" +) +(Line +uid 385,0 +sl 0 +ro 270 +xt "14715,33494,15215,33494" +pts [ +"14715,33494" +"15215,33494" +] +) +] +) +name (TextAssociate +uid 386,0 +ps "CenterOffsetStrategy" +text (Text +uid 387,0 +va (VaSet +font "Verdana,9,1" +) +xt "17965,32994,23165,34194" +st "position1" +blo "17965,33994" +tm "LinkName" +) +) +) +*29 (Link +uid 388,0 +shape (CompositeShape +uid 389,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 390,0 +sl 0 +ro 270 +xt "15503,40266,17753,41266" +) +(Line +uid 391,0 +sl 0 +ro 270 +xt "15003,40766,15503,40766" +pts [ +"15003,40766" +"15503,40766" +] +) +] +) +name (TextAssociate +uid 392,0 +ps "CenterOffsetStrategy" +text (Text +uid 393,0 +va (VaSet +font "Verdana,9,1" +) +xt "18253,40266,23453,41466" +st "position2" +blo "18253,41266" +tm "LinkName" +) +) +) +*30 (Transition +uid 104,0 +shape (Spline +uid 105,0 +va (VaSet +vasetType 3 +) +xt "5500,20000,16500,20000" +pts [ +"5500,20000" +"16500,20000" +] +) +start &4 +end &5 +ss 0 +es 0 +cond "rst = '0'" +tb (TransitionBlock +uid 106,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 107,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "8150,18900,13850,21100" +) +autoResize 1 +lineShape (Line +uid 108,0 +va (VaSet +vasetType 3 +) +xt "8650,20500,13350,20500" +pts [ +"8650,20500" +"13350,20500" +] +) +condition (MLText +uid 109,0 +va (VaSet +) +xt "8650,18900,13350,20100" +st "rst = '0'" +tm "Condition" +) +actions (MLText +uid 110,0 +va (VaSet +isHidden 1 +) +xt "6700,20900,15300,22100" +st "< Automatic >" +tm "Actions" +) +) +tp (TransitionPriority +uid 111,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 112,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5537,18937,7663,21063" +radius 1063 +) +pr (Text +uid 113,0 +va (VaSet +isHidden 1 +) +xt "5900,19400,7300,20600" +st "1" +ju 0 +blo "6600,20400" +tm "TransitionPriority" +) +padding "100,100" +) +) +*31 (Transition +uid 114,0 +shape (Spline +uid 115,0 +va (VaSet +vasetType 3 +) +xt "5050,15000,16500,15000" +pts [ +"5050,15000" +"16500,15000" +] +) +start &6 +end &7 +ss 0 +es 0 +tb (TransitionBlock +uid 116,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 117,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "7625,14495,13925,15505" +) +autoResize 1 +lineShape (Line +uid 118,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "10775,16000,10775,16000" +pts [ +"10775,16000" +"10775,16000" +] +) +condition (MLText +uid 119,0 +va (VaSet +) +xt "8125,14400,13425,15600" +tm "Condition" +) +actions (MLText +uid 120,0 +va (VaSet +) +xt "10775,16000,10775,16000" +tm "Actions" +) +) +tp (TransitionPriority +uid 121,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 122,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5132,13937,7258,16063" +radius 1063 +) +pr (Text +uid 123,0 +va (VaSet +isHidden 1 +) +xt "5495,14400,6895,15600" +st "1" +ju 0 +blo "6195,15400" +tm "TransitionPriority" +) +padding "100,100" +) +) +*32 (Transition +uid 321,0 +shape (Spline +uid 322,0 +va (VaSet +vasetType 3 +) +xt "27108,25896,29627,30151" +pts [ +"29627,25896" +"27108,30151" +] +arrow 1 +) +start &19 +end &22 +ss 0 +es 0 +cond "pos1 > Position" +tb (TransitionBlock +uid 323,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 324,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "21747,27308,32047,29508" +) +autoResize 1 +lineShape (Line +uid 325,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "24897,29408,24897,29408" +pts [ +"24897,29408" +"24897,29408" +] +) +condition (MLText +uid 326,0 +va (VaSet +) +xt "22247,27808,31547,29008" +st "pos1 > Position" +tm "Condition" +) +actions (MLText +uid 327,0 +va (VaSet +) +xt "26897,29408,26897,29408" +tm "Actions" +) +) +tp (TransitionPriority +uid 328,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 329,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "28312,25258,30438,27384" +radius 1063 +) +pr (Text +uid 330,0 +va (VaSet +) +xt "28675,25721,30075,26921" +st "1" +ju 0 +blo "29375,26721" +tm "TransitionPriority" +) +padding "100,100" +) +) +*33 (Transition +uid 331,0 +shape (Spline +uid 332,0 +va (VaSet +vasetType 3 +) +xt "34022,25841,36662,30053" +pts [ +"34022,25841" +"36662,30053" +] +arrow 1 +) +start &19 +end &23 +ss 0 +es 0 +cond "pos1 <= Position" +tb (TransitionBlock +uid 333,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 334,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "34626,27375,45626,29575" +) +autoResize 1 +lineShape (Line +uid 335,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "37776,29475,37776,29475" +pts [ +"37776,29475" +"37776,29475" +] +) +condition (MLText +uid 336,0 +va (VaSet +) +xt "35126,27875,45126,29075" +st "pos1 <= Position" +tm "Condition" +) +actions (MLText +uid 337,0 +va (VaSet +) +xt "40126,29475,40126,29475" +tm "Actions" +) +) +tp (TransitionPriority +uid 338,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 339,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "33223,25199,35349,27325" +radius 1063 +) +pr (Text +uid 340,0 +va (VaSet +) +xt "33586,25662,34986,26862" +st "2" +ju 0 +blo "34286,26662" +tm "TransitionPriority" +) +padding "100,100" +) +) +*34 (Transition +uid 341,0 +shape (Spline +uid 342,0 +va (VaSet +vasetType 3 +) +xt "57286,24116,60063,29606" +pts [ +"60063,24116" +"57286,29606" +] +arrow 1 +) +start &20 +end &24 +ss 0 +es 0 +cond "pos2 > Position" +tb (TransitionBlock +uid 343,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 344,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "53422,26289,63722,28489" +) +autoResize 1 +lineShape (Line +uid 345,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "56572,28389,56572,28389" +pts [ +"56572,28389" +"56572,28389" +] +) +condition (MLText +uid 346,0 +va (VaSet +) +xt "53922,26789,63222,27989" +st "pos2 > Position" +tm "Condition" +) +actions (MLText +uid 347,0 +va (VaSet +) +xt "58572,28389,58572,28389" +tm "Actions" +) +) +tp (TransitionPriority +uid 348,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 349,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "58722,23601,60848,25727" +radius 1063 +) +pr (Text +uid 350,0 +va (VaSet +) +xt "59085,24064,60485,25264" +st "1" +ju 0 +blo "59785,25064" +tm "TransitionPriority" +) +padding "100,100" +) +) +*35 (Transition +uid 351,0 +shape (Spline +uid 352,0 +va (VaSet +vasetType 3 +) +xt "64277,23855,68101,29540" +pts [ +"64277,23855" +"68101,29540" +] +arrow 1 +) +start &20 +end &25 +ss 0 +es 0 +cond "pos2 <= Position" +tb (TransitionBlock +uid 353,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 354,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "65689,26198,76689,28398" +) +autoResize 1 +lineShape (Line +uid 355,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "68839,28298,68839,28298" +pts [ +"68839,28298" +"68839,28298" +] +) +condition (MLText +uid 356,0 +va (VaSet +) +xt "66189,26698,76189,27898" +st "pos2 <= Position" +tm "Condition" +) +actions (MLText +uid 357,0 +va (VaSet +) +xt "71189,28298,71189,28298" +tm "Actions" +) +) +tp (TransitionPriority +uid 358,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 359,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "63596,23360,65722,25486" +radius 1063 +) +pr (Text +uid 360,0 +va (VaSet +) +xt "63959,23823,65359,25023" +st "2" +ju 0 +blo "64659,24823" +tm "TransitionPriority" +) +padding "100,100" +) +) +*36 (Transition +uid 372,0 +shape (Spline +uid 373,0 +va (VaSet +vasetType 3 +) +xt "5211,27806,14859,33494" +pts [ +"5211,33494" +"14859,27806" +] +) +start &26 +end &27 +ss 0 +es 0 +cond "button = \"0001\"" +tb (TransitionBlock +uid 374,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 375,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "5071,28854,15771,31054" +) +autoResize 1 +lineShape (Line +uid 376,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "8221,30954,8221,30954" +pts [ +"8221,30954" +"8221,30954" +] +) +condition (MLText +uid 377,0 +va (VaSet +) +xt "5571,29354,15271,30554" +st "button = \"0001\"" +tm "Condition" +) +actions (MLText +uid 378,0 +va (VaSet +) +xt "10421,30954,10421,30954" +tm "Actions" +) +) +tp (TransitionPriority +uid 379,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 380,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5112,31862,7238,33988" +radius 1063 +) +pr (Text +uid 381,0 +va (VaSet +) +xt "5475,32325,6875,33525" +st "1" +ju 0 +blo "6175,33325" +tm "TransitionPriority" +) +padding "100,100" +) +) +*37 (Transition +uid 394,0 +shape (Spline +uid 395,0 +va (VaSet +vasetType 3 +) +xt "5211,33494,14715,33494" +pts [ +"5211,33494" +"14715,33494" +] +) +start &26 +end &28 +es 0 +cond "button = \"0010\"" +tb (TransitionBlock +uid 396,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 397,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "8167,33930,18867,36130" +) +autoResize 1 +lineShape (Line +uid 398,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "11317,36030,11317,36030" +pts [ +"11317,36030" +"11317,36030" +] +) +condition (MLText +uid 399,0 +va (VaSet +) +xt "8667,34430,18367,35630" +st "button = \"0010\"" +tm "Condition" +) +actions (MLText +uid 400,0 +va (VaSet +) +xt "13517,36030,13517,36030" +tm "Actions" +) +) +tp (TransitionPriority +uid 401,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 402,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5098,32431,7224,34557" +radius 1063 +) +pr (Text +uid 403,0 +va (VaSet +) +xt "5461,32894,6861,34094" +st "2" +ju 0 +blo "6161,33894" +tm "TransitionPriority" +) +padding "100,100" +) +) +*38 (Transition +uid 404,0 +shape (Spline +uid 405,0 +va (VaSet +vasetType 3 +) +xt "5211,33494,15003,40766" +pts [ +"5211,33494" +"15003,40766" +] +) +start &26 +end &29 +ss 0 +es 0 +cond "button = \"0100\"" +tb (TransitionBlock +uid 406,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 407,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "5431,36990,16131,39190" +) +autoResize 1 +lineShape (Line +uid 408,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "8581,39090,8581,39090" +pts [ +"8581,39090" +"8581,39090" +] +) +condition (MLText +uid 409,0 +va (VaSet +) +xt "5931,37490,15631,38690" +st "button = \"0100\"" +tm "Condition" +) +actions (MLText +uid 410,0 +va (VaSet +) +xt "10781,39090,10781,39090" +tm "Actions" +) +) +tp (TransitionPriority +uid 411,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 412,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5126,33157,7252,35283" +radius 1063 +) +pr (Text +uid 413,0 +va (VaSet +) +xt "5489,33620,6889,34820" +st "3" +ju 0 +blo "6189,34620" +tm "TransitionPriority" +) +padding "100,100" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 0 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *39 (PackageList +uid 31,0 +stg "VerticalLayoutStrategy" +textVec [ +*40 (Text +uid 32,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,3000,7600,4200" +st "Package List" +blo "0,4000" +) +*41 (MLText +uid 33,0 +va (VaSet +) +xt "0,4200,17500,7800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "SmPackageListTextMgr" +) +] +) +compDirBlock (MlTextGroup +uid 34,0 +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +uid 35,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*43 (Text +uid 36,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*44 (MLText +uid 37,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "SmCompilerDirectivesTextMgr" +) +*45 (Text +uid 38,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*46 (MLText +uid 39,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "SmCompilerDirectivesTextMgr" +) +*47 (Text +uid 40,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*48 (MLText +uid 41,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "SmCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1715,1119" +viewArea "-24000,-19600,111317,70581" +cachedDiagramExtent "0,-1000,86600,47000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-2000" +isTopLevel 1 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +localDecl *49 (SmLocalDecl +uid 3,0 +stg "VerticalLayoutStrategy" +textVec [ +*50 (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,-1000,42400,200" +st "Architecture Declarations" +blo "27800,0" +) +*51 (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*52 (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,200,33000,1400" +st "Pre Decls" +blo "27800,1200" +) +*53 (MLText +uid 7,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*54 (Text +uid 8,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,1400,34200,2600" +st "Post Decls" +blo "27800,2400" +) +*55 (MLText +uid 9,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,2600,27800,2600" +tm "LocalDeclTextMgr" +) +] +) +processDecl *56 (SmProcessDecl +uid 10,0 +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,-1000,86600,200" +st "Process Declarations" +blo "74500,0" +) +*58 (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,200,84000,1400" +st "Clocked Process:" +blo "74500,1200" +) +*59 (MLText +uid 13,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,-1000,74500,-1000" +tm "ProcessDeclTextMgr" +) +*60 (Text +uid 14,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,1400,83800,2600" +st "Output Process:" +blo "74500,2400" +) +*61 (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,2600,74500,2600" +tm "ProcessDeclTextMgr" +) +] +associable 1 +) +defaultActions *62 (MlTextGroup +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-1000,8400,200" +st "Global Actions" +blo "0,0" +) +*64 (Text +uid 18,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,200,7400,1400" +st "Pre Actions:" +blo "0,1200" +) +*65 (MLText +uid 19,0 +va (VaSet +) +xt "0,-1000,0,-1000" +tm "Actions" +) +*66 (Text +uid 20,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,1400,8000,2600" +st "Post Actions:" +blo "0,2400" +) +*67 (MLText +uid 21,0 +va (VaSet +) +xt "0,2600,0,2600" +tm "Actions" +) +] +associable 1 +) +archConcurrentStatementBlock *68 (BiTextGroup +uid 22,0 +stg "VerticalLayoutStrategy" +first (Text +uid 23,0 +va (VaSet +font "Verdana,9,1" +) +xt "11400,-1000,24800,200" +st "Concurrent Statements" +blo "11400,0" +) +second (MLText +uid 24,0 +va (VaSet +) +xt "11400,200,11400,200" +tm "ArchConcStmtTextMgr" +) +associable 1 +) +signalsGenStatus *69 (SmSignalGenStatus +uid 28,0 +stg "VerticalLayoutStrategy" +first (Text +uid 29,0 +va (VaSet +font "Verdana,9,1" +) +xt "45400,-1000,53200,200" +st "Signal Status" +blo "45400,0" +) +second (MLText +uid 30,0 +va (VaSet +font "Courier New,8,0" +) +xt "45400,200,73400,1800" +st "SIGNAL MODE DEFAULT RESET SCHEME COMMENT +info_cruse OUT COMB +" +tm "SmSignalsGenStatusTextMgr" +) +) +stateRegBlock *70 (BiTextGroup +uid 25,0 +stg "VerticalLayoutStrategy" +first (Text +uid 26,0 +va (VaSet +font "Verdana,9,1" +) +xt "56200,-1000,71500,200" +st "State Register Statements" +blo "56200,0" +) +second (MLText +uid 27,0 +va (VaSet +) +xt "56200,200,56200,200" +tm "Actions" +) +associable 1 +) +) +genChar (SmGenChar +uid 42,0 +nextStateClocking 0 +) +encoding (Encoding +scheme 3 +encodingStyles [ +(pair +scheme 0 +style 0 +) +(pair +scheme 1 +style 1 +) +(pair +scheme 2 +style 0 +) +(pair +scheme 3 +style 0 +) +(pair +scheme 4 +style 0 +) +(pair +scheme 5 +style 0 +) +] +otherValues [ +(pair +scheme 0 +otherValue "" +) +(pair +scheme 1 +otherValue "" +) +(pair +scheme 2 +otherValue "" +) +(pair +scheme 3 +otherValue "" +) +(pair +scheme 4 +otherValue "" +) +(pair +scheme 5 +otherValue "" +) +] +attribute 0 +synSafe 0 +outputEncodedLocals 0 +useVerilogParameterRange 0 +radix 2 +) +stateOrder [ +&2 +&19 +&20 +&21 +&22 +&23 +&24 +&25 +] +name "csm" +) +] +lastUid 482,0 +commonDM (CommonDM +ldm (LogicalDM +emptyRow *71 (LEmptyRow +) +uid 416,0 +optionalChildren [ +*72 (RefLabelRowHdr +) +*73 (TitleRowHdr +) +*74 (FilterRowHdr +) +*75 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*76 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*77 (GroupColHdr +tm "GroupColHdrMgr" +) +*78 (NameColHdr +tm "SmNameColHdrMgr" +) +*79 (ModeColHdr +tm "SmModeColHdrMgr" +) +*80 (TypeColHdr +tm "SmTypeColHdrMgr" +) +*81 (BoundsColHdr +tm "SmBoundsColHdrMgr" +) +*82 (InitColHdr +tm "SmInitColHdrMgr" +) +*83 (ColumnHdr +tm "SmCategoryColHdrMgr" +) +*84 (ColumnHdr +tm "SmAssignColHdrMgr" +) +*85 (ColumnHdr +tm "SmExprColHdrMgr" +) +*86 (ColumnHdr +tm "SmSchemeColHdrMgr" +) +*87 (ColumnHdr +tm "SmDefValColHdrMgr" +) +*88 (ColumnHdr +tm "SmRstValColHdrMgr" +) +*89 (EolColHdr +tm "SmEolColHdrMgr" +) +*90 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 1 +) +) +uid 156,0 +) +*91 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "button" +t "unsigned" +b "(3 DOWNTO 0)" +o 2 +) +) +uid 158,0 +) +*92 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_uLogic" +o 3 +) +) +uid 160,0 +cat 1 +expr "clk'EVENT AND clk = '1'" +) +*93 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "pos1" +t "std_logic" +b "(15 DOWNTO 0)" +o 4 +) +) +uid 162,0 +) +*94 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "pos2" +t "std_logic" +b "(15 DOWNTO 0)" +o 5 +) +) +uid 164,0 +) +*95 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "pos_init" +t "std_logic" +b "(15 DOWNTO 0)" +o 6 +) +) +uid 166,0 +) +*96 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_uLogic" +o 7 +) +) +uid 168,0 +cat 8 +expr "rst = '0'" +) +*97 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "info_cruse" +t "unsigned" +b "(15 DOWNTO 0)" +o 8 +) +) +uid 170,0 +scheme 0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 435,0 +optionalChildren [ +*98 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *99 (MRCItem +litem &71 +pos 8 +dimension 20 +) +uid 437,0 +optionalChildren [ +*100 (MRCItem +litem &72 +pos 0 +dimension 20 +uid 438,0 +) +*101 (MRCItem +litem &73 +pos 1 +dimension 23 +uid 439,0 +) +*102 (MRCItem +litem &74 +pos 2 +hidden 1 +dimension 20 +uid 440,0 +) +*103 (MRCItem +litem &90 +pos 6 +dimension 20 +uid 157,0 +) +*104 (MRCItem +litem &91 +pos 0 +dimension 20 +uid 159,0 +) +*105 (MRCItem +litem &92 +pos 1 +dimension 20 +uid 161,0 +) +*106 (MRCItem +litem &93 +pos 3 +dimension 20 +uid 163,0 +) +*107 (MRCItem +litem &94 +pos 4 +dimension 20 +uid 165,0 +) +*108 (MRCItem +litem &95 +pos 5 +dimension 20 +uid 167,0 +) +*109 (MRCItem +litem &96 +pos 7 +dimension 20 +uid 169,0 +) +*110 (MRCItem +litem &97 +pos 2 +dimension 20 +uid 171,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 441,0 +optionalChildren [ +*111 (MRCItem +litem &75 +pos 0 +dimension 20 +uid 442,0 +) +*112 (MRCItem +litem &77 +pos 1 +dimension 50 +uid 443,0 +) +*113 (MRCItem +litem &78 +pos 2 +dimension 70 +uid 444,0 +) +*114 (MRCItem +litem &79 +pos 3 +dimension 50 +uid 445,0 +) +*115 (MRCItem +litem &80 +pos 4 +dimension 80 +uid 446,0 +) +*116 (MRCItem +litem &81 +pos 5 +dimension 80 +uid 447,0 +) +*117 (MRCItem +litem &82 +pos 6 +dimension 40 +uid 448,0 +) +*118 (MRCItem +litem &83 +pos 7 +dimension 100 +uid 449,0 +) +*119 (MRCItem +litem &84 +pos 8 +dimension 60 +uid 450,0 +) +*120 (MRCItem +litem &85 +pos 9 +dimension 130 +uid 451,0 +) +*121 (MRCItem +litem &86 +pos 10 +dimension 56 +uid 452,0 +) +*122 (MRCItem +litem &87 +pos 11 +dimension 50 +uid 453,0 +) +*123 (MRCItem +litem &88 +pos 12 +dimension 50 +uid 454,0 +) +*124 (MRCItem +litem &89 +pos 13 +dimension 80 +uid 455,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 436,0 +vaOverrides [ +] +) +] +) +uid 415,0 +) +cdmCsm &1 +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *125 (LEmptyRow +) +uid 457,0 +optionalChildren [ +*126 (RefLabelRowHdr +) +*127 (TitleRowHdr +) +*128 (FilterRowHdr +) +*129 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*130 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*131 (GroupColHdr +tm "GroupColHdrMgr" +) +*132 (NameColHdr +tm "GenericNameColHdrMgr" +) +*133 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*134 (InitColHdr +tm "GenericValueColHdrMgr" +) +*135 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*136 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 469,0 +optionalChildren [ +*137 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *138 (MRCItem +litem &125 +pos 0 +dimension 20 +) +uid 471,0 +optionalChildren [ +*139 (MRCItem +litem &126 +pos 0 +dimension 20 +uid 472,0 +) +*140 (MRCItem +litem &127 +pos 1 +dimension 23 +uid 473,0 +) +*141 (MRCItem +litem &128 +pos 2 +hidden 1 +dimension 20 +uid 474,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 475,0 +optionalChildren [ +*142 (MRCItem +litem &129 +pos 0 +dimension 20 +uid 476,0 +) +*143 (MRCItem +litem &131 +pos 1 +dimension 50 +uid 477,0 +) +*144 (MRCItem +litem &132 +pos 2 +dimension 100 +uid 478,0 +) +*145 (MRCItem +litem &133 +pos 3 +dimension 100 +uid 479,0 +) +*146 (MRCItem +litem &134 +pos 4 +dimension 50 +uid 480,0 +) +*147 (MRCItem +litem &135 +pos 5 +dimension 50 +uid 481,0 +) +*148 (MRCItem +litem &136 +pos 6 +dimension 80 +uid 482,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 470,0 +vaOverrides [ +] +) +] +) +uid 456,0 +type 1 +) +signalSuffix "_int" +clockSuffix "_cld" +defaultState (State +shape (Circle +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-3551,-3551,3551,3551" +radius 3551 +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3900,3300,4100,3500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +entryActions (MLText +va (VaSet +) +tm "Actions" +) +inActions (MLText +va (VaSet +) +tm "Actions" +) +exitActions (MLText +va (VaSet +) +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultWaitState (State +shape (CircleInOctagon +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +xt "-529,-529,6529,6529" +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8900,6300,9100,6500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +entryActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +inActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +exitActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +isWait 1 +) +defaultCompositeState (CompositeState +shape (TripleCircle +va (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "Verdana,10,1" +) +xt "-1000,-600,1000,600" +st "s0" +ju 0 +blo "0,400" +tm "ONodeName" +) +childDiagram &0 +) +defaultJunction (Junction +shape (Diamond +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "-1300,-1300,2300,2300" +) +symbol (Text +va (VaSet +font "Verdana,10,1" +) +xt "-300,-100,1300,1100" +st "&" +ju 0 +blo "500,900" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2000,1000,2000,1000" +blo "2000,1000" +tm "JunctionName" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2550,2000,4150,3200" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultEntryPoint (EntryPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +] +) +) +defaultInterruptPoint (InterruptPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +(CustomPolygon +pts [ +"-625,1600" +"-625,1300" +"25,1425" +"-75,1150" +"1025,1350" +"200,1350" +"375,1600" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "-625,1150,1025,1600" +) +] +) +) +defaultLink (Link +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2375,875,5075,2075" +st "Link" +blo "2375,1875" +tm "LinkName" +) +) +) +defaultExitPoint (ExitPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +) +defaultTransition (Transition +shape (Spline +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +arrow 1 +) +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-500,-500,5800,1700" +) +autoResize 1 +lineShape (Line +va (VaSet +vasetType 3 +isHidden 1 +) +xt "2650,1600,2650,1600" +pts [ +"2650,1600" +"2650,1600" +] +) +condition (MLText +va (VaSet +) +xt "0,0,5300,1200" +st "condition" +tm "Condition" +) +actions (MLText +va (VaSet +) +xt "2650,2000,2650,2000" +tm "Actions" +) +) +tp (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-1063,-1063,1063,1063" +radius 1063 +) +pr (Text +va (VaSet +) +xt "-700,-600,700,600" +st "1" +ju 0 +blo "0,400" +tm "TransitionPriority" +) +padding "100,100" +) +) +defaultClk (SmClockPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"275,1425" +"574,1425" +"574,825" +"874,825" +] +) +(Arc2D +pts [ +"-116,1278" +"-371,972" +"-116,972" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-441,926,-116,1323" +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-3325,625,-1125,1825" +st "clk" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultEnable (SmEnablePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Arc2D +pts [ +"-130,1263" +"-415,1064" +"-76,1064" +] +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-425,943,-76,1304" +) +(Line +sl 0 +ro 270 +xt "-415,1064,-106,1064" +pts [ +"-415,1064" +"-106,1064" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-5125,625,-1125,1825" +st "enable" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultRst (SmResetPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-276,1000" +pts [ +"-376,1000" +"-276,950" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-376,1300" +pts [ +"-376,1300" +"-376,950" +] +) +(Circle +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "424,975,724,1275" +radius 150 +) +] +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-625,-1175,2675,225" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "-525,-1075,2575,125" +st "cond" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "1625,62,3751,2188" +radius 1063 +) +pr (Text +va (VaSet +) +xt "1988,525,3388,1725" +st "1" +ju 0 +blo "2688,1525" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-2725,525,-625,1725" +st "rst" +ju 2 +blo "-625,1525" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +) +xt "4750,2625,13350,3825" +st "< Automatic >" +tm "Actions" +) +) +) +defaultRecStatePt (SmRecoveryStatePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +sl 0 +xt "-900,-900,900,900" +radius 900 +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"-426,426" +"426,-426" +] +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"426,426" +"-426,-426" +] +) +] +) +) +activeModelName "StateMachine" +LanguageMgr "Vhdl2008LangMgr" +) diff --git a/Cursor/hds/selector_cruse/interface b/Cursor/hds/selector_cruse/interface new file mode 100644 index 0000000..09968f7 --- /dev/null +++ b/Cursor/hds/selector_cruse/interface @@ -0,0 +1,1786 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 24,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 109,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "button" +t "unsigned" +b "(3 DOWNTO 0)" +o 2 +suid 17,0 +) +) +uid 444,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "unsigned" +o 3 +suid 18,0 +) +) +uid 446,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "info_cruse" +t "unsigned" +b "(15 DOWNTO 0)" +o 17 +suid 19,0 +) +) +uid 448,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "pos1" +t "std_logic" +b "(15 DOWNTO 0)" +o 27 +suid 20,0 +) +) +uid 450,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "pos2" +t "std_logic" +b "(15 DOWNTO 0)" +o 28 +suid 21,0 +) +) +uid 452,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "pos_init" +t "std_logic" +b "(15 DOWNTO 0)" +o 29 +suid 22,0 +) +) +uid 454,0 +) +*20 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 1 +suid 23,0 +) +) +uid 456,0 +) +*21 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "rst" +t "unsigned" +o 4 +suid 24,0 +) +) +uid 458,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 124,0 +optionalChildren [ +*24 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 125,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 126,0 +) +*26 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*27 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 445,0 +) +*28 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 447,0 +) +*29 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 449,0 +) +*30 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 451,0 +) +*31 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 453,0 +) +*32 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 455,0 +) +*33 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 457,0 +) +*34 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 459,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*35 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 129,0 +) +*36 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 130,0 +) +*37 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 131,0 +) +*38 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 132,0 +) +*39 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 133,0 +) +*40 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 134,0 +) +*41 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 135,0 +) +*42 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 136,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 108,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 138,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 150,0 +optionalChildren [ +*55 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *56 (MRCItem +litem &43 +pos 3 +dimension 20 +) +uid 152,0 +optionalChildren [ +*57 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 153,0 +) +*58 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 154,0 +) +*59 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 155,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 156,0 +optionalChildren [ +*60 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 157,0 +) +*61 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 158,0 +) +*62 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 159,0 +) +*63 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 160,0 +) +*64 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 161,0 +) +*65 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 162,0 +) +*66 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 163,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 151,0 +vaOverrides [ +] +) +] +) +uid 137,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_cruse\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_cruse\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_cruse" +) +(vvPair +variable "d_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_cruse" +) +(vvPair +variable "date" +value "14.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "entity_name" +value "selector_cruse" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "Simon" +) +(vvPair +variable "graphical_source_date" +value "14.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "PC-SDM" +) +(vvPair +variable "graphical_source_time" +value "14:18:34" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "PC-SDM" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "selector_cruse" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_cruse\\interface" +) +(vvPair +variable "p_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_cruse\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "14:18:34" +) +(vvPair +variable "unit" +value "selector_cruse" +) +(vvPair +variable "user" +value "Simon" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 107,0 +optionalChildren [ +*67 (SymbolBody +uid 8,0 +optionalChildren [ +*68 (CptPort +uid 404,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 405,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "16625,5250,17375,6000" +) +tg (CPTG +uid 406,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 407,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "16300,7000,17700,12000" +st "button" +ju 2 +blo "17500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 408,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,67500,4000" +st "button : IN unsigned (3 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "button" +t "unsigned" +b "(3 DOWNTO 0)" +o 2 +suid 17,0 +) +) +) +*69 (CptPort +uid 409,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 410,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19625,5250,20375,6000" +) +tg (CPTG +uid 411,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 412,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "19300,7000,20700,9400" +st "clk" +ju 2 +blo "20500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 413,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,61000,4800" +st "clk : IN unsigned ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk" +t "unsigned" +o 3 +suid 18,0 +) +) +) +*70 (CptPort +uid 414,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 415,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "16625,13000,17375,13750" +) +tg (CPTG +uid 416,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 417,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "16300,3900,17700,12000" +st "info_cruse" +blo "17500,12000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 418,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8000,67000,8800" +st "info_cruse : OUT unsigned (15 DOWNTO 0) +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "info_cruse" +t "unsigned" +b "(15 DOWNTO 0)" +o 17 +suid 19,0 +) +) +) +*71 (CptPort +uid 419,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 420,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,10625,15000,11375" +) +tg (CPTG +uid 421,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 422,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,10300,19900,11700" +st "pos1" +blo "16000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 423,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,68500,5600" +st "pos1 : IN std_logic (15 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "pos1" +t "std_logic" +b "(15 DOWNTO 0)" +o 27 +suid 20,0 +) +) +) +*72 (CptPort +uid 424,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 425,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,9625,15000,10375" +) +tg (CPTG +uid 426,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 427,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,9300,19900,10700" +st "pos2" +blo "16000,10500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 428,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,68500,6400" +st "pos2 : IN std_logic (15 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "pos2" +t "std_logic" +b "(15 DOWNTO 0)" +o 28 +suid 21,0 +) +) +) +*73 (CptPort +uid 429,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 430,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,8625,15000,9375" +) +tg (CPTG +uid 431,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 432,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,8300,21800,9700" +st "pos_init" +blo "16000,9500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 433,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,68500,7200" +st "pos_init : IN std_logic (15 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "pos_init" +t "std_logic" +b "(15 DOWNTO 0)" +o 29 +suid 22,0 +) +) +) +*74 (CptPort +uid 434,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 435,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,6625,15000,7375" +) +tg (CPTG +uid 436,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 437,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,6300,21800,7700" +st "Position" +blo "16000,7500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 438,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,68000,3200" +st "Position : IN unsigned (15 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 1 +suid 23,0 +) +) +) +*75 (CptPort +uid 439,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 440,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "21625,5250,22375,6000" +) +tg (CPTG +uid 441,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 442,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "21300,7000,22700,9500" +st "rst" +ju 2 +blo "22500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 443,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7200,61000,8000" +st "rst : IN unsigned ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "rst" +t "unsigned" +o 4 +suid 24,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,27000,13000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "16800,8300,20500,9500" +st "Cursor" +blo "16800,9300" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "16800,9500,25200,10700" +st "selector_cruse" +blo "16800,10500" +) +) +gi *76 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "-8500,5500,3000,6300" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*77 (Grouping +uid 16,0 +optionalChildren [ +*78 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,46000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,48100,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *88 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*89 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*90 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor" +entityName "Main" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *91 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *92 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,8800,45200,10000" +st "User:" +blo "42000,9800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,10000,44000,10000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 459,0 +activeModelName "Symbol:CDM" +) diff --git a/Cursor/hds/selector_deceleration/fsm.sm b/Cursor/hds/selector_deceleration/fsm.sm new file mode 100644 index 0000000..3a20286 --- /dev/null +++ b/Cursor/hds/selector_deceleration/fsm.sm @@ -0,0 +1,4069 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +machine (Machine +name "csm" +children [ +(Machine +name "csm" +children [ +] +stateSignalName "current_state" +) +] +) +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (StateMachine +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_deceleration\\fsm.sm.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_deceleration\\fsm.sm.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "fsm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_deceleration" +) +(vvPair +variable "d_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_deceleration" +) +(vvPair +variable "date" +value "14.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "entity_name" +value "selector_deceleration" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "fsm.sm" +) +(vvPair +variable "f_logical" +value "fsm.sm" +) +(vvPair +variable "f_noext" +value "fsm" +) +(vvPair +variable "graphical_source_author" +value "Simon" +) +(vvPair +variable "graphical_source_date" +value "14.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "PC-SDM" +) +(vvPair +variable "graphical_source_time" +value "14:16:33" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "PC-SDM" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "selector_deceleration" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_deceleration\\fsm.sm" +) +(vvPair +variable "p_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_deceleration\\fsm.sm" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sm" +) +(vvPair +variable "this_file" +value "fsm" +) +(vvPair +variable "this_file_logical" +value "fsm" +) +(vvPair +variable "time" +value "14:16:33" +) +(vvPair +variable "unit" +value "selector_deceleration" +) +(vvPair +variable "user" +value "Simon" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "fsm" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +uid 401,0 +optionalChildren [ +*1 (ConcurrentSM +uid 1,0 +topDiagram (StateDiagram +LanguageMgr "None" +uid 2,0 +optionalChildren [ +*2 (SmClockPoint +uid 60,0 +shape (CompositeShape +uid 61,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 62,0 +sl 0 +ro 270 +xt "3250,10500,5500,11500" +) +(OrthoPolyLine +uid 63,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,10700,4749,11300" +pts [ +"4150,11300" +"4449,11300" +"4449,10700" +"4749,10700" +] +) +(Arc2D +pts [ +"3759,11153" +"3504,10847" +"3759,10847" +] +uid 64,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "3431,10800,3759,11199" +) +] +) +name (TextAssociate +uid 65,0 +ps "CenterOffsetStrategy" +text (Text +uid 66,0 +va (VaSet +) +xt "550,10500,2750,11700" +st "clk" +ju 2 +blo "2750,11500" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +uid 67,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 68,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "5500,10300,20400,11700" +) +autoResize 1 +cond (MLText +uid 69,0 +va (VaSet +) +xt "5600,10400,20300,11600" +st "clk'EVENT AND clk = '1'" +tm "SmControlConditionMgr" +) +) +) +*3 (SmResetPoint +uid 70,0 +shape (CompositeShape +uid 71,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 72,0 +sl 0 +ro 270 +xt "3250,19500,5500,20500" +) +(OrthoPolyLine +uid 73,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,19700,4749,20300" +pts [ +"4749,20300" +"4449,20300" +"4449,19700" +"4150,19700" +] +) +(Line +uid 74,0 +sl 0 +ro 270 +xt "3499,19825,3599,19875" +pts [ +"3499,19875" +"3599,19825" +] +) +(Line +uid 75,0 +sl 0 +ro 270 +xt "3499,19825,3499,20175" +pts [ +"3499,20175" +"3499,19825" +] +) +(Circle +uid 76,0 +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "4299,19850,4599,20150" +radius 150 +) +] +) +cond (SmControlCondition +uid 82,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 83,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "3250,17700,8150,19100" +) +autoResize 1 +cond (MLText +uid 84,0 +va (VaSet +isHidden 1 +) +xt "3350,17800,8050,19000" +st "rst = '0'" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +uid 79,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 80,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5500,18937,7626,21063" +radius 1063 +) +pr (Text +uid 81,0 +va (VaSet +isHidden 1 +) +xt "5863,19400,7263,20600" +st "1" +ju 0 +blo "6563,20400" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +uid 77,0 +ps "CenterOffsetStrategy" +text (Text +uid 78,0 +va (VaSet +) +xt "1150,19400,3250,20600" +st "rst" +ju 2 +blo "3250,20400" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +uid 85,0 +ps "CenterOffsetStrategy" +text (MLText +uid 86,0 +va (VaSet +isHidden 1 +) +xt "11125,24125,19725,25325" +st "< Automatic >" +tm "Actions" +) +) +) +*4 (Link +uid 87,0 +shape (CompositeShape +uid 88,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 89,0 +sl 0 +ro 270 +xt "17000,19500,19250,20500" +) +(Line +uid 90,0 +sl 0 +ro 270 +xt "16500,20000,17000,20000" +pts [ +"16500,20000" +"17000,20000" +] +) +] +) +name (TextAssociate +uid 91,0 +ps "CenterOffsetStrategy" +text (Text +uid 92,0 +va (VaSet +font "Verdana,9,1" +) +xt "19750,19500,22050,20700" +st "init" +blo "19750,20500" +tm "LinkName" +) +) +) +*5 (SmRecoveryStatePoint +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +uid 95,0 +sl 0 +xt "3250,14100,5050,15900" +radius 900 +) +(Line +uid 96,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"3724,15426" +"4576,14574" +] +) +(Line +uid 97,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"4576,15426" +"3724,14574" +] +) +] +) +) +*6 (Link +uid 98,0 +shape (CompositeShape +uid 99,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 100,0 +sl 0 +ro 270 +xt "17000,14500,19250,15500" +) +(Line +uid 101,0 +sl 0 +ro 270 +xt "16500,15000,17000,15000" +pts [ +"16500,15000" +"17000,15000" +] +) +] +) +name (TextAssociate +uid 102,0 +ps "CenterOffsetStrategy" +text (Text +uid 103,0 +va (VaSet +font "Verdana,9,1" +) +xt "19750,14500,22050,15700" +st "init" +blo "19750,15500" +tm "LinkName" +) +) +) +*7 (Grouping +uid 124,0 +optionalChildren [ +*8 (CommentText +uid 126,0 +shape (Rectangle +uid 127,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 128,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46000,47000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*9 (CommentText +uid 129,0 +shape (Rectangle +uid 130,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,57000,43000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 131,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,42000,56200,43000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 132,0 +shape (Rectangle +uid 133,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 134,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,44000,46200,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 135,0 +shape (Rectangle +uid 136,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 137,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,44000,34300,45000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*12 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,43000,73000,47000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 140,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,43200,62600,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*13 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,42000,73000,43000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 143,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,42000,58800,43000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*14 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,42000,53000,44000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 146,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,42400,47650,43600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*15 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 149,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,45000,34300,46000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*16 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 152,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34900,47000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*17 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 155,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,45000,48700,46000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 125,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,42000,73000,47000" +) +oxt "14000,66000,55000,71000" +) +*18 (State +uid 189,0 +shape (Circle +uid 190,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "42982,20653,51334,29005" +radius 4176 +) +name (Text +uid 191,0 +va (VaSet +font "Verdana,12,1" +) +xt "43658,24129,50658,25529" +st "position1" +ju 0 +blo "47158,25329" +tm "ONodeName" +) +wait (TextAssociate +uid 192,0 +ps "CenterOffsetStrategy" +text (Text +uid 193,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "46908,25029,52008,26429" +st "wait 2" +blo "46908,26229" +tm "SmWaitText" +) +) +encoding (Text +uid 194,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "47158,25929,47158,25929" +blo "47158,25929" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 197,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 198,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "43108,26929,59208,29529" +) +autoResize 1 +tline (Line +uid 199,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "43208,26829,59108,26829" +pts [ +"43208,26829" +"59108,26829" +] +) +bline (Line +uid 200,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "43208,27129,59108,27129" +pts [ +"43208,27129" +"59108,27129" +] +) +ttri (Triangle +uid 201,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "42758,26454,43108,26804" +) +btri (Triangle +uid 202,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "42758,24654,43108,25004" +) +entryActions (MLText +uid 203,0 +va (VaSet +) +xt "43208,26629,43208,26629" +tm "Actions" +) +inActions (MLText +uid 204,0 +va (VaSet +) +xt "43208,27029,59108,29429" +st "info_deceleration <= pos1 ; +skip_deceleration <= '0' ;" +tm "Actions" +) +exitActions (MLText +uid 205,0 +va (VaSet +) +xt "47158,24829,47158,24829" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 195,0 +ps "CenterOffsetStrategy" +text (MLText +uid 196,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "45058,26029,51758,27229" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*19 (State +uid 223,0 +shape (Circle +uid 224,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "55858,5198,62960,12300" +radius 3551 +) +name (Text +uid 225,0 +va (VaSet +font "Verdana,12,1" +) +xt "57909,8049,60909,9449" +st "init" +ju 0 +blo "59409,9249" +tm "ONodeName" +) +wait (TextAssociate +uid 226,0 +ps "CenterOffsetStrategy" +text (Text +uid 227,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "59159,8949,64259,10349" +st "wait 2" +blo "59159,10149" +tm "SmWaitText" +) +) +encoding (Text +uid 228,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "59409,9849,59409,9849" +blo "59409,9849" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 231,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 232,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "63309,12049,63509,12249" +) +autoResize 1 +tline (Line +uid 233,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "59409,8749,59409,8749" +pts [ +"59409,8749" +"59409,8749" +] +) +bline (Line +uid 234,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "59409,8749,59409,8749" +pts [ +"59409,8749" +"59409,8749" +] +) +ttri (Triangle +uid 235,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "58959,8574,59309,8924" +) +btri (Triangle +uid 236,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "58959,8574,59309,8924" +) +entryActions (MLText +uid 237,0 +va (VaSet +) +xt "59409,8749,59409,8749" +tm "Actions" +) +inActions (MLText +uid 238,0 +va (VaSet +) +xt "59409,8749,59409,8749" +tm "Actions" +) +exitActions (MLText +uid 239,0 +va (VaSet +) +xt "59409,8749,59409,8749" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 229,0 +ps "CenterOffsetStrategy" +text (MLText +uid 230,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "57309,9949,64009,11149" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*20 (State +uid 257,0 +shape (Circle +uid 258,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "71418,21013,79770,29365" +radius 4176 +) +name (Text +uid 259,0 +va (VaSet +font "Verdana,12,1" +) +xt "72094,24489,79094,25889" +st "position2" +ju 0 +blo "75594,25689" +tm "ONodeName" +) +wait (TextAssociate +uid 260,0 +ps "CenterOffsetStrategy" +text (Text +uid 261,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "75344,25389,80444,26789" +st "wait 2" +blo "75344,26589" +tm "SmWaitText" +) +) +encoding (Text +uid 262,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "75594,26289,75594,26289" +blo "75594,26289" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 265,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 266,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "71544,27289,87644,29889" +) +autoResize 1 +tline (Line +uid 267,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "71644,27189,87544,27189" +pts [ +"71644,27189" +"87544,27189" +] +) +bline (Line +uid 268,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "71644,27489,87544,27489" +pts [ +"71644,27489" +"87544,27489" +] +) +ttri (Triangle +uid 269,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "71194,26814,71544,27164" +) +btri (Triangle +uid 270,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "71194,25014,71544,25364" +) +entryActions (MLText +uid 271,0 +va (VaSet +) +xt "71644,26989,71644,26989" +tm "Actions" +) +inActions (MLText +uid 272,0 +va (VaSet +) +xt "71644,27389,87544,29789" +st "info_deceleration <= pos2 ; +skip_deceleration <= '0' ;" +tm "Actions" +) +exitActions (MLText +uid 273,0 +va (VaSet +) +xt "75594,25189,75594,25189" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 263,0 +ps "CenterOffsetStrategy" +text (MLText +uid 264,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "73494,26389,80194,27589" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*21 (InterruptPoint +uid 291,0 +shape (CompositeShape +uid 292,0 +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +uid 293,0 +sl 0 +ro 270 +xt "102939,5557,105189,6557" +) +(Line +uid 294,0 +sl 0 +ro 270 +xt "105189,6057,105689,6057" +pts [ +"105189,6057" +"105689,6057" +] +) +(CustomPolygon +pts [ +"103189,6282" +"103189,5982" +"103839,6107" +"103739,5832" +"104839,6032" +"104014,6032" +"104189,6282" +] +uid 295,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "103189,5832,104839,6282" +) +] +) +) +*22 (State +uid 296,0 +shape (Circle +uid 297,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "101623,19025,112783,30185" +radius 5580 +) +name (Text +uid 298,0 +va (VaSet +font "Verdana,12,1" +) +xt "102253,23905,112153,25305" +st "initialisation" +ju 0 +blo "107203,25105" +tm "ONodeName" +) +wait (TextAssociate +uid 299,0 +ps "CenterOffsetStrategy" +text (Text +uid 300,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "106953,24805,112053,26205" +st "wait 2" +blo "106953,26005" +tm "SmWaitText" +) +) +encoding (Text +uid 301,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "107203,25705,107203,25705" +blo "107203,25705" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 304,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 305,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "98103,26705,124303,29305" +) +autoResize 1 +tline (Line +uid 306,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "98203,26605,124203,26605" +pts [ +"98203,26605" +"124203,26605" +] +) +bline (Line +uid 307,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "98203,26905,124203,26905" +pts [ +"98203,26905" +"124203,26905" +] +) +ttri (Triangle +uid 308,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "97753,26230,98103,26580" +) +btri (Triangle +uid 309,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "97753,24430,98103,24780" +) +entryActions (MLText +uid 310,0 +va (VaSet +) +xt "98203,26405,98203,26405" +tm "Actions" +) +inActions (MLText +uid 311,0 +va (VaSet +) +xt "98203,26805,124203,29205" +st "info_deceleration <= \"0000000000000000\"; +skip_deceleration <= '1';" +tm "Actions" +) +exitActions (MLText +uid 312,0 +va (VaSet +) +xt "107203,24605,107203,24605" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 302,0 +ps "CenterOffsetStrategy" +text (MLText +uid 303,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "105103,25805,111803,27005" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*23 (Link +uid 313,0 +shape (CompositeShape +uid 314,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 315,0 +sl 0 +ro 270 +xt "118183,1116,120433,2116" +) +(Line +uid 316,0 +sl 0 +ro 270 +xt "117683,1616,118183,1616" +pts [ +"117683,1616" +"118183,1616" +] +) +] +) +name (TextAssociate +uid 317,0 +ps "CenterOffsetStrategy" +text (Text +uid 318,0 +va (VaSet +font "Verdana,9,1" +) +xt "120933,1116,126133,2316" +st "position1" +blo "120933,2116" +tm "LinkName" +) +) +) +*24 (Link +uid 319,0 +shape (CompositeShape +uid 320,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 321,0 +sl 0 +ro 270 +xt "118474,12655,120724,13655" +) +(Line +uid 322,0 +sl 0 +ro 270 +xt "117974,13155,118474,13155" +pts [ +"117974,13155" +"118474,13155" +] +) +] +) +name (TextAssociate +uid 323,0 +ps "CenterOffsetStrategy" +text (Text +uid 324,0 +va (VaSet +font "Verdana,9,1" +) +xt "121224,12655,128724,13855" +st "initialisation" +blo "121224,13655" +tm "LinkName" +) +) +) +*25 (Link +uid 325,0 +shape (CompositeShape +uid 326,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 327,0 +sl 0 +ro 270 +xt "118929,6922,121179,7922" +) +(Line +uid 328,0 +sl 0 +ro 270 +xt "118429,7422,118929,7422" +pts [ +"118429,7422" +"118929,7422" +] +) +] +) +name (TextAssociate +uid 329,0 +ps "CenterOffsetStrategy" +text (Text +uid 330,0 +va (VaSet +font "Verdana,9,1" +) +xt "121679,6922,126879,8122" +st "position2" +blo "121679,7922" +tm "LinkName" +) +) +) +*26 (Transition +uid 104,0 +shape (Spline +uid 105,0 +va (VaSet +vasetType 3 +) +xt "5500,20000,16500,20000" +pts [ +"5500,20000" +"16500,20000" +] +) +start &3 +end &4 +ss 0 +es 0 +cond "rst = '0'" +tb (TransitionBlock +uid 106,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 107,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "8150,18900,13850,21100" +) +autoResize 1 +lineShape (Line +uid 108,0 +va (VaSet +vasetType 3 +) +xt "8650,20500,13350,20500" +pts [ +"8650,20500" +"13350,20500" +] +) +condition (MLText +uid 109,0 +va (VaSet +) +xt "8650,18900,13350,20100" +st "rst = '0'" +tm "Condition" +) +actions (MLText +uid 110,0 +va (VaSet +isHidden 1 +) +xt "6700,20900,15300,22100" +st "< Automatic >" +tm "Actions" +) +) +tp (TransitionPriority +uid 111,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 112,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5537,18937,7663,21063" +radius 1063 +) +pr (Text +uid 113,0 +va (VaSet +isHidden 1 +) +xt "5900,19400,7300,20600" +st "1" +ju 0 +blo "6600,20400" +tm "TransitionPriority" +) +padding "100,100" +) +) +*27 (Transition +uid 114,0 +shape (Spline +uid 115,0 +va (VaSet +vasetType 3 +) +xt "5050,15000,16500,15000" +pts [ +"5050,15000" +"16500,15000" +] +) +start &5 +end &6 +ss 0 +es 0 +tb (TransitionBlock +uid 116,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 117,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "7625,14495,13925,15505" +) +autoResize 1 +lineShape (Line +uid 118,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "10775,16000,10775,16000" +pts [ +"10775,16000" +"10775,16000" +] +) +condition (MLText +uid 119,0 +va (VaSet +) +xt "8125,14400,13425,15600" +tm "Condition" +) +actions (MLText +uid 120,0 +va (VaSet +) +xt "10775,16000,10775,16000" +tm "Actions" +) +) +tp (TransitionPriority +uid 121,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 122,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5132,13937,7258,16063" +radius 1063 +) +pr (Text +uid 123,0 +va (VaSet +isHidden 1 +) +xt "5495,14400,6895,15600" +st "1" +ju 0 +blo "6195,15400" +tm "TransitionPriority" +) +padding "100,100" +) +) +*28 (Transition +uid 341,0 +shape (Spline +uid 342,0 +va (VaSet +vasetType 3 +) +xt "105689,1616,117683,6057" +pts [ +"105689,6057" +"117683,1616" +] +) +start &21 +end &23 +ss 0 +cond "button = \"0010\"" +tb (TransitionBlock +uid 343,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 344,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "108437,1846,119137,4046" +) +autoResize 1 +lineShape (Line +uid 345,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "111587,3946,111587,3946" +pts [ +"111587,3946" +"111587,3946" +] +) +condition (MLText +uid 346,0 +va (VaSet +) +xt "108937,2346,118637,3546" +st "button = \"0010\"" +tm "Condition" +) +actions (MLText +uid 347,0 +va (VaSet +) +xt "113787,3946,113787,3946" +tm "Actions" +) +) +tp (TransitionPriority +uid 348,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 349,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "105824,4550,107950,6676" +radius 1063 +) +pr (Text +uid 350,0 +va (VaSet +) +xt "106187,5013,107587,6213" +st "1" +ju 0 +blo "106887,6013" +tm "TransitionPriority" +) +padding "100,100" +) +) +*29 (Transition +uid 361,0 +shape (Spline +uid 362,0 +va (VaSet +vasetType 3 +) +xt "105689,6057,118429,7422" +pts [ +"105689,6057" +"118429,7422" +] +) +start &21 +end &25 +ss 0 +cond "button = \"0100\"" +tb (TransitionBlock +uid 363,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 364,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "111559,6240,122259,8440" +) +autoResize 1 +lineShape (Line +uid 365,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "114709,8340,114709,8340" +pts [ +"114709,8340" +"114709,8340" +] +) +condition (MLText +uid 366,0 +va (VaSet +) +xt "112059,6740,121759,7940" +st "button = \"0100\"" +tm "Condition" +) +actions (MLText +uid 367,0 +va (VaSet +) +xt "116909,8340,116909,8340" +tm "Actions" +) +) +tp (TransitionPriority +uid 368,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 369,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "105899,5130,108025,7256" +radius 1063 +) +pr (Text +uid 370,0 +va (VaSet +) +xt "106262,5593,107662,6793" +st "2" +ju 0 +blo "106962,6593" +tm "TransitionPriority" +) +padding "100,100" +) +) +*30 (Transition +uid 391,0 +shape (Spline +uid 392,0 +va (VaSet +vasetType 3 +) +xt "105689,6057,117974,13155" +pts [ +"105689,6057" +"117974,13155" +] +) +start &21 +end &24 +ss 0 +cond "button = \"0001\"" +tb (TransitionBlock +uid 393,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 394,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "109282,10457,119982,12657" +) +autoResize 1 +lineShape (Line +uid 395,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "112432,12557,112432,12557" +pts [ +"112432,12557" +"112432,12557" +] +) +condition (MLText +uid 396,0 +va (VaSet +) +xt "109782,10957,119482,12157" +st "button = \"0001\"" +tm "Condition" +) +actions (MLText +uid 397,0 +va (VaSet +) +xt "114632,12557,114632,12557" +tm "Actions" +) +) +tp (TransitionPriority +uid 398,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 399,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "105853,5703,107979,7829" +radius 1063 +) +pr (Text +uid 400,0 +va (VaSet +) +xt "106216,6166,107616,7366" +st "3" +ju 0 +blo "106916,7166" +tm "TransitionPriority" +) +padding "100,100" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 0 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *31 (PackageList +uid 31,0 +stg "VerticalLayoutStrategy" +textVec [ +*32 (Text +uid 32,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,3000,7600,4200" +st "Package List" +blo "0,4000" +) +*33 (MLText +uid 33,0 +va (VaSet +) +xt "0,4200,17500,7800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "SmPackageListTextMgr" +) +] +) +compDirBlock (MlTextGroup +uid 34,0 +stg "VerticalLayoutStrategy" +textVec [ +*34 (Text +uid 35,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*35 (Text +uid 36,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*36 (MLText +uid 37,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "SmCompilerDirectivesTextMgr" +) +*37 (Text +uid 38,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*38 (MLText +uid 39,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "SmCompilerDirectivesTextMgr" +) +*39 (Text +uid 40,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*40 (MLText +uid 41,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "SmCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,24,1715,1143" +viewArea "-400,-20100,134917,70081" +cachedDiagramExtent "0,-1000,128724,47000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-2000" +isTopLevel 1 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +localDecl *41 (SmLocalDecl +uid 3,0 +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,-1000,42400,200" +st "Architecture Declarations" +blo "27800,0" +) +*43 (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*44 (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,200,33000,1400" +st "Pre Decls" +blo "27800,1200" +) +*45 (MLText +uid 7,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*46 (Text +uid 8,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,1400,34200,2600" +st "Post Decls" +blo "27800,2400" +) +*47 (MLText +uid 9,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,2600,27800,2600" +tm "LocalDeclTextMgr" +) +] +) +processDecl *48 (SmProcessDecl +uid 10,0 +stg "VerticalLayoutStrategy" +textVec [ +*49 (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,-1000,86600,200" +st "Process Declarations" +blo "74500,0" +) +*50 (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,200,84000,1400" +st "Clocked Process:" +blo "74500,1200" +) +*51 (MLText +uid 13,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,-1000,74500,-1000" +tm "ProcessDeclTextMgr" +) +*52 (Text +uid 14,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,1400,83800,2600" +st "Output Process:" +blo "74500,2400" +) +*53 (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,2600,74500,2600" +tm "ProcessDeclTextMgr" +) +] +associable 1 +) +defaultActions *54 (MlTextGroup +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*55 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-1000,8400,200" +st "Global Actions" +blo "0,0" +) +*56 (Text +uid 18,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,200,7400,1400" +st "Pre Actions:" +blo "0,1200" +) +*57 (MLText +uid 19,0 +va (VaSet +) +xt "0,-1000,0,-1000" +tm "Actions" +) +*58 (Text +uid 20,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,1400,8000,2600" +st "Post Actions:" +blo "0,2400" +) +*59 (MLText +uid 21,0 +va (VaSet +) +xt "0,2600,0,2600" +tm "Actions" +) +] +associable 1 +) +archConcurrentStatementBlock *60 (BiTextGroup +uid 22,0 +stg "VerticalLayoutStrategy" +first (Text +uid 23,0 +va (VaSet +font "Verdana,9,1" +) +xt "11400,-1000,24800,200" +st "Concurrent Statements" +blo "11400,0" +) +second (MLText +uid 24,0 +va (VaSet +) +xt "11400,200,11400,200" +tm "ArchConcStmtTextMgr" +) +associable 1 +) +signalsGenStatus *61 (SmSignalGenStatus +uid 28,0 +stg "VerticalLayoutStrategy" +first (Text +uid 29,0 +va (VaSet +font "Verdana,9,1" +) +xt "45400,-1000,53200,200" +st "Signal Status" +blo "45400,0" +) +second (MLText +uid 30,0 +va (VaSet +font "Courier New,8,0" +) +xt "45400,200,76900,2600" +st "SIGNAL MODE DEFAULT RESET SCHEME COMMENT +info_deceleration OUT COMB +skip_deceleration OUT COMB +" +tm "SmSignalsGenStatusTextMgr" +) +) +stateRegBlock *62 (BiTextGroup +uid 25,0 +stg "VerticalLayoutStrategy" +first (Text +uid 26,0 +va (VaSet +font "Verdana,9,1" +) +xt "56200,-1000,71500,200" +st "State Register Statements" +blo "56200,0" +) +second (MLText +uid 27,0 +va (VaSet +) +xt "56200,200,56200,200" +tm "Actions" +) +associable 1 +) +) +genChar (SmGenChar +uid 42,0 +nextStateClocking 0 +) +encoding (Encoding +scheme 3 +encodingStyles [ +(pair +scheme 0 +style 0 +) +(pair +scheme 1 +style 1 +) +(pair +scheme 2 +style 0 +) +(pair +scheme 3 +style 0 +) +(pair +scheme 4 +style 0 +) +(pair +scheme 5 +style 0 +) +] +otherValues [ +(pair +scheme 0 +otherValue "" +) +(pair +scheme 1 +otherValue "" +) +(pair +scheme 2 +otherValue "" +) +(pair +scheme 3 +otherValue "" +) +(pair +scheme 4 +otherValue "" +) +(pair +scheme 5 +otherValue "" +) +] +attribute 0 +synSafe 0 +outputEncodedLocals 0 +useVerilogParameterRange 0 +radix 2 +) +stateOrder [ +&18 +&19 +&20 +&22 +] +name "csm" +) +] +lastUid 529,0 +commonDM (CommonDM +ldm (LogicalDM +emptyRow *63 (LEmptyRow +) +uid 403,0 +optionalChildren [ +*64 (RefLabelRowHdr +) +*65 (TitleRowHdr +) +*66 (FilterRowHdr +) +*67 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*68 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*69 (GroupColHdr +tm "GroupColHdrMgr" +) +*70 (NameColHdr +tm "SmNameColHdrMgr" +) +*71 (ModeColHdr +tm "SmModeColHdrMgr" +) +*72 (TypeColHdr +tm "SmTypeColHdrMgr" +) +*73 (BoundsColHdr +tm "SmBoundsColHdrMgr" +) +*74 (InitColHdr +tm "SmInitColHdrMgr" +) +*75 (ColumnHdr +tm "SmCategoryColHdrMgr" +) +*76 (ColumnHdr +tm "SmAssignColHdrMgr" +) +*77 (ColumnHdr +tm "SmExprColHdrMgr" +) +*78 (ColumnHdr +tm "SmSchemeColHdrMgr" +) +*79 (ColumnHdr +tm "SmDefValColHdrMgr" +) +*80 (ColumnHdr +tm "SmRstValColHdrMgr" +) +*81 (EolColHdr +tm "SmEolColHdrMgr" +) +*82 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 1 +) +) +uid 156,0 +) +*83 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "button" +t "unsigned" +b "(3 DOWNTO 0)" +o 2 +) +) +uid 158,0 +) +*84 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "std_uLogic" +o 3 +) +) +uid 160,0 +cat 1 +expr "clk'EVENT AND clk = '1'" +) +*85 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "pos1" +t "std_logic" +b "(15 DOWNTO 0)" +o 4 +) +) +uid 162,0 +) +*86 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "pos2" +t "std_logic" +b "(15 DOWNTO 0)" +o 5 +) +) +uid 164,0 +) +*87 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "pos_init" +t "std_logic" +b "(15 DOWNTO 0)" +o 6 +) +) +uid 166,0 +) +*88 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "rst" +t "std_uLogic" +o 7 +) +) +uid 168,0 +cat 8 +expr "rst = '0'" +) +*89 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "info_deceleration" +t "unsigned" +b "(15 DOWNTO 0)" +o 8 +) +) +uid 170,0 +scheme 0 +) +*90 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "skip_deceleration" +t "std_uLogic" +o 9 +) +) +uid 471,0 +scheme 0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 422,0 +optionalChildren [ +*91 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *92 (MRCItem +litem &63 +pos 9 +dimension 20 +) +uid 424,0 +optionalChildren [ +*93 (MRCItem +litem &64 +pos 0 +dimension 20 +uid 425,0 +) +*94 (MRCItem +litem &65 +pos 1 +dimension 23 +uid 426,0 +) +*95 (MRCItem +litem &66 +pos 2 +hidden 1 +dimension 20 +uid 427,0 +) +*96 (MRCItem +litem &82 +pos 0 +dimension 20 +uid 157,0 +) +*97 (MRCItem +litem &83 +pos 1 +dimension 20 +uid 159,0 +) +*98 (MRCItem +litem &84 +pos 2 +dimension 20 +uid 161,0 +) +*99 (MRCItem +litem &85 +pos 3 +dimension 20 +uid 163,0 +) +*100 (MRCItem +litem &86 +pos 4 +dimension 20 +uid 165,0 +) +*101 (MRCItem +litem &87 +pos 5 +dimension 20 +uid 167,0 +) +*102 (MRCItem +litem &88 +pos 6 +dimension 20 +uid 169,0 +) +*103 (MRCItem +litem &89 +pos 7 +dimension 20 +uid 171,0 +) +*104 (MRCItem +litem &90 +pos 8 +dimension 20 +uid 470,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 428,0 +optionalChildren [ +*105 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 429,0 +) +*106 (MRCItem +litem &69 +pos 1 +dimension 50 +uid 430,0 +) +*107 (MRCItem +litem &70 +pos 2 +dimension 70 +uid 431,0 +) +*108 (MRCItem +litem &71 +pos 3 +dimension 50 +uid 432,0 +) +*109 (MRCItem +litem &72 +pos 4 +dimension 80 +uid 433,0 +) +*110 (MRCItem +litem &73 +pos 5 +dimension 80 +uid 434,0 +) +*111 (MRCItem +litem &74 +pos 6 +dimension 40 +uid 435,0 +) +*112 (MRCItem +litem &75 +pos 7 +dimension 100 +uid 436,0 +) +*113 (MRCItem +litem &76 +pos 8 +dimension 60 +uid 437,0 +) +*114 (MRCItem +litem &77 +pos 9 +dimension 130 +uid 438,0 +) +*115 (MRCItem +litem &78 +pos 10 +dimension 56 +uid 439,0 +) +*116 (MRCItem +litem &79 +pos 11 +dimension 50 +uid 440,0 +) +*117 (MRCItem +litem &80 +pos 12 +dimension 50 +uid 441,0 +) +*118 (MRCItem +litem &81 +pos 13 +dimension 80 +uid 442,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 423,0 +vaOverrides [ +] +) +] +) +uid 402,0 +) +cdmCsm &1 +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *119 (LEmptyRow +) +uid 444,0 +optionalChildren [ +*120 (RefLabelRowHdr +) +*121 (TitleRowHdr +) +*122 (FilterRowHdr +) +*123 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*124 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*125 (GroupColHdr +tm "GroupColHdrMgr" +) +*126 (NameColHdr +tm "GenericNameColHdrMgr" +) +*127 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*128 (InitColHdr +tm "GenericValueColHdrMgr" +) +*129 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*130 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 456,0 +optionalChildren [ +*131 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *132 (MRCItem +litem &119 +pos 0 +dimension 20 +) +uid 458,0 +optionalChildren [ +*133 (MRCItem +litem &120 +pos 0 +dimension 20 +uid 459,0 +) +*134 (MRCItem +litem &121 +pos 1 +dimension 23 +uid 460,0 +) +*135 (MRCItem +litem &122 +pos 2 +hidden 1 +dimension 20 +uid 461,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 462,0 +optionalChildren [ +*136 (MRCItem +litem &123 +pos 0 +dimension 20 +uid 463,0 +) +*137 (MRCItem +litem &125 +pos 1 +dimension 50 +uid 464,0 +) +*138 (MRCItem +litem &126 +pos 2 +dimension 100 +uid 465,0 +) +*139 (MRCItem +litem &127 +pos 3 +dimension 100 +uid 466,0 +) +*140 (MRCItem +litem &128 +pos 4 +dimension 50 +uid 467,0 +) +*141 (MRCItem +litem &129 +pos 5 +dimension 50 +uid 468,0 +) +*142 (MRCItem +litem &130 +pos 6 +dimension 80 +uid 469,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 457,0 +vaOverrides [ +] +) +] +) +uid 443,0 +type 1 +) +signalSuffix "_int" +clockSuffix "_cld" +defaultState (State +shape (Circle +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-3551,-3551,3551,3551" +radius 3551 +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3900,3300,4100,3500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +entryActions (MLText +va (VaSet +) +tm "Actions" +) +inActions (MLText +va (VaSet +) +tm "Actions" +) +exitActions (MLText +va (VaSet +) +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultWaitState (State +shape (CircleInOctagon +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +xt "-529,-529,6529,6529" +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8900,6300,9100,6500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +entryActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +inActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +exitActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +isWait 1 +) +defaultCompositeState (CompositeState +shape (TripleCircle +va (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "Verdana,10,1" +) +xt "-1000,-600,1000,600" +st "s0" +ju 0 +blo "0,400" +tm "ONodeName" +) +childDiagram &0 +) +defaultJunction (Junction +shape (Diamond +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "-1300,-1300,2300,2300" +) +symbol (Text +va (VaSet +font "Verdana,10,1" +) +xt "-300,-100,1300,1100" +st "&" +ju 0 +blo "500,900" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2000,1000,2000,1000" +blo "2000,1000" +tm "JunctionName" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2550,2000,4150,3200" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultEntryPoint (EntryPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +] +) +) +defaultInterruptPoint (InterruptPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +(CustomPolygon +pts [ +"-625,1600" +"-625,1300" +"25,1425" +"-75,1150" +"1025,1350" +"200,1350" +"375,1600" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "-625,1150,1025,1600" +) +] +) +) +defaultLink (Link +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2375,875,5075,2075" +st "Link" +blo "2375,1875" +tm "LinkName" +) +) +) +defaultExitPoint (ExitPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +) +defaultTransition (Transition +shape (Spline +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +arrow 1 +) +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-500,-500,5800,1700" +) +autoResize 1 +lineShape (Line +va (VaSet +vasetType 3 +isHidden 1 +) +xt "2650,1600,2650,1600" +pts [ +"2650,1600" +"2650,1600" +] +) +condition (MLText +va (VaSet +) +xt "0,0,5300,1200" +st "condition" +tm "Condition" +) +actions (MLText +va (VaSet +) +xt "2650,2000,2650,2000" +tm "Actions" +) +) +tp (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-1063,-1063,1063,1063" +radius 1063 +) +pr (Text +va (VaSet +) +xt "-700,-600,700,600" +st "1" +ju 0 +blo "0,400" +tm "TransitionPriority" +) +padding "100,100" +) +) +defaultClk (SmClockPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"275,1425" +"574,1425" +"574,825" +"874,825" +] +) +(Arc2D +pts [ +"-116,1278" +"-371,972" +"-116,972" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-441,926,-116,1323" +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-3325,625,-1125,1825" +st "clk" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultEnable (SmEnablePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Arc2D +pts [ +"-130,1263" +"-415,1064" +"-76,1064" +] +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-425,943,-76,1304" +) +(Line +sl 0 +ro 270 +xt "-415,1064,-106,1064" +pts [ +"-415,1064" +"-106,1064" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-5125,625,-1125,1825" +st "enable" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultRst (SmResetPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-276,1000" +pts [ +"-376,1000" +"-276,950" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-376,1300" +pts [ +"-376,1300" +"-376,950" +] +) +(Circle +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "424,975,724,1275" +radius 150 +) +] +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-625,-1175,2675,225" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "-525,-1075,2575,125" +st "cond" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "1625,62,3751,2188" +radius 1063 +) +pr (Text +va (VaSet +) +xt "1988,525,3388,1725" +st "1" +ju 0 +blo "2688,1525" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-2725,525,-625,1725" +st "rst" +ju 2 +blo "-625,1525" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +) +xt "4750,2625,13350,3825" +st "< Automatic >" +tm "Actions" +) +) +) +defaultRecStatePt (SmRecoveryStatePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +sl 0 +xt "-900,-900,900,900" +radius 900 +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"-426,426" +"426,-426" +] +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"426,426" +"-426,-426" +] +) +] +) +) +activeModelName "StateMachine" +LanguageMgr "Vhdl2008LangMgr" +) diff --git a/Cursor/hds/selector_deceleration/interface b/Cursor/hds/selector_deceleration/interface new file mode 100644 index 0000000..c271c15 --- /dev/null +++ b/Cursor/hds/selector_deceleration/interface @@ -0,0 +1,1853 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 26,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 109,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "button" +t "unsigned" +b "(3 DOWNTO 0)" +o 2 +suid 18,0 +) +) +uid 410,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "unsigned" +o 3 +suid 19,0 +) +) +uid 412,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "info_deceleration" +t "unsigned" +b "(15 DOWNTO 0)" +o 18 +suid 20,0 +) +) +uid 414,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "pos1" +t "std_logic" +b "(15 DOWNTO 0)" +o 27 +suid 21,0 +) +) +uid 416,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "pos2" +t "std_logic" +b "(15 DOWNTO 0)" +o 28 +suid 22,0 +) +) +uid 418,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "pos_init" +t "std_logic" +b "(15 DOWNTO 0)" +o 29 +suid 23,0 +) +) +uid 420,0 +) +*20 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 1 +suid 24,0 +) +) +uid 422,0 +) +*21 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "rst" +t "unsigned" +o 4 +suid 25,0 +) +) +uid 424,0 +) +*22 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "skip_deceleration" +t "std_uLogic" +o 30 +suid 26,0 +) +) +uid 426,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*23 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *24 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 124,0 +optionalChildren [ +*25 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 125,0 +) +*26 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 126,0 +) +*27 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*28 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 411,0 +) +*29 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 413,0 +) +*30 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 415,0 +) +*31 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 417,0 +) +*32 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 419,0 +) +*33 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 421,0 +) +*34 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 423,0 +) +*35 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 425,0 +) +*36 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 427,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*37 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 129,0 +) +*38 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 130,0 +) +*39 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 131,0 +) +*40 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 132,0 +) +*41 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 133,0 +) +*42 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 134,0 +) +*43 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 135,0 +) +*44 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 136,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 108,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *45 (LEmptyRow +) +uid 138,0 +optionalChildren [ +*46 (RefLabelRowHdr +) +*47 (TitleRowHdr +) +*48 (FilterRowHdr +) +*49 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*50 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*51 (GroupColHdr +tm "GroupColHdrMgr" +) +*52 (NameColHdr +tm "GenericNameColHdrMgr" +) +*53 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*54 (InitColHdr +tm "GenericValueColHdrMgr" +) +*55 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*56 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 150,0 +optionalChildren [ +*57 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *58 (MRCItem +litem &45 +pos 3 +dimension 20 +) +uid 152,0 +optionalChildren [ +*59 (MRCItem +litem &46 +pos 0 +dimension 20 +uid 153,0 +) +*60 (MRCItem +litem &47 +pos 1 +dimension 23 +uid 154,0 +) +*61 (MRCItem +litem &48 +pos 2 +hidden 1 +dimension 20 +uid 155,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 156,0 +optionalChildren [ +*62 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 157,0 +) +*63 (MRCItem +litem &51 +pos 1 +dimension 50 +uid 158,0 +) +*64 (MRCItem +litem &52 +pos 2 +dimension 100 +uid 159,0 +) +*65 (MRCItem +litem &53 +pos 3 +dimension 100 +uid 160,0 +) +*66 (MRCItem +litem &54 +pos 4 +dimension 50 +uid 161,0 +) +*67 (MRCItem +litem &55 +pos 5 +dimension 50 +uid 162,0 +) +*68 (MRCItem +litem &56 +pos 6 +dimension 80 +uid 163,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 151,0 +vaOverrides [ +] +) +] +) +uid 137,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_deceleration\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_deceleration\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_deceleration" +) +(vvPair +variable "d_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_deceleration" +) +(vvPair +variable "date" +value "14.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "entity_name" +value "selector_deceleration" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "Simon" +) +(vvPair +variable "graphical_source_date" +value "14.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "PC-SDM" +) +(vvPair +variable "graphical_source_time" +value "14:18:34" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "PC-SDM" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "selector_deceleration" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_deceleration\\interface" +) +(vvPair +variable "p_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\selector_deceleration\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "14:18:34" +) +(vvPair +variable "unit" +value "selector_deceleration" +) +(vvPair +variable "user" +value "Simon" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 107,0 +optionalChildren [ +*69 (SymbolBody +uid 8,0 +optionalChildren [ +*70 (CptPort +uid 365,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 366,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15625,5250,16375,6000" +) +tg (CPTG +uid 367,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 368,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "15300,7000,16700,12000" +st "button" +ju 2 +blo "16500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 369,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,71000,4000" +st "button : IN unsigned (3 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "button" +t "unsigned" +b "(3 DOWNTO 0)" +o 2 +suid 18,0 +) +) +) +*71 (CptPort +uid 370,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 371,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "18625,5250,19375,6000" +) +tg (CPTG +uid 372,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 373,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "18300,7000,19700,9400" +st "clk" +ju 2 +blo "19500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 374,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,64500,4800" +st "clk : IN unsigned ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk" +t "unsigned" +o 3 +suid 19,0 +) +) +) +*72 (CptPort +uid 375,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 376,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "16625,13000,17375,13750" +) +tg (CPTG +uid 377,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 378,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "16300,-500,17700,12000" +st "info_deceleration" +blo "17500,12000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 379,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8000,71500,8800" +st "info_deceleration : OUT unsigned (15 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "info_deceleration" +t "unsigned" +b "(15 DOWNTO 0)" +o 18 +suid 20,0 +) +) +) +*73 (CptPort +uid 380,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 381,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,10625,15000,11375" +) +tg (CPTG +uid 382,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 383,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,10300,19900,11700" +st "pos1" +blo "16000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 384,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,72000,5600" +st "pos1 : IN std_logic (15 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "pos1" +t "std_logic" +b "(15 DOWNTO 0)" +o 27 +suid 21,0 +) +) +) +*74 (CptPort +uid 385,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 386,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,9625,15000,10375" +) +tg (CPTG +uid 387,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 388,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,9300,19900,10700" +st "pos2" +blo "16000,10500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 389,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,72000,6400" +st "pos2 : IN std_logic (15 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "pos2" +t "std_logic" +b "(15 DOWNTO 0)" +o 28 +suid 22,0 +) +) +) +*75 (CptPort +uid 390,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 391,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,8625,15000,9375" +) +tg (CPTG +uid 392,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 393,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,8300,21800,9700" +st "pos_init" +blo "16000,9500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 394,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,72000,7200" +st "pos_init : IN std_logic (15 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "pos_init" +t "std_logic" +b "(15 DOWNTO 0)" +o 29 +suid 23,0 +) +) +) +*76 (CptPort +uid 395,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 396,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,6625,15000,7375" +) +tg (CPTG +uid 397,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 398,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,6300,21800,7700" +st "Position" +blo "16000,7500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 399,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,71500,3200" +st "Position : IN unsigned (15 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 1 +suid 24,0 +) +) +) +*77 (CptPort +uid 400,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 401,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "20625,5250,21375,6000" +) +tg (CPTG +uid 402,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 403,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "20300,7000,21700,9500" +st "rst" +ju 2 +blo "21500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 404,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7200,64500,8000" +st "rst : IN unsigned ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "rst" +t "unsigned" +o 4 +suid 25,0 +) +) +) +*78 (CptPort +uid 405,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 406,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19625,13000,20375,13750" +) +tg (CPTG +uid 407,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 408,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "19300,-700,20700,12000" +st "skip_deceleration" +blo "20500,12000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 409,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8800,64500,9600" +st "skip_deceleration : OUT std_uLogic +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "skip_deceleration" +t "std_uLogic" +o 30 +suid 26,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,27000,13000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "14700,8300,18400,9500" +st "Cursor" +blo "14700,9300" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "14700,9500,27300,10700" +st "selector_deceleration" +blo "14700,10500" +) +) +gi *79 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "-13500,5500,-2000,6300" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*80 (Grouping +uid 16,0 +optionalChildren [ +*81 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,46000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,50300,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *91 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*92 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*93 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor" +entityName "Main" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *94 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *95 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,9600,45200,10800" +st "User:" +blo "42000,10600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,10800,44000,10800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 427,0 +activeModelName "Symbol:CDM" +) diff --git a/Cursor/hds/set_position/fsm.sm b/Cursor/hds/set_position/fsm.sm new file mode 100644 index 0000000..b6e64aa --- /dev/null +++ b/Cursor/hds/set_position/fsm.sm @@ -0,0 +1,3051 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +machine (Machine +name "csm" +children [ +(Machine +name "csm" +children [ +] +stateSignalName "current_state" +) +] +) +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (StateMachine +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\set_position\\fsm.sm.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\set_position\\fsm.sm.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "fsm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\set_position" +) +(vvPair +variable "d_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\set_position" +) +(vvPair +variable "date" +value "14.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "entity_name" +value "set_position" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "fsm.sm" +) +(vvPair +variable "f_logical" +value "fsm.sm" +) +(vvPair +variable "f_noext" +value "fsm" +) +(vvPair +variable "graphical_source_author" +value "Simon" +) +(vvPair +variable "graphical_source_date" +value "14.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "PC-SDM" +) +(vvPair +variable "graphical_source_time" +value "12:49:44" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "PC-SDM" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "set_position" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\set_position\\fsm.sm" +) +(vvPair +variable "p_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\set_position\\fsm.sm" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sm" +) +(vvPair +variable "this_file" +value "fsm" +) +(vvPair +variable "this_file_logical" +value "fsm" +) +(vvPair +variable "time" +value "12:49:44" +) +(vvPair +variable "unit" +value "set_position" +) +(vvPair +variable "user" +value "Simon" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "fsm" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +optionalChildren [ +*1 (ConcurrentSM +uid 1,0 +topDiagram (StateDiagram +LanguageMgr "None" +uid 2,0 +optionalChildren [ +*2 (State +uid 43,0 +shape (Circle +uid 44,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "31449,12449,38551,19551" +radius 3551 +) +name (Text +uid 45,0 +va (VaSet +font "Verdana,12,1" +) +xt "33500,15300,36500,16700" +st "init" +ju 0 +blo "35000,16500" +tm "ONodeName" +) +wait (TextAssociate +uid 46,0 +ps "CenterOffsetStrategy" +text (Text +uid 47,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "34750,16200,39850,17600" +st "wait 2" +blo "34750,17400" +tm "SmWaitText" +) +) +encoding (Text +uid 48,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "35000,17100,35000,17100" +blo "35000,17100" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 51,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 52,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "28550,17500,49450,21300" +) +autoResize 1 +tline (Line +uid 53,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "28650,17400,49350,17400" +pts [ +"28650,17400" +"49350,17400" +] +) +bline (Line +uid 54,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "28650,18600,49350,18600" +pts [ +"28650,18600" +"49350,18600" +] +) +ttri (Triangle +uid 55,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "28200,17025,28550,17375" +) +btri (Triangle +uid 56,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "28200,15825,28550,16175" +) +entryActions (MLText +uid 57,0 +va (VaSet +) +xt "28650,17200,28650,17200" +tm "Actions" +) +inActions (MLText +uid 58,0 +va (VaSet +) +xt "28650,17600,49350,21200" +st "pos1 <= \"0000000000000000\"; +pos2 <= \"0000000000000000\"; +pos_init <= \"0000000000000000\";" +tm "Actions" +) +exitActions (MLText +uid 59,0 +va (VaSet +) +xt "35000,16000,35000,16000" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 49,0 +ps "CenterOffsetStrategy" +text (MLText +uid 50,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "32900,17200,39600,18400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*3 (SmClockPoint +uid 60,0 +shape (CompositeShape +uid 61,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 62,0 +sl 0 +ro 270 +xt "3250,10500,5500,11500" +) +(OrthoPolyLine +uid 63,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,10700,4749,11300" +pts [ +"4150,11300" +"4449,11300" +"4449,10700" +"4749,10700" +] +) +(Arc2D +pts [ +"3759,11153" +"3504,10847" +"3759,10847" +] +uid 64,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "3431,10800,3759,11199" +) +] +) +name (TextAssociate +uid 65,0 +ps "CenterOffsetStrategy" +text (Text +uid 66,0 +va (VaSet +) +xt "550,10500,2750,11700" +st "clk" +ju 2 +blo "2750,11500" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +uid 67,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 68,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "5500,10300,20400,11700" +) +autoResize 1 +cond (MLText +uid 69,0 +va (VaSet +) +xt "5600,10400,20300,11600" +st "clk'EVENT AND clk = '1'" +tm "SmControlConditionMgr" +) +) +) +*4 (SmResetPoint +uid 70,0 +shape (CompositeShape +uid 71,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 72,0 +sl 0 +ro 270 +xt "3250,19500,5500,20500" +) +(OrthoPolyLine +uid 73,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,19700,4749,20300" +pts [ +"4749,20300" +"4449,20300" +"4449,19700" +"4150,19700" +] +) +(Line +uid 74,0 +sl 0 +ro 270 +xt "3499,19825,3599,19875" +pts [ +"3499,19875" +"3599,19825" +] +) +(Line +uid 75,0 +sl 0 +ro 270 +xt "3499,19825,3499,20175" +pts [ +"3499,20175" +"3499,19825" +] +) +(Circle +uid 76,0 +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "4299,19850,4599,20150" +radius 150 +) +] +) +cond (SmControlCondition +uid 82,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 83,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "3250,17700,8150,19100" +) +autoResize 1 +cond (MLText +uid 84,0 +va (VaSet +isHidden 1 +) +xt "3350,17800,8050,19000" +st "rst = '0'" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +uid 79,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 80,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5500,18937,7626,21063" +radius 1063 +) +pr (Text +uid 81,0 +va (VaSet +isHidden 1 +) +xt "5863,19400,7263,20600" +st "1" +ju 0 +blo "6563,20400" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +uid 77,0 +ps "CenterOffsetStrategy" +text (Text +uid 78,0 +va (VaSet +) +xt "1150,19400,3250,20600" +st "rst" +ju 2 +blo "3250,20400" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +uid 85,0 +ps "CenterOffsetStrategy" +text (MLText +uid 86,0 +va (VaSet +isHidden 1 +) +xt "11125,24125,19725,25325" +st "< Automatic >" +tm "Actions" +) +) +) +*5 (Link +uid 87,0 +shape (CompositeShape +uid 88,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 89,0 +sl 0 +ro 270 +xt "17000,19500,19250,20500" +) +(Line +uid 90,0 +sl 0 +ro 270 +xt "16500,20000,17000,20000" +pts [ +"16500,20000" +"17000,20000" +] +) +] +) +name (TextAssociate +uid 91,0 +ps "CenterOffsetStrategy" +text (Text +uid 92,0 +va (VaSet +font "Verdana,9,1" +) +xt "19750,19500,22050,20700" +st "init" +blo "19750,20500" +tm "LinkName" +) +) +) +*6 (SmRecoveryStatePoint +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +uid 95,0 +sl 0 +xt "3250,14100,5050,15900" +radius 900 +) +(Line +uid 96,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"3724,15426" +"4576,14574" +] +) +(Line +uid 97,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"4576,15426" +"3724,14574" +] +) +] +) +) +*7 (Link +uid 98,0 +shape (CompositeShape +uid 99,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 100,0 +sl 0 +ro 270 +xt "17000,14500,19250,15500" +) +(Line +uid 101,0 +sl 0 +ro 270 +xt "16500,15000,17000,15000" +pts [ +"16500,15000" +"17000,15000" +] +) +] +) +name (TextAssociate +uid 102,0 +ps "CenterOffsetStrategy" +text (Text +uid 103,0 +va (VaSet +font "Verdana,9,1" +) +xt "19750,14500,22050,15700" +st "init" +blo "19750,15500" +tm "LinkName" +) +) +) +*8 (Grouping +uid 124,0 +optionalChildren [ +*9 (CommentText +uid 126,0 +shape (Rectangle +uid 127,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 128,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46000,47000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 129,0 +shape (Rectangle +uid 130,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,57000,43000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 131,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,42000,56200,43000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 132,0 +shape (Rectangle +uid 133,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 134,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,44000,46200,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*12 (CommentText +uid 135,0 +shape (Rectangle +uid 136,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 137,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,44000,34300,45000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*13 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,43000,73000,47000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 140,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,43200,62600,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*14 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,42000,73000,43000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 143,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,42000,58800,43000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*15 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,42000,53000,44000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 146,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,42400,47650,43600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*16 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 149,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,45000,34300,46000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*17 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 152,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34900,47000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*18 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 155,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,45000,45500,46000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 125,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,42000,73000,47000" +) +oxt "14000,66000,55000,71000" +) +*19 (Transition +uid 104,0 +shape (Spline +uid 105,0 +va (VaSet +vasetType 3 +) +xt "5500,20000,16500,20000" +pts [ +"5500,20000" +"16500,20000" +] +) +start &4 +end &5 +ss 0 +es 0 +cond "rst = '0'" +tb (TransitionBlock +uid 106,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 107,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "8150,18900,13850,21100" +) +autoResize 1 +lineShape (Line +uid 108,0 +va (VaSet +vasetType 3 +) +xt "8650,20500,13350,20500" +pts [ +"8650,20500" +"13350,20500" +] +) +condition (MLText +uid 109,0 +va (VaSet +) +xt "8650,18900,13350,20100" +st "rst = '0'" +tm "Condition" +) +actions (MLText +uid 110,0 +va (VaSet +isHidden 1 +) +xt "6700,20900,15300,22100" +st "< Automatic >" +tm "Actions" +) +) +tp (TransitionPriority +uid 111,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 112,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5537,18937,7663,21063" +radius 1063 +) +pr (Text +uid 113,0 +va (VaSet +isHidden 1 +) +xt "5900,19400,7300,20600" +st "1" +ju 0 +blo "6600,20400" +tm "TransitionPriority" +) +padding "100,100" +) +) +*20 (Transition +uid 114,0 +shape (Spline +uid 115,0 +va (VaSet +vasetType 3 +) +xt "5050,15000,16500,15000" +pts [ +"5050,15000" +"16500,15000" +] +) +start &6 +end &7 +ss 0 +es 0 +tb (TransitionBlock +uid 116,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 117,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "7625,14495,13925,15505" +) +autoResize 1 +lineShape (Line +uid 118,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "10775,16000,10775,16000" +pts [ +"10775,16000" +"10775,16000" +] +) +condition (MLText +uid 119,0 +va (VaSet +) +xt "8125,14400,13425,15600" +tm "Condition" +) +actions (MLText +uid 120,0 +va (VaSet +) +xt "10775,16000,10775,16000" +tm "Actions" +) +) +tp (TransitionPriority +uid 121,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 122,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5132,13937,7258,16063" +radius 1063 +) +pr (Text +uid 123,0 +va (VaSet +isHidden 1 +) +xt "5495,14400,6895,15600" +st "1" +ju 0 +blo "6195,15400" +tm "TransitionPriority" +) +padding "100,100" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 0 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *21 (PackageList +uid 31,0 +stg "VerticalLayoutStrategy" +textVec [ +*22 (Text +uid 32,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,3000,7600,4200" +st "Package List" +blo "0,4000" +) +*23 (MLText +uid 33,0 +va (VaSet +) +xt "0,4200,17500,7800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "SmPackageListTextMgr" +) +] +) +compDirBlock (MlTextGroup +uid 34,0 +stg "VerticalLayoutStrategy" +textVec [ +*24 (Text +uid 35,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*25 (Text +uid 36,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*26 (MLText +uid 37,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "SmCompilerDirectivesTextMgr" +) +*27 (Text +uid 38,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*28 (MLText +uid 39,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "SmCompilerDirectivesTextMgr" +) +*29 (Text +uid 40,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*30 (MLText +uid 41,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "SmCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1722,1111" +viewArea "-500,-500,134680,88690" +cachedDiagramExtent "0,-1000,86600,47000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-2000" +isTopLevel 1 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +localDecl *31 (SmLocalDecl +uid 3,0 +stg "VerticalLayoutStrategy" +textVec [ +*32 (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,-1000,42400,200" +st "Architecture Declarations" +blo "27800,0" +) +*33 (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*34 (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,200,33000,1400" +st "Pre Decls" +blo "27800,1200" +) +*35 (MLText +uid 7,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*36 (Text +uid 8,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,1400,34200,2600" +st "Post Decls" +blo "27800,2400" +) +*37 (MLText +uid 9,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,2600,27800,2600" +tm "LocalDeclTextMgr" +) +] +) +processDecl *38 (SmProcessDecl +uid 10,0 +stg "VerticalLayoutStrategy" +textVec [ +*39 (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,-1000,86600,200" +st "Process Declarations" +blo "74500,0" +) +*40 (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,200,84000,1400" +st "Clocked Process:" +blo "74500,1200" +) +*41 (MLText +uid 13,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,-1000,74500,-1000" +tm "ProcessDeclTextMgr" +) +*42 (Text +uid 14,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,1400,83800,2600" +st "Output Process:" +blo "74500,2400" +) +*43 (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,2600,74500,2600" +tm "ProcessDeclTextMgr" +) +] +associable 1 +) +defaultActions *44 (MlTextGroup +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-1000,8400,200" +st "Global Actions" +blo "0,0" +) +*46 (Text +uid 18,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,200,7400,1400" +st "Pre Actions:" +blo "0,1200" +) +*47 (MLText +uid 19,0 +va (VaSet +) +xt "0,-1000,0,-1000" +tm "Actions" +) +*48 (Text +uid 20,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,1400,8000,2600" +st "Post Actions:" +blo "0,2400" +) +*49 (MLText +uid 21,0 +va (VaSet +) +xt "0,2600,0,2600" +tm "Actions" +) +] +associable 1 +) +archConcurrentStatementBlock *50 (BiTextGroup +uid 22,0 +stg "VerticalLayoutStrategy" +first (Text +uid 23,0 +va (VaSet +font "Verdana,9,1" +) +xt "11400,-1000,24800,200" +st "Concurrent Statements" +blo "11400,0" +) +second (MLText +uid 24,0 +va (VaSet +) +xt "11400,200,11400,200" +tm "ArchConcStmtTextMgr" +) +associable 1 +) +signalsGenStatus *51 (SmSignalGenStatus +uid 28,0 +stg "VerticalLayoutStrategy" +first (Text +uid 29,0 +va (VaSet +font "Verdana,9,1" +) +xt "45400,-1000,53200,200" +st "Signal Status" +blo "45400,0" +) +second (MLText +uid 30,0 +va (VaSet +font "Courier New,8,0" +) +xt "45400,200,72400,3400" +st "SIGNAL MODE DEFAULT RESET SCHEME COMMENT +pos1 OUT COMB +pos2 OUT COMB +pos_init OUT COMB +" +tm "SmSignalsGenStatusTextMgr" +) +) +stateRegBlock *52 (BiTextGroup +uid 25,0 +stg "VerticalLayoutStrategy" +first (Text +uid 26,0 +va (VaSet +font "Verdana,9,1" +) +xt "56200,-1000,71500,200" +st "State Register Statements" +blo "56200,0" +) +second (MLText +uid 27,0 +va (VaSet +) +xt "56200,200,56200,200" +tm "Actions" +) +associable 1 +) +) +genChar (SmGenChar +uid 42,0 +nextStateClocking 0 +) +encoding (Encoding +scheme 3 +encodingStyles [ +(pair +scheme 0 +style 0 +) +(pair +scheme 1 +style 1 +) +(pair +scheme 2 +style 0 +) +(pair +scheme 3 +style 0 +) +(pair +scheme 4 +style 0 +) +(pair +scheme 5 +style 0 +) +] +otherValues [ +(pair +scheme 0 +otherValue "" +) +(pair +scheme 1 +otherValue "" +) +(pair +scheme 2 +otherValue "" +) +(pair +scheme 3 +otherValue "" +) +(pair +scheme 4 +otherValue "" +) +(pair +scheme 5 +otherValue "" +) +] +attribute 0 +synSafe 0 +outputEncodedLocals 0 +useVerilogParameterRange 0 +radix 2 +) +stateOrder [ +&2 +] +name "csm" +) +] +lastUid 165,0 +commonDM (CommonDM +ldm (LogicalDM +emptyRow *53 (LEmptyRow +) +optionalChildren [ +*54 (RefLabelRowHdr +) +*55 (TitleRowHdr +) +*56 (FilterRowHdr +) +*57 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*58 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*59 (GroupColHdr +tm "GroupColHdrMgr" +) +*60 (NameColHdr +tm "SmNameColHdrMgr" +) +*61 (ModeColHdr +tm "SmModeColHdrMgr" +) +*62 (TypeColHdr +tm "SmTypeColHdrMgr" +) +*63 (BoundsColHdr +tm "SmBoundsColHdrMgr" +) +*64 (InitColHdr +tm "SmInitColHdrMgr" +) +*65 (ColumnHdr +tm "SmCategoryColHdrMgr" +) +*66 (ColumnHdr +tm "SmAssignColHdrMgr" +) +*67 (ColumnHdr +tm "SmExprColHdrMgr" +) +*68 (ColumnHdr +tm "SmSchemeColHdrMgr" +) +*69 (ColumnHdr +tm "SmDefValColHdrMgr" +) +*70 (ColumnHdr +tm "SmRstValColHdrMgr" +) +*71 (EolColHdr +tm "SmEolColHdrMgr" +) +*72 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "pos1" +t "std_logic" +b "(15 DOWNTO 0)" +o 1 +) +) +uid 156,0 +scheme 0 +) +*73 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "pos2" +t "std_logic" +b "(15 DOWNTO 0)" +o 2 +) +) +uid 158,0 +scheme 0 +) +*74 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "pos_init" +t "std_logic" +b "(15 DOWNTO 0)" +o 3 +) +) +uid 160,0 +scheme 0 +) +*75 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "unsigned" +o 4 +) +) +uid 162,0 +cat 1 +scheme 0 +expr "clk'EVENT AND clk = '1'" +) +*76 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "rst" +t "unsigned" +o 5 +) +) +uid 164,0 +cat 8 +scheme 0 +expr "rst = '0'" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*77 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *78 (MRCItem +litem &53 +pos 5 +dimension 20 +) +optionalChildren [ +*79 (MRCItem +litem &54 +pos 0 +dimension 20 +) +*80 (MRCItem +litem &55 +pos 1 +dimension 23 +) +*81 (MRCItem +litem &56 +pos 2 +hidden 1 +dimension 20 +) +*82 (MRCItem +litem &72 +pos 0 +dimension 20 +uid 157,0 +) +*83 (MRCItem +litem &73 +pos 1 +dimension 20 +uid 159,0 +) +*84 (MRCItem +litem &74 +pos 2 +dimension 20 +uid 161,0 +) +*85 (MRCItem +litem &75 +pos 3 +dimension 20 +uid 163,0 +) +*86 (MRCItem +litem &76 +pos 4 +dimension 20 +uid 165,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +optionalChildren [ +*87 (MRCItem +litem &57 +pos 0 +dimension 20 +) +*88 (MRCItem +litem &59 +pos 1 +dimension 50 +) +*89 (MRCItem +litem &60 +pos 2 +dimension 70 +) +*90 (MRCItem +litem &61 +pos 3 +dimension 50 +) +*91 (MRCItem +litem &62 +pos 4 +dimension 80 +) +*92 (MRCItem +litem &63 +pos 5 +dimension 80 +) +*93 (MRCItem +litem &64 +pos 6 +dimension 40 +) +*94 (MRCItem +litem &65 +pos 7 +dimension 100 +) +*95 (MRCItem +litem &66 +pos 8 +dimension 60 +) +*96 (MRCItem +litem &67 +pos 9 +dimension 130 +) +*97 (MRCItem +litem &68 +pos 10 +dimension 56 +) +*98 (MRCItem +litem &69 +pos 11 +dimension 50 +) +*99 (MRCItem +litem &70 +pos 12 +dimension 50 +) +*100 (MRCItem +litem &71 +pos 13 +dimension 80 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +) +cdmCsm &1 +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *101 (LEmptyRow +) +optionalChildren [ +*102 (RefLabelRowHdr +) +*103 (TitleRowHdr +) +*104 (FilterRowHdr +) +*105 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*106 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*107 (GroupColHdr +tm "GroupColHdrMgr" +) +*108 (NameColHdr +tm "GenericNameColHdrMgr" +) +*109 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*110 (InitColHdr +tm "GenericValueColHdrMgr" +) +*111 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*112 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*113 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *114 (MRCItem +litem &101 +pos 0 +dimension 20 +) +optionalChildren [ +*115 (MRCItem +litem &102 +pos 0 +dimension 20 +) +*116 (MRCItem +litem &103 +pos 1 +dimension 23 +) +*117 (MRCItem +litem &104 +pos 2 +hidden 1 +dimension 20 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +optionalChildren [ +*118 (MRCItem +litem &105 +pos 0 +dimension 20 +) +*119 (MRCItem +litem &107 +pos 1 +dimension 50 +) +*120 (MRCItem +litem &108 +pos 2 +dimension 100 +) +*121 (MRCItem +litem &109 +pos 3 +dimension 100 +) +*122 (MRCItem +litem &110 +pos 4 +dimension 50 +) +*123 (MRCItem +litem &111 +pos 5 +dimension 50 +) +*124 (MRCItem +litem &112 +pos 6 +dimension 80 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +type 1 +) +signalSuffix "_int" +clockSuffix "_cld" +defaultState (State +shape (Circle +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-3551,-3551,3551,3551" +radius 3551 +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3900,3300,4100,3500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +entryActions (MLText +va (VaSet +) +tm "Actions" +) +inActions (MLText +va (VaSet +) +tm "Actions" +) +exitActions (MLText +va (VaSet +) +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultWaitState (State +shape (CircleInOctagon +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +xt "-529,-529,6529,6529" +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8900,6300,9100,6500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +entryActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +inActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +exitActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +isWait 1 +) +defaultCompositeState (CompositeState +shape (TripleCircle +va (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "Verdana,10,1" +) +xt "-1000,-600,1000,600" +st "s0" +ju 0 +blo "0,400" +tm "ONodeName" +) +childDiagram &0 +) +defaultJunction (Junction +shape (Diamond +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "-1300,-1300,2300,2300" +) +symbol (Text +va (VaSet +font "Verdana,10,1" +) +xt "-300,-100,1300,1100" +st "&" +ju 0 +blo "500,900" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2000,1000,2000,1000" +blo "2000,1000" +tm "JunctionName" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2550,2000,4150,3200" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultEntryPoint (EntryPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +] +) +) +defaultInterruptPoint (InterruptPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +(CustomPolygon +pts [ +"-625,1600" +"-625,1300" +"25,1425" +"-75,1150" +"1025,1350" +"200,1350" +"375,1600" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "-625,1150,1025,1600" +) +] +) +) +defaultLink (Link +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2375,875,5075,2075" +st "Link" +blo "2375,1875" +tm "LinkName" +) +) +) +defaultExitPoint (ExitPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +) +defaultTransition (Transition +shape (Spline +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +arrow 1 +) +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-500,-500,5800,1700" +) +autoResize 1 +lineShape (Line +va (VaSet +vasetType 3 +isHidden 1 +) +xt "2650,1600,2650,1600" +pts [ +"2650,1600" +"2650,1600" +] +) +condition (MLText +va (VaSet +) +xt "0,0,5300,1200" +st "condition" +tm "Condition" +) +actions (MLText +va (VaSet +) +xt "2650,2000,2650,2000" +tm "Actions" +) +) +tp (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-1063,-1063,1063,1063" +radius 1063 +) +pr (Text +va (VaSet +) +xt "-700,-600,700,600" +st "1" +ju 0 +blo "0,400" +tm "TransitionPriority" +) +padding "100,100" +) +) +defaultClk (SmClockPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"275,1425" +"574,1425" +"574,825" +"874,825" +] +) +(Arc2D +pts [ +"-116,1278" +"-371,972" +"-116,972" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-371,972,-116,1278" +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-3325,625,-1125,1825" +st "clk" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultEnable (SmEnablePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Arc2D +pts [ +"-130,1263" +"-415,1064" +"-76,1064" +] +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-415,1064,-76,1263" +) +(Line +sl 0 +ro 270 +xt "-415,1064,-106,1064" +pts [ +"-415,1064" +"-106,1064" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-5125,625,-1125,1825" +st "enable" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultRst (SmResetPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-276,1000" +pts [ +"-376,1000" +"-276,950" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-376,1300" +pts [ +"-376,1300" +"-376,950" +] +) +(Circle +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "424,975,724,1275" +radius 150 +) +] +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-625,-1175,2675,225" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "-525,-1075,2575,125" +st "cond" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "1625,62,3751,2188" +radius 1063 +) +pr (Text +va (VaSet +) +xt "1988,525,3388,1725" +st "1" +ju 0 +blo "2688,1525" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-2725,525,-625,1725" +st "rst" +ju 2 +blo "-625,1525" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +) +xt "4750,2625,13350,3825" +st "< Automatic >" +tm "Actions" +) +) +) +defaultRecStatePt (SmRecoveryStatePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +sl 0 +xt "-900,-900,900,900" +radius 900 +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"-426,426" +"426,-426" +] +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"426,426" +"-426,-426" +] +) +] +) +) +activeModelName "StateMachine" +LanguageMgr "Vhdl2008LangMgr" +) diff --git a/Cursor/hds/set_position/interface b/Cursor/hds/set_position/interface new file mode 100644 index 0000000..1cbd86d --- /dev/null +++ b/Cursor/hds/set_position/interface @@ -0,0 +1,1588 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 20,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 74,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "unsigned" +o 3 +suid 16,0 +) +) +uid 376,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "pos1" +t "std_logic" +b "(15 DOWNTO 0)" +o 27 +suid 17,0 +) +) +uid 378,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "pos2" +t "std_logic" +b "(15 DOWNTO 0)" +o 28 +suid 18,0 +) +) +uid 380,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "pos_init" +t "std_logic" +b "(15 DOWNTO 0)" +o 29 +suid 19,0 +) +) +uid 382,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "rst" +t "unsigned" +o 4 +suid 20,0 +) +) +uid 384,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 87,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 89,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 90,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 91,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 92,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 377,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 379,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 381,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 383,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 385,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 93,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 94,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 95,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 96,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 97,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 98,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 99,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 100,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 101,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 88,0 +vaOverrides [ +] +) +] +) +uid 73,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 103,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 115,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *50 (MRCItem +litem &37 +pos 3 +dimension 20 +) +uid 117,0 +optionalChildren [ +*51 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 118,0 +) +*52 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 119,0 +) +*53 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 120,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 121,0 +optionalChildren [ +*54 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 122,0 +) +*55 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 123,0 +) +*56 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 124,0 +) +*57 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 125,0 +) +*58 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 126,0 +) +*59 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 127,0 +) +*60 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 128,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 116,0 +vaOverrides [ +] +) +] +) +uid 102,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\set_position\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\set_position\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\set_position" +) +(vvPair +variable "d_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\set_position" +) +(vvPair +variable "date" +value "14.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "entity_name" +value "set_position" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "Simon" +) +(vvPair +variable "graphical_source_date" +value "14.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "PC-SDM" +) +(vvPair +variable "graphical_source_time" +value "14:18:34" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "PC-SDM" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "set_position" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\set_position\\interface" +) +(vvPair +variable "p_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\set_position\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "14:18:34" +) +(vvPair +variable "unit" +value "set_position" +) +(vvPair +variable "user" +value "Simon" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 72,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 351,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 352,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,6625,15000,7375" +) +tg (CPTG +uid 353,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 354,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,6300,18400,7700" +st "clk" +blo "16000,7500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 355,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,60000,3200" +st "clk : IN unsigned ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk" +t "unsigned" +o 3 +suid 16,0 +) +) +) +*63 (CptPort +uid 356,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 357,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,11625,23750,12375" +) +tg (CPTG +uid 358,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 359,0 +va (VaSet +font "Verdana,12,0" +) +xt "18100,11300,22000,12700" +st "pos1" +ju 2 +blo "22000,12500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 360,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,67500,4800" +st "pos1 : OUT std_logic (15 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "pos1" +t "std_logic" +b "(15 DOWNTO 0)" +o 27 +suid 17,0 +) +) +) +*64 (CptPort +uid 361,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 362,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,10625,23750,11375" +) +tg (CPTG +uid 363,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 364,0 +va (VaSet +font "Verdana,12,0" +) +xt "18100,10300,22000,11700" +st "pos2" +ju 2 +blo "22000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 365,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,67500,5600" +st "pos2 : OUT std_logic (15 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "pos2" +t "std_logic" +b "(15 DOWNTO 0)" +o 28 +suid 18,0 +) +) +) +*65 (CptPort +uid 366,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 367,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,9625,23750,10375" +) +tg (CPTG +uid 368,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 369,0 +va (VaSet +font "Verdana,12,0" +) +xt "16200,9300,22000,10700" +st "pos_init" +ju 2 +blo "22000,10500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 370,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,66500,6400" +st "pos_init : OUT std_logic (15 DOWNTO 0) +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "pos_init" +t "std_logic" +b "(15 DOWNTO 0)" +o 29 +suid 19,0 +) +) +) +*66 (CptPort +uid 371,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 372,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,7625,15000,8375" +) +tg (CPTG +uid 373,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 374,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,7300,18500,8700" +st "rst" +blo "16000,8500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 375,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,60000,4000" +st "rst : IN unsigned ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "rst" +t "unsigned" +o 4 +suid 20,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,23000,16000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "15300,9800,19000,11000" +st "Cursor" +blo "15300,10800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "15300,11000,22700,12200" +st "set_position" +blo "15300,12000" +) +) +gi *67 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "-12000,7000,-500,7800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*68 (Grouping +uid 16,0 +optionalChildren [ +*69 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,46000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,47100,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *79 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*81 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor" +entityName "Main" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *82 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *83 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,6400,45200,7600" +st "User:" +blo "42000,7400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7600,44000,7600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 385,0 +activeModelName "Symbol:CDM" +)