diff --git a/Cursor/hds/.hdlsidedata/_process_acceleration_struct1.vhg._fpf b/Cursor/hds/.hdlsidedata/_process_acceleration_struct1.vhg._fpf deleted file mode 100644 index 376bb70..0000000 --- a/Cursor/hds/.hdlsidedata/_process_acceleration_struct1.vhg._fpf +++ /dev/null @@ -1 +0,0 @@ -DIALECT atom VHDL_2008 diff --git a/Cursor/hds/@button/button@diagram.bd b/Cursor/hds/@button/button@diagram.bd new file mode 100644 index 0000000..34093b5 --- /dev/null +++ b/Cursor/hds/@button/button@diagram.bd @@ -0,0 +1,3676 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +instances [ +(Instance +name "U_0" +duLibraryName "Cursor" +duName "button_position" +elements [ +] +mwi 0 +uid 292,0 +) +(Instance +name "U_1" +duLibraryName "gates" +duName "bufferUlogic" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 529,0 +) +(Instance +name "U_2" +duLibraryName "gates" +duName "bufferUnsigned" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 555,0 +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@button\\button.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@button\\button.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "button" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@button" +) +(vvPair +variable "d_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Button" +) +(vvPair +variable "date" +value "21.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "21" +) +(vvPair +variable "entity_name" +value "Button" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "button.bd" +) +(vvPair +variable "f_logical" +value "button.bd" +) +(vvPair +variable "f_noext" +value "button" +) +(vvPair +variable "graphical_source_author" +value "remi" +) +(vvPair +variable "graphical_source_date" +value "21.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "MARVIN" +) +(vvPair +variable "graphical_source_time" +value "13:55:06" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "MARVIN" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "Button" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@button\\button.bd" +) +(vvPair +variable "p_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Button\\button.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "button" +) +(vvPair +variable "this_file_logical" +value "button" +) +(vvPair +variable "time" +value "13:55:06" +) +(vvPair +variable "unit" +value "Button" +) +(vvPair +variable "user" +value "remi" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "button" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 180,0 +optionalChildren [ +*1 (PortIoOut +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "60500,7625,62000,8375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "60000,8000,60500,8000" +pts [ +"60000,8000" +"60500,8000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +) +xt "63000,7400,66900,8600" +st "button" +blo "63000,8400" +tm "WireNameMgr" +) +) +) +*2 (PortIoIn +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "-2000,11625,-500,12375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "-500,12000,0,12000" +pts [ +"-500,12000" +"0,12000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +) +xt "-9800,11400,-3000,12600" +st "button4(3)" +ju 2 +blo "-3000,12400" +tm "WireNameMgr" +) +) +) +*3 (Net +uid 35,0 +lang 11 +decl (Decl +n "button4" +t "std_ulogic" +o 1 +suid 2,0 +) +declText (MLText +uid 36,0 +va (VaSet +font "Courier New,8,0" +) +xt "18000,1400,29500,2200" +st "button4 : std_ulogic" +) +) +*4 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "-2000,31625,-500,32375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "-500,32000,0,32000" +pts [ +"-500,32000" +"0,32000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +) +xt "-6400,31400,-3000,32600" +st "clock" +ju 2 +blo "-3000,32400" +tm "WireNameMgr" +) +) +) +*5 (Net +uid 49,0 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 3,0 +) +declText (MLText +uid 50,0 +va (VaSet +font "Courier New,8,0" +) +xt "18000,2200,29500,3000" +st "clock : std_ulogic" +) +) +*6 (PortIoIn +uid 51,0 +shape (CompositeShape +uid 52,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 53,0 +sl 0 +ro 270 +xt "-2000,20625,-500,21375" +) +(Line +uid 54,0 +sl 0 +ro 270 +xt "-500,21000,0,21000" +pts [ +"-500,21000" +"0,21000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 55,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 56,0 +va (VaSet +) +xt "-5600,20400,-3000,21600" +st "go1" +ju 2 +blo "-3000,21400" +tm "WireNameMgr" +) +) +) +*7 (Net +uid 63,0 +decl (Decl +n "go1" +t "std_uLogic" +o 3 +suid 4,0 +) +declText (MLText +uid 64,0 +va (VaSet +font "Courier New,8,0" +) +xt "18000,3000,29500,3800" +st "go1 : std_uLogic" +) +) +*8 (PortIoIn +uid 65,0 +shape (CompositeShape +uid 66,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 67,0 +sl 0 +ro 270 +xt "-2000,22625,-500,23375" +) +(Line +uid 68,0 +sl 0 +ro 270 +xt "-500,23000,0,23000" +pts [ +"-500,23000" +"0,23000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 69,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 70,0 +va (VaSet +) +xt "-5600,22400,-3000,23600" +st "go2" +ju 2 +blo "-3000,23400" +tm "WireNameMgr" +) +) +) +*9 (Net +uid 77,0 +decl (Decl +n "go2" +t "std_uLogic" +o 4 +suid 5,0 +) +declText (MLText +uid 78,0 +va (VaSet +font "Courier New,8,0" +) +xt "18000,3800,29500,4600" +st "go2 : std_uLogic" +) +) +*10 (PortIoIn +uid 79,0 +shape (CompositeShape +uid 80,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 81,0 +sl 0 +ro 270 +xt "-2000,18625,-500,19375" +) +(Line +uid 82,0 +sl 0 +ro 270 +xt "-500,19000,0,19000" +pts [ +"-500,19000" +"0,19000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 83,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 84,0 +va (VaSet +) +xt "-7100,18400,-3000,19600" +st "restart" +ju 2 +blo "-3000,19400" +tm "WireNameMgr" +) +) +) +*11 (Net +uid 91,0 +decl (Decl +n "restart" +t "std_uLogic" +o 6 +suid 6,0 +) +declText (MLText +uid 92,0 +va (VaSet +font "Courier New,8,0" +) +xt "18000,5400,29500,6200" +st "restart : std_uLogic" +) +) +*12 (PortIoIn +uid 107,0 +shape (CompositeShape +uid 108,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 109,0 +sl 0 +ro 270 +xt "-2000,26625,-500,27375" +) +(Line +uid 110,0 +sl 0 +ro 270 +xt "-500,27000,0,27000" +pts [ +"-500,27000" +"0,27000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 111,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 112,0 +va (VaSet +) +xt "-7000,26400,-3000,27600" +st "unlock" +ju 2 +blo "-3000,27400" +tm "WireNameMgr" +) +) +) +*13 (Net +uid 119,0 +lang 11 +decl (Decl +n "unlock" +t "std_ulogic" +o 7 +suid 8,0 +) +declText (MLText +uid 120,0 +va (VaSet +font "Courier New,8,0" +) +xt "18000,6200,29500,7000" +st "unlock : std_ulogic" +) +) +*14 (Grouping +uid 137,0 +optionalChildren [ +*15 (CommentText +uid 139,0 +shape (Rectangle +uid 140,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,48000,44000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 141,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "27200,48000,36400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*16 (CommentText +uid 142,0 +shape (Rectangle +uid 143,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,44000,48000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 144,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "44200,44000,47200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*17 (CommentText +uid 145,0 +shape (Rectangle +uid 146,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,46000,44000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 147,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "27200,46000,37200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*18 (CommentText +uid 148,0 +shape (Rectangle +uid 149,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "23000,46000,27000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 150,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "23200,46000,25300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*19 (CommentText +uid 151,0 +shape (Rectangle +uid 152,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,45000,64000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 153,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "44200,45200,53600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*20 (CommentText +uid 154,0 +shape (Rectangle +uid 155,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,44000,64000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 156,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "48200,44000,49800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*21 (CommentText +uid 157,0 +shape (Rectangle +uid 158,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "23000,44000,44000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 159,0 +va (VaSet +fg "32768,0,0" +) +xt "28350,44400,38650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*22 (CommentText +uid 160,0 +shape (Rectangle +uid 161,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "23000,47000,27000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 162,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "23200,47000,25300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*23 (CommentText +uid 163,0 +shape (Rectangle +uid 164,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "23000,48000,27000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 165,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "23200,48000,25900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*24 (CommentText +uid 166,0 +shape (Rectangle +uid 167,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,44000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 168,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "27200,47000,35300,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 138,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "23000,44000,64000,49000" +) +oxt "14000,66000,55000,71000" +) +*25 (PortIoIn +uid 278,0 +shape (CompositeShape +uid 279,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 280,0 +sl 0 +ro 270 +xt "-2000,33625,-500,34375" +) +(Line +uid 281,0 +sl 0 +ro 270 +xt "-500,34000,0,34000" +pts [ +"-500,34000" +"0,34000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 282,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 283,0 +va (VaSet +) +xt "-6300,33500,-3000,34700" +st "reset" +ju 2 +blo "-3000,34500" +tm "WireNameMgr" +) +) +) +*26 (Net +uid 290,0 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 9,0 +) +declText (MLText +uid 291,0 +va (VaSet +font "Courier New,8,0" +) +xt "18000,4600,29500,5400" +st "reset : std_ulogic" +) +) +*27 (Blk +uid 292,0 +shape (Rectangle +uid 293,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "24000,18000,32000,36000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 294,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*28 (Text +uid 295,0 +va (VaSet +font "Verdana,9,1" +) +xt "25600,29200,29300,30400" +st "Cursor" +blo "25600,30200" +tm "BdLibraryNameMgr" +) +*29 (Text +uid 296,0 +va (VaSet +font "Verdana,9,1" +) +xt "25600,30400,34800,31600" +st "button_position" +blo "25600,31400" +tm "BlkNameMgr" +) +*30 (Text +uid 297,0 +va (VaSet +font "Verdana,9,1" +) +xt "25600,31600,28100,32800" +st "U_0" +blo "25600,32600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 298,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 299,0 +text (MLText +uid 300,0 +va (VaSet +font "Courier New,8,0" +) +xt "25600,39200,25600,39200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 301,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "24250,34250,25750,35750" +iconName "StateMachineViewIcon.png" +iconMaskName "StateMachineViewIcon.msk" +ftype 3 +) +viewiconposition 0 +) +*31 (Net +uid 312,0 +lang 11 +decl (Decl +n "dbus0" +t "unsigned" +b "(2 DOWNTO 0)" +o 9 +suid 10,0 +) +declText (MLText +uid 313,0 +va (VaSet +font "Courier New,8,0" +) +xt "18000,9000,38000,9800" +st "SIGNAL dbus0 : unsigned(2 DOWNTO 0)" +) +) +*32 (Net +uid 430,0 +lang 11 +decl (Decl +n "button" +t "unsigned" +b "(3 DOWNTO 0)" +o 9 +suid 11,0 +) +declText (MLText +uid 431,0 +va (VaSet +font "Courier New,8,0" +) +xt "18000,7000,34500,7800" +st "button : unsigned(3 DOWNTO 0)" +) +) +*33 (SaComponent +uid 529,0 +optionalChildren [ +*34 (CptPort +uid 521,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 522,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "18250,11625,19000,12375" +) +tg (CPTG +uid 523,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 524,0 +va (VaSet +isHidden 1 +) +xt "19000,11700,21300,12900" +st "in1" +blo "19000,12700" +) +s (Text +uid 539,0 +va (VaSet +isHidden 1 +) +xt "19000,12900,19000,12900" +blo "19000,12900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*35 (CptPort +uid 525,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 526,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "24000,11625,24750,12375" +) +tg (CPTG +uid 527,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 528,0 +va (VaSet +isHidden 1 +) +xt "21000,11700,24000,12900" +st "out1" +ju 2 +blo "24000,12700" +) +s (Text +uid 540,0 +va (VaSet +isHidden 1 +) +xt "24000,12900,24000,12900" +ju 2 +blo "24000,12900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 530,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "19000,9000,24000,15000" +) +showPorts 0 +oxt "32000,15000,37000,21000" +ttg (MlTextGroup +uid 531,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*36 (Text +uid 532,0 +va (VaSet +font "Verdana,8,1" +) +xt "19910,14700,23010,15700" +st "gates" +blo "19910,15500" +tm "BdLibraryNameMgr" +) +*37 (Text +uid 533,0 +va (VaSet +font "Verdana,8,1" +) +xt "19910,15700,26810,16700" +st "bufferUlogic" +blo "19910,16500" +tm "CptNameMgr" +) +*38 (Text +uid 534,0 +va (VaSet +font "Verdana,8,1" +) +xt "19910,16700,22410,17700" +st "U_1" +blo "19910,17500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 535,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 536,0 +text (MLText +uid 537,0 +va (VaSet +font "Verdana,8,0" +) +xt "19000,17600,33100,18600" +st "delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +viewicon (ZoomableIcon +uid 538,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "19250,13250,20750,14750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*39 (SaComponent +uid 555,0 +optionalChildren [ +*40 (CptPort +uid 547,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 548,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "40250,25625,41000,26375" +) +tg (CPTG +uid 549,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 550,0 +va (VaSet +isHidden 1 +) +xt "41000,25700,43300,26900" +st "in1" +blo "41000,26700" +) +s (Text +uid 565,0 +va (VaSet +isHidden 1 +) +xt "41000,26900,41000,26900" +blo "41000,26900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*41 (CptPort +uid 551,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 552,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "46000,25625,46750,26375" +) +tg (CPTG +uid 553,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 554,0 +va (VaSet +isHidden 1 +) +xt "43000,25700,46000,26900" +st "out1" +ju 2 +blo "46000,26700" +) +s (Text +uid 566,0 +va (VaSet +isHidden 1 +) +xt "46000,26900,46000,26900" +ju 2 +blo "46000,26900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 556,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "41000,23000,46000,29000" +) +showPorts 0 +oxt "36000,16000,41000,22000" +ttg (MlTextGroup +uid 557,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +uid 558,0 +va (VaSet +font "Verdana,8,1" +) +xt "41910,28700,45010,29700" +st "gates" +blo "41910,29500" +tm "BdLibraryNameMgr" +) +*43 (Text +uid 559,0 +va (VaSet +font "Verdana,8,1" +) +xt "41910,29700,50210,30700" +st "bufferUnsigned" +blo "41910,30500" +tm "CptNameMgr" +) +*44 (Text +uid 560,0 +va (VaSet +font "Verdana,8,1" +) +xt "41910,30700,44410,31700" +st "U_2" +blo "41910,31500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 561,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 562,0 +text (MLText +uid 563,0 +va (VaSet +font "Verdana,8,0" +) +xt "41000,31800,57500,33800" +st "dataBitNb = 8 ( positive ) +delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +viewicon (ZoomableIcon +uid 564,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "41250,27250,42750,28750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*45 (Wire +uid 15,0 +optionalChildren [ +*46 (Ripper +uid 545,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"43000,8000" +"42000,9000" +] +uid 546,0 +va (VaSet +vasetType 3 +) +xt "42000,8000,43000,9000" +) +) +*47 (Ripper +uid 571,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"52000,8000" +"53000,9000" +] +uid 572,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "52000,8000,53000,9000" +) +) +] +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "41000,8000,60000,8000" +pts [ +"60000,8000" +"41000,8000" +] +) +start &1 +sat 32 +eat 16 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +isHidden 1 +) +xt "59000,6800,67200,8000" +st "button : (3:0)" +blo "59000,7800" +tm "WireNameMgr" +) +) +on &32 +) +*48 (Wire +uid 29,0 +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +) +xt "0,12000,19000,12000" +pts [ +"0,12000" +"19000,12000" +] +) +start &2 +end &34 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +isHidden 1 +) +xt "2000,10800,6600,12000" +st "button4" +blo "2000,11800" +tm "WireNameMgr" +) +) +on &3 +) +*49 (Wire +uid 43,0 +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +) +xt "0,32000,24000,32000" +pts [ +"0,32000" +"24000,32000" +] +) +start &4 +end &27 +sat 32 +eat 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +isHidden 1 +) +xt "2000,30800,5400,32000" +st "clock" +blo "2000,31800" +tm "WireNameMgr" +) +) +on &5 +) +*50 (Wire +uid 57,0 +shape (OrthoPolyLine +uid 58,0 +va (VaSet +vasetType 3 +) +xt "0,21000,24000,21000" +pts [ +"0,21000" +"24000,21000" +] +) +start &6 +end &27 +sat 32 +eat 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 61,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +isHidden 1 +) +xt "2000,19800,4600,21000" +st "go1" +blo "2000,20800" +tm "WireNameMgr" +) +) +on &7 +) +*51 (Wire +uid 71,0 +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +) +xt "0,23000,24000,23000" +pts [ +"0,23000" +"24000,23000" +] +) +start &8 +end &27 +sat 32 +eat 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +isHidden 1 +) +xt "2000,21800,4600,23000" +st "go2" +blo "2000,22800" +tm "WireNameMgr" +) +) +on &9 +) +*52 (Wire +uid 85,0 +shape (OrthoPolyLine +uid 86,0 +va (VaSet +vasetType 3 +) +xt "0,19000,24000,19000" +pts [ +"0,19000" +"24000,19000" +] +) +start &10 +end &27 +sat 32 +eat 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 89,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 90,0 +va (VaSet +isHidden 1 +) +xt "2000,17800,6100,19000" +st "restart" +blo "2000,18800" +tm "WireNameMgr" +) +) +on &11 +) +*53 (Wire +uid 113,0 +shape (OrthoPolyLine +uid 114,0 +va (VaSet +vasetType 3 +) +xt "0,27000,24000,27000" +pts [ +"0,27000" +"24000,27000" +] +) +start &12 +end &27 +sat 32 +eat 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 117,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 118,0 +va (VaSet +isHidden 1 +) +xt "2000,25800,6000,27000" +st "unlock" +blo "2000,26800" +tm "WireNameMgr" +) +) +on &13 +) +*54 (Wire +uid 284,0 +shape (OrthoPolyLine +uid 285,0 +va (VaSet +vasetType 3 +) +xt "0,34000,24000,34000" +pts [ +"0,34000" +"24000,34000" +] +) +start &25 +end &27 +sat 32 +eat 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 288,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 289,0 +va (VaSet +isHidden 1 +) +xt "2000,32800,5300,34000" +st "reset" +blo "2000,33800" +tm "WireNameMgr" +) +) +on &26 +) +*55 (Wire +uid 379,0 +shape (OrthoPolyLine +uid 380,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "32000,26000,41000,26000" +pts [ +"32000,26000" +"41000,26000" +] +) +start &27 +end &40 +sat 2 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 385,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 386,0 +va (VaSet +) +xt "33000,24800,41100,26000" +st "dbus0 : (2:0)" +blo "33000,25800" +tm "WireNameMgr" +) +) +on &31 +) +*56 (Wire +uid 541,0 +shape (OrthoPolyLine +uid 542,0 +va (VaSet +vasetType 3 +) +xt "24000,9000,42000,12000" +pts [ +"24000,12000" +"42000,12000" +"42000,9000" +] +) +start &35 +end &46 +sat 32 +eat 32 +sl "(3)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 543,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 544,0 +va (VaSet +) +xt "26000,10800,31400,12000" +st "button(3)" +blo "26000,11800" +tm "WireNameMgr" +) +) +on &32 +) +*57 (Wire +uid 567,0 +shape (OrthoPolyLine +uid 568,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "46000,9000,53000,26000" +pts [ +"53000,9000" +"53000,26000" +"46000,26000" +] +) +start &47 +end &41 +sat 32 +eat 32 +sty 1 +sl "(2 DOWNTO 0)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 569,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 570,0 +va (VaSet +) +xt "48000,24800,55200,26000" +st "button(2:0)" +blo "48000,25800" +tm "WireNameMgr" +) +) +on &32 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *58 (PackageList +uid 169,0 +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +uid 170,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*60 (MLText +uid 171,0 +va (VaSet +) +xt "0,1200,17500,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 172,0 +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +uid 173,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*62 (Text +uid 174,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*63 (MLText +uid 175,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*64 (Text +uid 176,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*65 (MLText +uid 177,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*66 (Text +uid 178,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*67 (MLText +uid 179,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,24,1537,960" +viewArea "-13538,-5060,67620,45036" +cachedDiagramExtent "-9800,-1000,67200,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-81000,0" +lastUid 572,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*68 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,3200,6700,4400" +st "" +blo "1300,4200" +tm "BdLibraryNameMgr" +) +*69 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,4400,6100,5600" +st "" +blo "1300,5400" +tm "BlkNameMgr" +) +*70 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,5600,3800,6800" +st "U_0" +blo "1300,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "1300,13200,1300,13200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*71 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,3200,3750,4400" +st "Library" +blo "-350,4200" +) +*72 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,4400,8350,5600" +st "MWComponent" +blo "-350,5400" +) +*73 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,5600,2150,6800" +st "U_0" +blo "-350,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7350,1200,-7350,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*74 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,3200,4100,4400" +st "Library" +blo "0,4200" +tm "BdLibraryNameMgr" +) +*75 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,4400,8000,5600" +st "SaComponent" +blo "0,5400" +tm "CptNameMgr" +) +*76 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,5600,2500,6800" +st "U_0" +blo "0,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7000,1200,-7000,1200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1000,0,9000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,3200,3600,4400" +st "Library" +blo "-500,4200" +) +*78 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,4400,8500,5600" +st "VhdlComponent" +blo "-500,5400" +) +*79 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,5600,2000,6800" +st "U_0" +blo "-500,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7500,1200,-7500,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1650,0,9650,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,3200,2950,4400" +st "Library" +blo "-1150,4200" +) +*81 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,4400,9150,5600" +st "VerilogComponent" +blo "-1150,5400" +) +*82 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,5600,1350,6800" +st "U_0" +blo "-1150,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-8150,1200,-8150,1200" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,3800,5200,5000" +st "eb1" +blo "2800,4800" +tm "HdlTextNameMgr" +) +*84 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,5000,4000,6200" +st "1" +blo "2800,6000" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2900,1200" +st "sig0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,3800,1200" +st "dbus0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,4700,1200" +st "bundle0" +blo "0,1000" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1200,1500,2400" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,18500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*86 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,11000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*88 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 2 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Courier New,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "16000,-1000,23400,200" +st "Declarations" +blo "16000,0" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "16000,200,19700,1400" +st "Ports:" +blo "16000,1200" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "16000,-1000,21200,200" +st "Pre User:" +blo "16000,0" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "16000,-1000,16000,-1000" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "16000,7800,25500,9000" +st "Diagram Signals:" +blo "16000,8800" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "16000,-1000,22400,200" +st "Post User:" +blo "16000,0" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "16000,-1000,16000,-1000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 11,0 +usingSuid 1 +emptyRow *89 (LEmptyRow +) +uid 182,0 +optionalChildren [ +*90 (RefLabelRowHdr +) +*91 (TitleRowHdr +) +*92 (FilterRowHdr +) +*93 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*94 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*95 (GroupColHdr +tm "GroupColHdrMgr" +) +*96 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*97 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*98 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*99 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*100 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*101 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*102 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "button4" +t "std_ulogic" +o 1 +suid 2,0 +) +) +uid 121,0 +) +*103 (LeafLogPort +port (LogicalPort +decl (Decl +n "go1" +t "std_uLogic" +o 3 +suid 4,0 +) +) +uid 123,0 +) +*104 (LeafLogPort +port (LogicalPort +decl (Decl +n "go2" +t "std_uLogic" +o 4 +suid 5,0 +) +) +uid 125,0 +) +*105 (LeafLogPort +port (LogicalPort +decl (Decl +n "restart" +t "std_uLogic" +o 6 +suid 6,0 +) +) +uid 127,0 +) +*106 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "unlock" +t "std_ulogic" +o 7 +suid 8,0 +) +) +uid 129,0 +) +*107 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 3,0 +) +) +uid 133,0 +) +*108 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 9,0 +) +) +uid 277,0 +) +*109 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "dbus0" +t "unsigned" +b "(2 DOWNTO 0)" +o 9 +suid 10,0 +) +) +uid 336,0 +) +*110 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "button" +t "unsigned" +b "(3 DOWNTO 0)" +o 9 +suid 11,0 +) +) +uid 442,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 195,0 +optionalChildren [ +*111 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *112 (MRCItem +litem &89 +pos 9 +dimension 20 +) +uid 197,0 +optionalChildren [ +*113 (MRCItem +litem &90 +pos 0 +dimension 20 +uid 198,0 +) +*114 (MRCItem +litem &91 +pos 1 +dimension 23 +uid 199,0 +) +*115 (MRCItem +litem &92 +pos 2 +hidden 1 +dimension 20 +uid 200,0 +) +*116 (MRCItem +litem &102 +pos 0 +dimension 20 +uid 122,0 +) +*117 (MRCItem +litem &103 +pos 2 +dimension 20 +uid 124,0 +) +*118 (MRCItem +litem &104 +pos 3 +dimension 20 +uid 126,0 +) +*119 (MRCItem +litem &105 +pos 5 +dimension 20 +uid 128,0 +) +*120 (MRCItem +litem &106 +pos 6 +dimension 20 +uid 130,0 +) +*121 (MRCItem +litem &107 +pos 1 +dimension 20 +uid 134,0 +) +*122 (MRCItem +litem &108 +pos 4 +dimension 20 +uid 276,0 +) +*123 (MRCItem +litem &109 +pos 7 +dimension 20 +uid 337,0 +) +*124 (MRCItem +litem &110 +pos 8 +dimension 20 +uid 443,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 201,0 +optionalChildren [ +*125 (MRCItem +litem &93 +pos 0 +dimension 20 +uid 202,0 +) +*126 (MRCItem +litem &95 +pos 1 +dimension 50 +uid 203,0 +) +*127 (MRCItem +litem &96 +pos 2 +dimension 100 +uid 204,0 +) +*128 (MRCItem +litem &97 +pos 3 +dimension 50 +uid 205,0 +) +*129 (MRCItem +litem &98 +pos 4 +dimension 100 +uid 206,0 +) +*130 (MRCItem +litem &99 +pos 5 +dimension 100 +uid 207,0 +) +*131 (MRCItem +litem &100 +pos 6 +dimension 50 +uid 208,0 +) +*132 (MRCItem +litem &101 +pos 7 +dimension 80 +uid 209,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 196,0 +vaOverrides [ +] +) +] +) +uid 181,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *133 (LEmptyRow +) +uid 211,0 +optionalChildren [ +*134 (RefLabelRowHdr +) +*135 (TitleRowHdr +) +*136 (FilterRowHdr +) +*137 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*138 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*139 (GroupColHdr +tm "GroupColHdrMgr" +) +*140 (NameColHdr +tm "GenericNameColHdrMgr" +) +*141 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*142 (InitColHdr +tm "GenericValueColHdrMgr" +) +*143 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*144 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 223,0 +optionalChildren [ +*145 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *146 (MRCItem +litem &133 +pos 0 +dimension 20 +) +uid 225,0 +optionalChildren [ +*147 (MRCItem +litem &134 +pos 0 +dimension 20 +uid 226,0 +) +*148 (MRCItem +litem &135 +pos 1 +dimension 23 +uid 227,0 +) +*149 (MRCItem +litem &136 +pos 2 +hidden 1 +dimension 20 +uid 228,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 229,0 +optionalChildren [ +*150 (MRCItem +litem &137 +pos 0 +dimension 20 +uid 230,0 +) +*151 (MRCItem +litem &139 +pos 1 +dimension 50 +uid 231,0 +) +*152 (MRCItem +litem &140 +pos 2 +dimension 100 +uid 232,0 +) +*153 (MRCItem +litem &141 +pos 3 +dimension 100 +uid 233,0 +) +*154 (MRCItem +litem &142 +pos 4 +dimension 50 +uid 234,0 +) +*155 (MRCItem +litem &143 +pos 5 +dimension 50 +uid 235,0 +) +*156 (MRCItem +litem &144 +pos 6 +dimension 80 +uid 236,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 224,0 +vaOverrides [ +] +) +] +) +uid 210,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Cursor/hds/@main/struct.bd b/Cursor/hds/@main/struct.bd index 08f3e99..e67beb8 100644 --- a/Cursor/hds/@main/struct.bd +++ b/Cursor/hds/@main/struct.bd @@ -227,7 +227,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "15:15:57" +value "15:59:21" ) (vvPair variable "group" @@ -299,7 +299,7 @@ value "struct" ) (vvPair variable "time" -value "15:15:57" +value "15:59:21" ) (vvPair variable "unit" @@ -1871,7 +1871,7 @@ uid 539,0 lang 11 decl (Decl n "power_cruse" -t "unsigned" +t "std_ulogic_vector" b "(7 DOWNTO 0)" o 23 suid 26,0 @@ -1889,7 +1889,7 @@ uid 541,0 lang 11 decl (Decl n "power_deceleration" -t "unsigned" +t "std_ulogic_vector" b "(7 DOWNTO 0)" o 24 suid 27,0 @@ -2128,7 +2128,7 @@ uid 892,0 lang 11 decl (Decl n "clk" -t "std_ulogic" +t "unsigned" o 3 suid 43,0 ) @@ -2145,7 +2145,7 @@ uid 902,0 lang 11 decl (Decl n "rst" -t "std_ulogic" +t "unsigned" o 4 suid 44,0 ) @@ -2341,7 +2341,7 @@ uid 1348,0 lang 11 decl (Decl n "pos1" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 19 suid 51,0 @@ -2359,7 +2359,7 @@ uid 1350,0 lang 11 decl (Decl n "pos2" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 20 suid 52,0 @@ -3068,6 +3068,43 @@ uid 72,0 va (VaSet vasetType 3 ) +xt "95000,22000,115000,22000" +pts [ +"115000,22000" +"95000,22000" +] +) +start &9 +end &38 +sat 32 +eat 2 +st 0 +sf 1 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +isHidden 1 +) +xt "114000,20800,116700,22000" +st "RaZ" +blo "114000,21800" +tm "WireNameMgr" +) +) +on &10 +) +*112 (Wire +uid 71,0 +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +) xt "35000,40000,114000,45000" pts [ "114000,45000" @@ -3100,43 +3137,6 @@ tm "WireNameMgr" ) on &16 ) -*112 (Wire -uid 71,0 -shape (OrthoPolyLine -uid 72,0 -va (VaSet -vasetType 3 -) -xt "95000,22000,115000,22000" -pts [ -"115000,22000" -"95000,22000" -] -) -start &9 -end &38 -sat 32 -eat 2 -st 0 -sf 1 -si 0 -tg (WTG -uid 75,0 -ps "ConnStartEndStrategy" -stg "STSignalDisplayStrategy" -f (Text -uid 76,0 -va (VaSet -isHidden 1 -) -xt "114000,20800,116700,22000" -st "RaZ" -blo "114000,21800" -tm "WireNameMgr" -) -) -on &10 -) *113 (Wire uid 85,0 optionalChildren [ @@ -3468,6 +3468,8 @@ lineWidth 2 xt "37000,28000,37000,34000" pts [ "37000,28000" +"37000,32000" +"37000,33000" "37000,34000" ] ) @@ -5640,11 +5642,11 @@ tm "BdCompilerDirectivesTextMgr" associable 1 ) windowSize "0,0,1537,960" -viewArea "-11800,-22638,100774,48914" +viewArea "13668,16080,70937,51255" cachedDiagramExtent "-37000,-20200,122900,50000" hasePageBreakOrigin 1 pageBreakOrigin "-82000,-49000" -lastUid 4314,0 +lastUid 5444,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -6898,7 +6900,7 @@ lang 11 m 4 decl (Decl n "power_cruse" -t "unsigned" +t "std_ulogic_vector" b "(7 DOWNTO 0)" o 23 suid 26,0 @@ -6912,7 +6914,7 @@ lang 11 m 4 decl (Decl n "power_deceleration" -t "unsigned" +t "std_ulogic_vector" b "(7 DOWNTO 0)" o 24 suid 27,0 @@ -7033,7 +7035,7 @@ port (LogicalPort lang 11 decl (Decl n "clk" -t "std_ulogic" +t "unsigned" o 3 suid 43,0 ) @@ -7045,7 +7047,7 @@ port (LogicalPort lang 11 decl (Decl n "rst" -t "std_ulogic" +t "unsigned" o 4 suid 44,0 ) @@ -7071,7 +7073,7 @@ lang 11 m 4 decl (Decl n "pos1" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 19 suid 51,0 @@ -7085,7 +7087,7 @@ lang 11 m 4 decl (Decl n "pos2" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 20 suid 52,0 diff --git a/Cursor/hds/@main/symbol.sb b/Cursor/hds/@main/symbol.sb index 4e9b170..db26478 100644 --- a/Cursor/hds/@main/symbol.sb +++ b/Cursor/hds/@main/symbol.sb @@ -78,7 +78,7 @@ port (LogicalPort lang 11 decl (Decl n "clk" -t "std_ulogic" +t "unsigned" o 3 suid 76,0 ) @@ -130,7 +130,7 @@ port (LogicalPort lang 11 decl (Decl n "rst" -t "std_ulogic" +t "unsigned" o 4 suid 80,0 ) @@ -657,7 +657,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "14:44:45" +value "15:58:06" ) (vvPair variable "group" @@ -729,7 +729,7 @@ value "symbol" ) (vvPair variable "time" -value "14:44:45" +value "15:58:06" ) (vvPair variable "unit" @@ -842,15 +842,15 @@ uid 888,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4000,61000,4800" -st "clk : IN std_ulogic ; +xt "44000,4000,60000,4800" +st "clk : IN unsigned ; " ) thePort (LogicalPort lang 11 decl (Decl n "clk" -t "std_ulogic" +t "unsigned" o 3 suid 76,0 ) @@ -1031,15 +1031,15 @@ uid 908,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4800,61000,5600" -st "rst : IN std_ulogic ; +xt "44000,4800,60000,5600" +st "rst : IN unsigned ; " ) thePort (LogicalPort lang 11 decl (Decl n "rst" -t "std_ulogic" +t "unsigned" o 4 suid 80,0 ) @@ -2031,6 +2031,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 1192,0 +lastUid 1215,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/@position/interface b/Cursor/hds/@position/interface new file mode 100644 index 0000000..954f847 --- /dev/null +++ b/Cursor/hds/@position/interface @@ -0,0 +1,1690 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 19,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 88,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 13,0 +) +) +uid 342,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "encoderA" +t "std_uLogic" +o 3 +suid 14,0 +) +) +uid 344,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "encoderB" +t "std_uLogic" +o 4 +suid 15,0 +) +) +uid 346,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "encoderI" +t "std_uLogic" +o 5 +suid 16,0 +) +) +uid 348,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 7 +suid 17,0 +) +) +uid 350,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +suid 18,0 +) +) +uid 352,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 6 +suid 19,0 +) +) +uid 354,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 101,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 103,0 +optionalChildren [ +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 104,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 105,0 +) +*25 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 106,0 +) +*26 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 343,0 +) +*27 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 345,0 +) +*28 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 347,0 +) +*29 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 349,0 +) +*30 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 351,0 +) +*31 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 353,0 +) +*32 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 355,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 107,0 +optionalChildren [ +*33 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 108,0 +) +*34 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 109,0 +) +*35 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 110,0 +) +*36 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 111,0 +) +*37 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 112,0 +) +*38 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 113,0 +) +*39 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 114,0 +) +*40 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 102,0 +vaOverrides [ +] +) +] +) +uid 87,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 117,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 129,0 +optionalChildren [ +*53 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *54 (MRCItem +litem &41 +pos 3 +dimension 20 +) +uid 131,0 +optionalChildren [ +*55 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 132,0 +) +*56 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 133,0 +) +*57 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*58 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 136,0 +) +*59 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 137,0 +) +*60 (MRCItem +litem &48 +pos 2 +dimension 100 +uid 138,0 +) +*61 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 139,0 +) +*62 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 140,0 +) +*63 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 141,0 +) +*64 (MRCItem +litem &52 +pos 6 +dimension 80 +uid 142,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 116,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position" +) +(vvPair +variable "d_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Position" +) +(vvPair +variable "date" +value "21.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "21" +) +(vvPair +variable "entity_name" +value "Position" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "remi" +) +(vvPair +variable "graphical_source_date" +value "21.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "MARVIN" +) +(vvPair +variable "graphical_source_time" +value "13:32:31" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "MARVIN" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "Position" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position\\interface" +) +(vvPair +variable "p_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Position\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:32:31" +) +(vvPair +variable "unit" +value "Position" +) +(vvPair +variable "user" +value "remi" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 307,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 308,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,13625,15000,14375" +) +tg (CPTG +uid 309,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 310,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,13300,19800,14700" +st "clock" +blo "16000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 311,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,61000,4000" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 13,0 +) +) +) +*67 (CptPort +uid 312,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 313,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,7625,15000,8375" +) +tg (CPTG +uid 314,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 315,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,7300,22700,8700" +st "encoderA" +blo "16000,8500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 316,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,61000,4800" +st "encoderA : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "encoderA" +t "std_uLogic" +o 3 +suid 14,0 +) +) +) +*68 (CptPort +uid 317,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 318,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,9625,15000,10375" +) +tg (CPTG +uid 319,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 320,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,9300,22700,10700" +st "encoderB" +blo "16000,10500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 321,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,61000,5600" +st "encoderB : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "encoderB" +t "std_uLogic" +o 4 +suid 15,0 +) +) +) +*69 (CptPort +uid 322,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 323,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,11625,15000,12375" +) +tg (CPTG +uid 324,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 325,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,11300,22400,12700" +st "encoderI" +blo "16000,12500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 326,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,61000,6400" +st "encoderI : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "encoderI" +t "std_uLogic" +o 5 +suid 16,0 +) +) +) +*70 (CptPort +uid 327,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 328,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,7625,23750,8375" +) +tg (CPTG +uid 329,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 330,0 +va (VaSet +font "Verdana,12,0" +) +xt "16200,7300,22000,8700" +st "Position" +ju 2 +blo "22000,8500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 331,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7200,66000,8000" +st "Position : OUT unsigned (15 DOWNTO 0)" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 7 +suid 17,0 +) +) +) +*71 (CptPort +uid 332,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 333,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,14625,23750,15375" +) +tg (CPTG +uid 334,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 335,0 +va (VaSet +font "Verdana,12,0" +) +xt "18800,14300,22000,15700" +st "RaZ" +ju 2 +blo "22000,15500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 336,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,61000,3200" +st "RaZ : IN std_ulogic ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +suid 18,0 +) +) +) +*72 (CptPort +uid 337,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 338,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,14625,15000,15375" +) +tg (CPTG +uid 339,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 340,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,14300,20100,15700" +st "reset" +blo "16000,15500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 341,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,61000,7200" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 6 +suid 19,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,23000,16000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "16650,9800,20350,11000" +st "Cursor" +blo "16650,10800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "16650,11000,21350,12200" +st "Position" +blo "16650,12000" +) +) +gi *73 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "-10500,7000,1000,7800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*74 (Grouping +uid 16,0 +optionalChildren [ +*75 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,45400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,45800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *85 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*86 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*87 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor" +entityName "cursorCircuit" +viewName "studentVersion.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *88 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *89 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,8000,45200,9200" +st "User:" +blo "42000,9000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9200,44000,9200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 562,0 +activeModelName "Symbol:CDM" +) diff --git a/Cursor/hds/@position/position@diagram.bd b/Cursor/hds/@position/position@diagram.bd new file mode 100644 index 0000000..f6c57a5 --- /dev/null +++ b/Cursor/hds/@position/position@diagram.bd @@ -0,0 +1,3255 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_SIGNED" +) +] +instances [ +(Instance +name "U_3" +duLibraryName "Cursor" +duName "Encoder" +elements [ +] +mwi 0 +uid 530,0 +) +(Instance +name "U_4" +duLibraryName "Cursor" +duName "Compteur" +elements [ +] +mwi 0 +uid 629,0 +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position\\position.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position\\position.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "position" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position" +) +(vvPair +variable "d_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Position" +) +(vvPair +variable "date" +value "21.12.2021" +) +(vvPair +variable "day" +value "mar." +) +(vvPair +variable "day_long" +value "mardi" +) +(vvPair +variable "dd" +value "21" +) +(vvPair +variable "entity_name" +value "Position" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "position.bd" +) +(vvPair +variable "f_logical" +value "position.bd" +) +(vvPair +variable "f_noext" +value "position" +) +(vvPair +variable "graphical_source_author" +value "remi" +) +(vvPair +variable "graphical_source_date" +value "21.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "MARVIN" +) +(vvPair +variable "graphical_source_time" +value "13:32:31" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "MARVIN" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "Position" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\@position\\position.bd" +) +(vvPair +variable "p_logical" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\Position\\position.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "position" +) +(vvPair +variable "this_file_logical" +value "position" +) +(vvPair +variable "time" +value "13:32:31" +) +(vvPair +variable "unit" +value "Position" +) +(vvPair +variable "user" +value "remi" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "position" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 132,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "11000,31625,12500,32375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "12500,32000,13000,32000" +pts [ +"12500,32000" +"13000,32000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +) +xt "6600,31400,10000,32600" +st "clock" +ju 2 +blo "10000,32400" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,3200,34000,4000" +st "clock : std_ulogic" +) +) +*3 (PortIoIn +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "-2000,11625,-500,12375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "-500,12000,0,12000" +pts [ +"-500,12000" +"0,12000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +) +xt "-8500,11400,-3000,12600" +st "encoderA" +ju 2 +blo "-3000,12400" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 35,0 +decl (Decl +n "encoderA" +t "std_uLogic" +o 3 +suid 2,0 +) +declText (MLText +uid 36,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,4000,34000,4800" +st "encoderA : std_uLogic" +) +) +*5 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "-2000,15625,-500,16375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "-500,16000,0,16000" +pts [ +"-500,16000" +"0,16000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +) +xt "-8400,15400,-3000,16600" +st "encoderB" +ju 2 +blo "-3000,16400" +tm "WireNameMgr" +) +) +) +*6 (Net +uid 49,0 +decl (Decl +n "encoderB" +t "std_uLogic" +o 4 +suid 3,0 +) +declText (MLText +uid 50,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,4800,34000,5600" +st "encoderB : std_uLogic" +) +) +*7 (PortIoIn +uid 51,0 +shape (CompositeShape +uid 52,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 53,0 +sl 0 +ro 270 +xt "-2000,17625,-500,18375" +) +(Line +uid 54,0 +sl 0 +ro 270 +xt "-500,18000,0,18000" +pts [ +"-500,18000" +"0,18000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 55,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 56,0 +va (VaSet +) +xt "-8200,17400,-3000,18600" +st "encoderI" +ju 2 +blo "-3000,18400" +tm "WireNameMgr" +) +) +) +*8 (Net +uid 63,0 +decl (Decl +n "encoderI" +t "std_uLogic" +o 5 +suid 4,0 +) +declText (MLText +uid 64,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,5600,34000,6400" +st "encoderI : std_uLogic" +) +) +*9 (Grouping +uid 89,0 +optionalChildren [ +*10 (CommentText +uid 91,0 +shape (Rectangle +uid 92,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,48000,44000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 93,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "27200,48000,36400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 94,0 +shape (Rectangle +uid 95,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,44000,48000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 96,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "44200,44000,47200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*12 (CommentText +uid 97,0 +shape (Rectangle +uid 98,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,46000,44000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 99,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "27200,46000,37200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*13 (CommentText +uid 100,0 +shape (Rectangle +uid 101,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "23000,46000,27000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 102,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "23200,46000,25300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*14 (CommentText +uid 103,0 +shape (Rectangle +uid 104,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "44000,45000,64000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 105,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "44200,45200,53600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*15 (CommentText +uid 106,0 +shape (Rectangle +uid 107,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,44000,64000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 108,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "48200,44000,49800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*16 (CommentText +uid 109,0 +shape (Rectangle +uid 110,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "23000,44000,44000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 111,0 +va (VaSet +fg "32768,0,0" +) +xt "28350,44400,38650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*17 (CommentText +uid 112,0 +shape (Rectangle +uid 113,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "23000,47000,27000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 114,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "23200,47000,25300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*18 (CommentText +uid 115,0 +shape (Rectangle +uid 116,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "23000,48000,27000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 117,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "23200,48000,25900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*19 (CommentText +uid 118,0 +shape (Rectangle +uid 119,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,44000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 120,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "27200,47000,36500,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 90,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "23000,44000,64000,49000" +) +oxt "14000,66000,55000,71000" +) +*20 (PortIoOut +uid 193,0 +shape (CompositeShape +uid 194,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 195,0 +sl 0 +ro 270 +xt "53500,21625,55000,22375" +) +(Line +uid 196,0 +sl 0 +ro 270 +xt "53000,22000,53500,22000" +pts [ +"53000,22000" +"53500,22000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 197,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 198,0 +va (VaSet +) +xt "56000,21500,60800,22700" +st "Position" +blo "56000,22500" +tm "WireNameMgr" +) +) +) +*21 (Net +uid 205,0 +lang 11 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 7 +suid 6,0 +) +declText (MLText +uid 206,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,7200,39500,8000" +st "Position : unsigned(15 DOWNTO 0)" +) +) +*22 (PortIoIn +uid 207,0 +shape (CompositeShape +uid 208,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 209,0 +sl 0 +ro 270 +xt "11000,28625,12500,29375" +) +(Line +uid 210,0 +sl 0 +ro 270 +xt "12500,29000,13000,29000" +pts [ +"12500,29000" +"13000,29000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 211,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 212,0 +va (VaSet +) +xt "7300,28500,10000,29700" +st "RaZ" +ju 2 +blo "10000,29500" +tm "WireNameMgr" +) +) +) +*23 (Net +uid 219,0 +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +suid 7,0 +) +declText (MLText +uid 220,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,2400,34000,3200" +st "RaZ : std_ulogic" +) +) +*24 (PortIoIn +uid 223,0 +shape (CompositeShape +uid 224,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 225,0 +sl 0 +ro 270 +xt "11000,33625,12500,34375" +) +(Line +uid 226,0 +sl 0 +ro 270 +xt "12500,34000,13000,34000" +pts [ +"12500,34000" +"13000,34000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 227,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 228,0 +va (VaSet +) +xt "6700,33500,10000,34700" +st "reset" +ju 2 +blo "10000,34500" +tm "WireNameMgr" +) +) +) +*25 (Net +uid 235,0 +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 8,0 +) +declText (MLText +uid 236,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,6400,34000,7200" +st "reset : std_ulogic" +) +) +*26 (Blk +uid 530,0 +shape (Rectangle +uid 531,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "14000,10000,22000,26000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 532,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*27 (Text +uid 533,0 +va (VaSet +font "Verdana,9,1" +) +xt "15600,13200,19300,14400" +st "Cursor" +blo "15600,14200" +tm "BdLibraryNameMgr" +) +*28 (Text +uid 534,0 +va (VaSet +font "Verdana,9,1" +) +xt "15600,14400,20100,15600" +st "Encoder" +blo "15600,15400" +tm "BlkNameMgr" +) +*29 (Text +uid 535,0 +va (VaSet +font "Verdana,9,1" +) +xt "15600,15600,18100,16800" +st "U_3" +blo "15600,16600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 536,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 537,0 +text (MLText +uid 538,0 +va (VaSet +font "Courier New,8,0" +) +xt "15600,23200,15600,23200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 539,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "14250,24250,15750,25750" +iconName "StateMachineViewIcon.png" +iconMaskName "StateMachineViewIcon.msk" +ftype 3 +) +viewiconposition 0 +) +*30 (Net +uid 540,0 +decl (Decl +n "up" +t "std_uLogic" +o 8 +suid 11,0 +) +declText (MLText +uid 541,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,10000,37500,10800" +st "SIGNAL up : std_uLogic" +) +) +*31 (Net +uid 548,0 +decl (Decl +n "down" +t "std_uLogic" +o 9 +suid 12,0 +) +declText (MLText +uid 549,0 +va (VaSet +font "Courier New,8,0" +) +xt "22000,9200,37500,10000" +st "SIGNAL down : std_uLogic" +) +) +*32 (Blk +uid 629,0 +shape (Rectangle +uid 630,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "32000,10000,48000,37000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 631,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 632,0 +va (VaSet +font "Verdana,9,1" +) +xt "33600,13200,37300,14400" +st "Cursor" +blo "33600,14200" +tm "BdLibraryNameMgr" +) +*34 (Text +uid 633,0 +va (VaSet +font "Verdana,9,1" +) +xt "33600,14400,39000,15600" +st "Compteur" +blo "33600,15400" +tm "BlkNameMgr" +) +*35 (Text +uid 634,0 +va (VaSet +font "Verdana,9,1" +) +xt "33600,15600,36100,16800" +st "U_4" +blo "33600,16600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 635,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 636,0 +text (MLText +uid 637,0 +va (VaSet +font "Courier New,8,0" +) +xt "33600,23200,33600,23200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 638,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "32250,35250,33750,36750" +iconName "BlockDiagram.png" +iconMaskName "BlockDiagram.msk" +ftype 1 +) +viewiconposition 0 +) +*36 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "13000,32000,32000,32000" +pts [ +"13000,32000" +"32000,32000" +] +) +start &1 +end &32 +sat 32 +eat 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +isHidden 1 +) +xt "15000,30800,18400,32000" +st "clock" +blo "15000,31800" +tm "WireNameMgr" +) +) +on &2 +) +*37 (Wire +uid 29,0 +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +) +xt "0,12000,14000,12000" +pts [ +"0,12000" +"14000,12000" +] +) +start &3 +end &26 +sat 32 +eat 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +isHidden 1 +) +xt "2000,10800,7500,12000" +st "encoderA" +blo "2000,11800" +tm "WireNameMgr" +) +) +on &4 +) +*38 (Wire +uid 43,0 +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +) +xt "0,16000,14000,16000" +pts [ +"0,16000" +"14000,16000" +] +) +start &5 +end &26 +sat 32 +eat 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +isHidden 1 +) +xt "2000,14800,7400,16000" +st "encoderB" +blo "2000,15800" +tm "WireNameMgr" +) +) +on &6 +) +*39 (Wire +uid 57,0 +shape (OrthoPolyLine +uid 58,0 +va (VaSet +vasetType 3 +) +xt "0,18000,10000,18000" +pts [ +"0,18000" +"10000,18000" +] +) +start &7 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 61,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +isHidden 1 +) +xt "2000,16800,7200,18000" +st "encoderI" +blo "2000,17800" +tm "WireNameMgr" +) +) +on &8 +) +*40 (Wire +uid 199,0 +shape (OrthoPolyLine +uid 200,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "48000,22000,53000,22000" +pts [ +"48000,22000" +"53000,22000" +] +) +start &32 +end &20 +sat 2 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 203,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 204,0 +va (VaSet +isHidden 1 +) +xt "45000,20800,54800,22000" +st "Position : (15:0)" +blo "45000,21800" +tm "WireNameMgr" +) +) +on &21 +) +*41 (Wire +uid 213,0 +shape (OrthoPolyLine +uid 214,0 +va (VaSet +vasetType 3 +) +xt "13000,29000,32000,29000" +pts [ +"13000,29000" +"32000,29000" +] +) +start &22 +end &32 +sat 32 +eat 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 217,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 218,0 +va (VaSet +isHidden 1 +) +xt "15000,27800,17700,29000" +st "RaZ" +blo "15000,28800" +tm "WireNameMgr" +) +) +on &23 +) +*42 (Wire +uid 229,0 +shape (OrthoPolyLine +uid 230,0 +va (VaSet +vasetType 3 +) +xt "13000,34000,32000,34000" +pts [ +"13000,34000" +"32000,34000" +] +) +start &24 +end &32 +sat 32 +eat 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 233,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 234,0 +va (VaSet +isHidden 1 +) +xt "15000,32800,18300,34000" +st "reset" +blo "15000,33800" +tm "WireNameMgr" +) +) +on &25 +) +*43 (Wire +uid 542,0 +shape (OrthoPolyLine +uid 543,0 +va (VaSet +vasetType 3 +) +xt "22000,15000,32000,15000" +pts [ +"32000,15000" +"22000,15000" +] +) +start &32 +end &26 +sat 1 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 546,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 547,0 +va (VaSet +) +xt "29250,13800,31150,15000" +st "up" +blo "29250,14800" +tm "WireNameMgr" +) +) +on &30 +) +*44 (Wire +uid 550,0 +shape (OrthoPolyLine +uid 551,0 +va (VaSet +vasetType 3 +) +xt "22000,17000,32000,17000" +pts [ +"32000,17000" +"22000,17000" +] +) +start &32 +end &26 +sat 1 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 554,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 555,0 +va (VaSet +) +xt "28250,15800,31450,17000" +st "down" +blo "28250,16800" +tm "WireNameMgr" +) +) +on &31 +) +*45 (Wire +uid 556,0 +shape (OrthoPolyLine +uid 557,0 +va (VaSet +vasetType 3 +) +xt "9000,21000,14000,21000" +pts [ +"14000,21000" +"9000,21000" +] +) +start &26 +sat 1 +eat 16 +stc 0 +sf 1 +si 0 +tg (WTG +uid 562,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 563,0 +va (VaSet +) +xt "9250,19800,12650,21000" +st "clock" +blo "9250,20800" +tm "WireNameMgr" +) +) +on &2 +) +*46 (Wire +uid 564,0 +shape (OrthoPolyLine +uid 565,0 +va (VaSet +vasetType 3 +) +xt "9000,23000,14000,23000" +pts [ +"14000,23000" +"9000,23000" +] +) +start &26 +sat 1 +eat 16 +stc 0 +sf 1 +si 0 +tg (WTG +uid 570,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 571,0 +va (VaSet +) +xt "9250,21800,12550,23000" +st "reset" +blo "9250,22800" +tm "WireNameMgr" +) +) +on &25 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *47 (PackageList +uid 121,0 +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +uid 122,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*49 (MLText +uid 123,0 +va (VaSet +) +xt "0,1200,19000,8400" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all; +USE ieee.NUMERIC_SIGNED.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 124,0 +stg "VerticalLayoutStrategy" +textVec [ +*50 (Text +uid 125,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*51 (Text +uid 126,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*52 (MLText +uid 127,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*53 (Text +uid 128,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*54 (MLText +uid 129,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*55 (Text +uid 130,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*56 (MLText +uid 131,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1537,920" +viewArea "-23700,-7200,73166,51408" +cachedDiagramExtent "-8500,0,64000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-9000,0" +lastUid 695,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,3200,6700,4400" +st "" +blo "1300,4200" +tm "BdLibraryNameMgr" +) +*58 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,4400,6100,5600" +st "" +blo "1300,5400" +tm "BlkNameMgr" +) +*59 (Text +va (VaSet +font "Verdana,9,1" +) +xt "1300,5600,3800,6800" +st "U_0" +blo "1300,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "1300,13200,1300,13200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,3200,3750,4400" +st "Library" +blo "-350,4200" +) +*61 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,4400,8350,5600" +st "MWComponent" +blo "-350,5400" +) +*62 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-350,5600,2150,6800" +st "U_0" +blo "-350,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7350,1200,-7350,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,3200,4100,4400" +st "Library" +blo "0,4200" +tm "BdLibraryNameMgr" +) +*64 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,4400,8000,5600" +st "SaComponent" +blo "0,5400" +tm "CptNameMgr" +) +*65 (Text +va (VaSet +font "Verdana,9,1" +) +xt "0,5600,2500,6800" +st "U_0" +blo "0,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7000,1200,-7000,1200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1000,0,9000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*66 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,3200,3600,4400" +st "Library" +blo "-500,4200" +) +*67 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,4400,8500,5600" +st "VhdlComponent" +blo "-500,5400" +) +*68 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-500,5600,2000,6800" +st "U_0" +blo "-500,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-7500,1200,-7500,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1650,0,9650,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*69 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,3200,2950,4400" +st "Library" +blo "-1150,4200" +) +*70 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,4400,9150,5600" +st "VerilogComponent" +blo "-1150,5400" +) +*71 (Text +va (VaSet +font "Verdana,9,1" +) +xt "-1150,5600,1350,6800" +st "U_0" +blo "-1150,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-8150,1200,-8150,1200" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*72 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,3800,5200,5000" +st "eb1" +blo "2800,4800" +tm "HdlTextNameMgr" +) +*73 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2800,5000,4000,6200" +st "1" +blo "2800,6000" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2900,1200" +st "sig0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,3800,1200" +st "dbus0" +blo "0,1000" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,4700,1200" +st "bundle0" +blo "0,1000" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1200,1500,2400" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,18500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*74 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*75 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,11000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1850,1650" +) +num (Text +va (VaSet +) +xt "250,250,1650,1450" +st "1" +blo "250,1250" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*76 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11200,20000,22000,21200" +st "Frame Declarations" +blo "11200,21000" +) +*77 (MLText +va (VaSet +) +xt "11200,21200,11200,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 2 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +lang 2 +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Courier New,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,0,27400,1200" +st "Declarations" +blo "20000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,1200,23700,2400" +st "Ports:" +blo "20000,2200" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,25200,1200" +st "Pre User:" +blo "20000,1000" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "20000,8000,29500,9200" +st "Diagram Signals:" +blo "20000,9000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,26400,1200" +st "Post User:" +blo "20000,1000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 12,0 +usingSuid 1 +emptyRow *78 (LEmptyRow +) +uid 134,0 +optionalChildren [ +*79 (RefLabelRowHdr +) +*80 (TitleRowHdr +) +*81 (FilterRowHdr +) +*82 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*83 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*84 (GroupColHdr +tm "GroupColHdrMgr" +) +*85 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*86 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*87 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*88 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*89 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*90 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*91 (LeafLogPort +port (LogicalPort +decl (Decl +n "encoderA" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 79,0 +) +*92 (LeafLogPort +port (LogicalPort +decl (Decl +n "encoderB" +t "std_uLogic" +o 4 +suid 3,0 +) +) +uid 81,0 +) +*93 (LeafLogPort +port (LogicalPort +decl (Decl +n "encoderI" +t "std_uLogic" +o 5 +suid 4,0 +) +) +uid 83,0 +) +*94 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 85,0 +) +*95 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 7 +suid 6,0 +) +) +uid 190,0 +) +*96 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "RaZ" +t "std_ulogic" +o 1 +suid 7,0 +) +) +uid 192,0 +) +*97 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 8,0 +) +) +uid 222,0 +) +*98 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "up" +t "std_uLogic" +o 8 +suid 11,0 +) +) +uid 572,0 +) +*99 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "down" +t "std_uLogic" +o 9 +suid 12,0 +) +) +uid 574,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 147,0 +optionalChildren [ +*100 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *101 (MRCItem +litem &78 +pos 9 +dimension 20 +) +uid 149,0 +optionalChildren [ +*102 (MRCItem +litem &79 +pos 0 +dimension 20 +uid 150,0 +) +*103 (MRCItem +litem &80 +pos 1 +dimension 23 +uid 151,0 +) +*104 (MRCItem +litem &81 +pos 2 +hidden 1 +dimension 20 +uid 152,0 +) +*105 (MRCItem +litem &91 +pos 1 +dimension 20 +uid 80,0 +) +*106 (MRCItem +litem &92 +pos 2 +dimension 20 +uid 82,0 +) +*107 (MRCItem +litem &93 +pos 3 +dimension 20 +uid 84,0 +) +*108 (MRCItem +litem &94 +pos 0 +dimension 20 +uid 86,0 +) +*109 (MRCItem +litem &95 +pos 4 +dimension 20 +uid 189,0 +) +*110 (MRCItem +litem &96 +pos 5 +dimension 20 +uid 191,0 +) +*111 (MRCItem +litem &97 +pos 6 +dimension 20 +uid 221,0 +) +*112 (MRCItem +litem &98 +pos 7 +dimension 20 +uid 573,0 +) +*113 (MRCItem +litem &99 +pos 8 +dimension 20 +uid 575,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 153,0 +optionalChildren [ +*114 (MRCItem +litem &82 +pos 0 +dimension 20 +uid 154,0 +) +*115 (MRCItem +litem &84 +pos 1 +dimension 50 +uid 155,0 +) +*116 (MRCItem +litem &85 +pos 2 +dimension 100 +uid 156,0 +) +*117 (MRCItem +litem &86 +pos 3 +dimension 50 +uid 157,0 +) +*118 (MRCItem +litem &87 +pos 4 +dimension 100 +uid 158,0 +) +*119 (MRCItem +litem &88 +pos 5 +dimension 100 +uid 159,0 +) +*120 (MRCItem +litem &89 +pos 6 +dimension 50 +uid 160,0 +) +*121 (MRCItem +litem &90 +pos 7 +dimension 80 +uid 161,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 148,0 +vaOverrides [ +] +) +] +) +uid 133,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *122 (LEmptyRow +) +uid 163,0 +optionalChildren [ +*123 (RefLabelRowHdr +) +*124 (TitleRowHdr +) +*125 (FilterRowHdr +) +*126 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*127 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*128 (GroupColHdr +tm "GroupColHdrMgr" +) +*129 (NameColHdr +tm "GenericNameColHdrMgr" +) +*130 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*131 (InitColHdr +tm "GenericValueColHdrMgr" +) +*132 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*133 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 175,0 +optionalChildren [ +*134 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *135 (MRCItem +litem &122 +pos 0 +dimension 20 +) +uid 177,0 +optionalChildren [ +*136 (MRCItem +litem &123 +pos 0 +dimension 20 +uid 178,0 +) +*137 (MRCItem +litem &124 +pos 1 +dimension 23 +uid 179,0 +) +*138 (MRCItem +litem &125 +pos 2 +hidden 1 +dimension 20 +uid 180,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 181,0 +optionalChildren [ +*139 (MRCItem +litem &126 +pos 0 +dimension 20 +uid 182,0 +) +*140 (MRCItem +litem &128 +pos 1 +dimension 50 +uid 183,0 +) +*141 (MRCItem +litem &129 +pos 2 +dimension 100 +uid 184,0 +) +*142 (MRCItem +litem &130 +pos 3 +dimension 100 +uid 185,0 +) +*143 (MRCItem +litem &131 +pos 4 +dimension 50 +uid 186,0 +) +*144 (MRCItem +litem &132 +pos 5 +dimension 50 +uid 187,0 +) +*145 (MRCItem +litem &133 +pos 6 +dimension 80 +uid 188,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 176,0 +vaOverrides [ +] +) +] +) +uid 162,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Cursor/hds/_button._epf b/Cursor/hds/_button._epf new file mode 100644 index 0000000..c8fd917 --- /dev/null +++ b/Cursor/hds/_button._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom button@diagram +DEFAULT_FILE atom @button/button@diagram.bd diff --git a/Cursor/hds/_position._epf b/Cursor/hds/_position._epf new file mode 100644 index 0000000..643e37a --- /dev/null +++ b/Cursor/hds/_position._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom position@diagram +DEFAULT_FILE atom @position/position@diagram.bd diff --git a/Cursor/hds/accelerator/fsm.sm b/Cursor/hds/accelerator/fsm.sm index eb2d920..be21eac 100644 --- a/Cursor/hds/accelerator/fsm.sm +++ b/Cursor/hds/accelerator/fsm.sm @@ -130,7 +130,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "14:39:05" +value "15:40:47" ) (vvPair variable "group" @@ -202,7 +202,7 @@ value "fsm" ) (vvPair variable "time" -value "14:39:05" +value "15:40:47" ) (vvPair variable "unit" @@ -620,7 +620,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,46000,46000,47000" +xt "36200,46000,45400,47000" st " by %user on %dd %month %year " @@ -1153,7 +1153,7 @@ lineColor "39936,56832,65280" lineWidth -1 fillStyle 1 ) -xt "15930,25272,52230,26672" +xt "19175,26207,55475,27607" ) autoResize 1 tline (Line @@ -1164,10 +1164,10 @@ isHidden 1 lineColor "39936,56832,65280" lineWidth -1 ) -xt "16030,25172,52130,25172" +xt "19275,26107,55375,26107" pts [ -"16030,25172" -"52130,25172" +"19275,26107" +"55375,26107" ] ) bline (Line @@ -1178,10 +1178,10 @@ isHidden 1 lineColor "39936,56832,65280" lineWidth -1 ) -xt "16030,24572,52130,24572" +xt "19275,25507,55375,25507" pts [ -"16030,24572" -"52130,24572" +"19275,25507" +"55375,25507" ] ) ttri (Triangle @@ -1195,7 +1195,7 @@ bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) -xt "15580,24797,15930,25147" +xt "18825,25732,19175,26082" ) btri (Triangle uid 1014,0 @@ -1208,28 +1208,28 @@ bg "0,0,0" lineColor "39936,56832,65280" lineWidth -1 ) -xt "15580,22397,15930,22747" +xt "18825,23332,19175,23682" ) entryActions (MLText uid 1015,0 va (VaSet ) -xt "16030,24972,16030,24972" +xt "19275,25907,19275,25907" tm "Actions" ) inActions (MLText uid 1016,0 va (VaSet ) -xt "16030,25372,52130,26572" -st "power_acceleration <= (257 - ((Position - info_acceleration)/17));" +xt "19275,26307,55375,27507" +st "power_acceleration <= (257 - ((Position - info_acceleration)/11));" tm "Actions" ) exitActions (MLText uid 1017,0 va (VaSet ) -xt "30080,22572,30080,22572" +xt "33325,23507,33325,23507" tm "Actions" ) ) @@ -1381,7 +1381,7 @@ uid 1033,0 va (VaSet ) xt "55578,22588,91878,23788" -st "power_acceleration <= (257 - ((Position + info_acceleration)/17));" +st "power_acceleration <= (257 - ((Position + info_acceleration)/11));" tm "Actions" ) exitActions (MLText @@ -2207,7 +2207,7 @@ tm "SmCompilerDirectivesTextMgr" associable 1 ) windowSize "0,0,1537,960" -viewArea "14600,-900,86595,44860" +viewArea "15425,-5300,87420,38920" cachedDiagramExtent "0,-1000,91978,47000" hasePageBreakOrigin 1 pageBreakOrigin "0,-2000" @@ -2581,7 +2581,7 @@ stateOrder [ name "csm" ) ] -lastUid 1227,0 +lastUid 1256,0 commonDM (CommonDM ldm (LogicalDM emptyRow *61 (LEmptyRow @@ -2694,7 +2694,7 @@ port (LogicalPort lang 11 decl (Decl n "info_acceleration" -t "std_ulogic_vector" +t "unsigned" b "(15 DOWNTO 0)" o 4 ) @@ -2709,7 +2709,7 @@ m 1 decl (Decl n "power_acceleration" t "unsigned" -b "(7 DOWNTO 0)" +b "(15 DOWNTO 0)" o 7 ) ) @@ -3944,6 +3944,6 @@ pts [ ] ) ) -activeModelName "StateMachine" +activeModelName "StateMachine:CDM" LanguageMgr "Vhdl2008LangMgr" ) diff --git a/Cursor/hds/accelerator/interface b/Cursor/hds/accelerator/interface index 64dac6d..b0db6de 100644 --- a/Cursor/hds/accelerator/interface +++ b/Cursor/hds/accelerator/interface @@ -21,7 +21,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 87,0 +suid 129,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -65,12 +65,12 @@ port (LogicalPort lang 11 decl (Decl n "clk" -t "std_ulogic" +t "unsigned" o 2 -suid 81,0 +suid 123,0 ) ) -uid 1117,0 +uid 1549,0 ) *15 (LogPort port (LogicalPort @@ -79,10 +79,10 @@ decl (Decl n "end_acceleration" t "std_ulogic" o 7 -suid 82,0 +suid 124,0 ) ) -uid 1119,0 +uid 1551,0 ) *16 (LogPort port (LogicalPort @@ -92,10 +92,10 @@ n "info_acceleration" t "unsigned" b "(15 DOWNTO 0)" o 3 -suid 83,0 +suid 125,0 ) ) -uid 1121,0 +uid 1553,0 ) *17 (LogPort port (LogicalPort @@ -105,10 +105,10 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 84,0 +suid 126,0 ) ) -uid 1123,0 +uid 1555,0 ) *18 (LogPort port (LogicalPort @@ -119,22 +119,22 @@ n "power_acceleration" t "unsigned" b "(7 DOWNTO 0)" o 8 -suid 85,0 +suid 127,0 ) ) -uid 1125,0 +uid 1557,0 ) *19 (LogPort port (LogicalPort lang 11 decl (Decl n "rst" -t "std_ulogic" +t "unsigned" o 4 -suid 86,0 +suid 128,0 ) ) -uid 1127,0 +uid 1559,0 ) *20 (LogPort port (LogicalPort @@ -143,10 +143,10 @@ decl (Decl n "sideL_acceleration" t "std_ulogic" o 9 -suid 87,0 +suid 129,0 ) ) -uid 1129,0 +uid 1561,0 ) ] ) @@ -202,43 +202,43 @@ uid 106,0 litem &14 pos 0 dimension 20 -uid 1118,0 +uid 1550,0 ) *27 (MRCItem litem &15 pos 1 dimension 20 -uid 1120,0 +uid 1552,0 ) *28 (MRCItem litem &16 pos 2 dimension 20 -uid 1122,0 +uid 1554,0 ) *29 (MRCItem litem &17 pos 3 dimension 20 -uid 1124,0 +uid 1556,0 ) *30 (MRCItem litem &18 pos 4 dimension 20 -uid 1126,0 +uid 1558,0 ) *31 (MRCItem litem &19 pos 5 dimension 20 -uid 1128,0 +uid 1560,0 ) *32 (MRCItem litem &20 pos 6 dimension 20 -uid 1130,0 +uid 1562,0 ) ] ) @@ -565,7 +565,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "15:14:17" +value "15:59:07" ) (vvPair variable "group" @@ -637,7 +637,7 @@ value "interface" ) (vvPair variable "time" -value "15:14:17" +value "15:59:07" ) (vvPair variable "unit" @@ -672,10 +672,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *66 (CptPort -uid 1082,0 +uid 1514,0 ps "OnEdgeStrategy" shape (Triangle -uid 1083,0 +uid 1515,0 ro 90 va (VaSet vasetType 1 @@ -684,11 +684,11 @@ fg "0,65535,0" xt "14250,9625,15000,10375" ) tg (CPTG -uid 1084,0 +uid 1516,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1085,0 +uid 1517,0 va (VaSet font "Verdana,12,0" ) @@ -699,29 +699,26 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1086,0 +uid 1518,0 va (VaSet font "Courier New,8,0" ) -xt "44000,3200,66500,4000" -st "clk : IN std_ulogic ; -" ) thePort (LogicalPort lang 11 decl (Decl n "clk" -t "std_ulogic" +t "unsigned" o 2 -suid 81,0 +suid 123,0 ) ) ) *67 (CptPort -uid 1087,0 +uid 1519,0 ps "OnEdgeStrategy" shape (Triangle -uid 1088,0 +uid 1520,0 va (VaSet vasetType 1 fg "0,65535,0" @@ -729,11 +726,11 @@ fg "0,65535,0" xt "19625,16000,20375,16750" ) tg (CPTG -uid 1089,0 +uid 1521,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1090,0 +uid 1522,0 ro 270 va (VaSet font "Verdana,12,0" @@ -745,13 +742,10 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1091,0 +uid 1523,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4000,66500,4800" -st "end_acceleration : IN std_ulogic ; -" ) thePort (LogicalPort lang 11 @@ -759,15 +753,15 @@ decl (Decl n "end_acceleration" t "std_ulogic" o 7 -suid 82,0 +suid 124,0 ) ) ) *68 (CptPort -uid 1092,0 +uid 1524,0 ps "OnEdgeStrategy" shape (Triangle -uid 1093,0 +uid 1525,0 ro 90 va (VaSet vasetType 1 @@ -776,11 +770,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 1094,0 +uid 1526,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1095,0 +uid 1527,0 va (VaSet font "Verdana,12,0" ) @@ -791,13 +785,10 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1096,0 +uid 1528,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4800,72000,5600" -st "info_acceleration : IN unsigned (15 DOWNTO 0) ; -" ) thePort (LogicalPort lang 11 @@ -806,15 +797,15 @@ n "info_acceleration" t "unsigned" b "(15 DOWNTO 0)" o 3 -suid 83,0 +suid 125,0 ) ) ) *69 (CptPort -uid 1097,0 +uid 1529,0 ps "OnEdgeStrategy" shape (Triangle -uid 1098,0 +uid 1530,0 ro 90 va (VaSet vasetType 1 @@ -823,11 +814,11 @@ fg "0,65535,0" xt "14250,6625,15000,7375" ) tg (CPTG -uid 1099,0 +uid 1531,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1100,0 +uid 1532,0 va (VaSet font "Verdana,12,0" ) @@ -838,13 +829,10 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1101,0 +uid 1533,0 va (VaSet font "Courier New,8,0" ) -xt "44000,2400,72000,3200" -st "Position : IN unsigned (15 DOWNTO 0) ; -" ) thePort (LogicalPort lang 11 @@ -853,46 +841,43 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 84,0 +suid 126,0 ) ) ) *70 (CptPort -uid 1102,0 +uid 1534,0 ps "OnEdgeStrategy" shape (Triangle -uid 1103,0 +uid 1535,0 ro 90 va (VaSet vasetType 1 fg "0,65535,0" ) -xt "23000,6625,23750,7375" +xt "23000,7625,23750,8375" ) tg (CPTG -uid 1104,0 +uid 1536,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1105,0 +uid 1537,0 va (VaSet font "Verdana,12,0" ) -xt "8000,6300,22000,7700" +xt "8000,7300,22000,8700" st "power_acceleration" ju 2 -blo "22000,7500" +blo "22000,8500" tm "CptPortNameMgr" ) ) dt (MLText -uid 1106,0 +uid 1538,0 va (VaSet font "Courier New,8,0" ) -xt "44000,7200,70500,8000" -st "power_acceleration : OUT unsigned (7 DOWNTO 0) -" ) thePort (LogicalPort lang 11 @@ -902,15 +887,15 @@ n "power_acceleration" t "unsigned" b "(7 DOWNTO 0)" o 8 -suid 85,0 +suid 127,0 ) ) ) *71 (CptPort -uid 1107,0 +uid 1539,0 ps "OnEdgeStrategy" shape (Triangle -uid 1108,0 +uid 1540,0 ro 90 va (VaSet vasetType 1 @@ -919,11 +904,11 @@ fg "0,65535,0" xt "14250,10625,15000,11375" ) tg (CPTG -uid 1109,0 +uid 1541,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1110,0 +uid 1542,0 va (VaSet font "Verdana,12,0" ) @@ -934,29 +919,26 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1111,0 +uid 1543,0 va (VaSet font "Courier New,8,0" ) -xt "44000,5600,66500,6400" -st "rst : IN std_ulogic ; -" ) thePort (LogicalPort lang 11 decl (Decl n "rst" -t "std_ulogic" +t "unsigned" o 4 -suid 86,0 +suid 128,0 ) ) ) *72 (CptPort -uid 1112,0 +uid 1544,0 ps "OnEdgeStrategy" shape (Triangle -uid 1113,0 +uid 1545,0 va (VaSet vasetType 1 fg "0,65535,0" @@ -964,11 +946,11 @@ fg "0,65535,0" xt "17625,16000,18375,16750" ) tg (CPTG -uid 1114,0 +uid 1546,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1115,0 +uid 1547,0 ro 270 va (VaSet font "Verdana,12,0" @@ -980,13 +962,10 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1116,0 +uid 1548,0 va (VaSet font "Courier New,8,0" ) -xt "44000,6400,66500,7200" -st "sideL_acceleration : IN std_ulogic ; -" ) thePort (LogicalPort lang 11 @@ -994,7 +973,7 @@ decl (Decl n "sideL_acceleration" t "std_ulogic" o 9 -suid 87,0 +suid 129,0 ) ) ) @@ -1705,6 +1684,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 1130,0 +lastUid 1562,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/cursor@circuit/student@version.bd b/Cursor/hds/cursor@circuit/student@version.bd index 459efee..148d477 100644 --- a/Cursor/hds/cursor@circuit/student@version.bd +++ b/Cursor/hds/cursor@circuit/student@version.bd @@ -166,7 +166,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "15:15:24" +value "15:59:49" ) (vvPair variable "group" @@ -302,7 +302,7 @@ value "studentVersion" ) (vvPair variable "time" -value "15:15:24" +value "15:59:49" ) (vvPair variable "unit" @@ -2779,7 +2779,7 @@ thePort (LogicalPort lang 11 decl (Decl n "clk" -t "std_ulogic" +t "unsigned" o 3 suid 76,0 ) @@ -2928,7 +2928,7 @@ thePort (LogicalPort lang 11 decl (Decl n "rst" -t "std_ulogic" +t "unsigned" o 4 suid 80,0 ) @@ -4680,7 +4680,7 @@ tm "BdCompilerDirectivesTextMgr" associable 1 ) windowSize "0,0,1537,960" -viewArea "26700,-6149,153673,74555" +viewArea "26700,1700,153673,79688" cachedDiagramExtent "-17000,-23800,171000,152000" pageSetupInfo (PageSetupInfo ptrCmd "\\\\ipp://ippsion.hevs.ch\\PREA309_HPLJ3005DN,winspool," @@ -4707,7 +4707,7 @@ boundaryWidth 0 ) hasePageBreakOrigin 1 pageBreakOrigin "24000,-12000" -lastUid 13722,0 +lastUid 14054,0 defaultCommentText (CommentText shape (Rectangle layer 0 diff --git a/Cursor/hds/enable_acceleration/interface b/Cursor/hds/enable_acceleration/interface index c3c9414..8de66dd 100644 --- a/Cursor/hds/enable_acceleration/interface +++ b/Cursor/hds/enable_acceleration/interface @@ -21,7 +21,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 82,0 +suid 106,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -65,12 +65,12 @@ port (LogicalPort lang 11 decl (Decl n "clk" -t "std_ulogic" +t "unsigned" o 2 -suid 75,0 +suid 99,0 ) ) -uid 988,0 +uid 1225,0 ) *15 (LogPort port (LogicalPort @@ -80,10 +80,10 @@ decl (Decl n "end_acceleration" t "std_ulogic" o 7 -suid 76,0 +suid 100,0 ) ) -uid 990,0 +uid 1227,0 ) *16 (LogPort port (LogicalPort @@ -93,10 +93,10 @@ n "info_acceleration" t "unsigned" b "(15 DOWNTO 0)" o 3 -suid 77,0 +suid 101,0 ) ) -uid 992,0 +uid 1229,0 ) *17 (LogPort port (LogicalPort @@ -106,22 +106,22 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 78,0 +suid 102,0 ) ) -uid 994,0 +uid 1231,0 ) *18 (LogPort port (LogicalPort lang 11 decl (Decl n "rst" -t "std_ulogic" +t "unsigned" o 4 -suid 79,0 +suid 103,0 ) ) -uid 996,0 +uid 1233,0 ) *19 (LogPort port (LogicalPort @@ -130,10 +130,10 @@ decl (Decl n "sideL_acceleration" t "std_ulogic" o 9 -suid 80,0 +suid 104,0 ) ) -uid 998,0 +uid 1235,0 ) *20 (LogPort port (LogicalPort @@ -142,10 +142,10 @@ decl (Decl n "skip_acceleration" t "std_ulogic" o 5 -suid 81,0 +suid 105,0 ) ) -uid 1000,0 +uid 1237,0 ) *21 (LogPort port (LogicalPort @@ -154,10 +154,10 @@ decl (Decl n "unlock" t "std_ulogic" o 6 -suid 82,0 +suid 106,0 ) ) -uid 1002,0 +uid 1239,0 ) ] ) @@ -213,49 +213,49 @@ uid 99,0 litem &14 pos 0 dimension 20 -uid 989,0 +uid 1226,0 ) *28 (MRCItem litem &15 pos 1 dimension 20 -uid 991,0 +uid 1228,0 ) *29 (MRCItem litem &16 pos 2 dimension 20 -uid 993,0 +uid 1230,0 ) *30 (MRCItem litem &17 pos 3 dimension 20 -uid 995,0 +uid 1232,0 ) *31 (MRCItem litem &18 pos 4 dimension 20 -uid 997,0 +uid 1234,0 ) *32 (MRCItem litem &19 pos 5 dimension 20 -uid 999,0 +uid 1236,0 ) *33 (MRCItem litem &20 pos 6 dimension 20 -uid 1001,0 +uid 1238,0 ) *34 (MRCItem litem &21 pos 7 dimension 20 -uid 1003,0 +uid 1240,0 ) ] ) @@ -582,7 +582,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "15:14:17" +value "15:59:07" ) (vvPair variable "group" @@ -654,7 +654,7 @@ value "interface" ) (vvPair variable "time" -value "15:14:17" +value "15:59:07" ) (vvPair variable "unit" @@ -689,10 +689,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *68 (CptPort -uid 948,0 +uid 1185,0 ps "OnEdgeStrategy" shape (Triangle -uid 949,0 +uid 1186,0 ro 90 va (VaSet vasetType 1 @@ -701,11 +701,11 @@ fg "0,65535,0" xt "14250,13625,15000,14375" ) tg (CPTG -uid 950,0 +uid 1187,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 951,0 +uid 1188,0 va (VaSet font "Verdana,12,0" ) @@ -716,29 +716,26 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 952,0 +uid 1189,0 va (VaSet font "Courier New,8,0" ) -xt "44000,3200,66500,4000" -st "clk : IN std_ulogic ; -" ) thePort (LogicalPort lang 11 decl (Decl n "clk" -t "std_ulogic" +t "unsigned" o 2 -suid 75,0 +suid 99,0 ) ) ) *69 (CptPort -uid 953,0 +uid 1190,0 ps "OnEdgeStrategy" shape (Triangle -uid 954,0 +uid 1191,0 ro 90 va (VaSet vasetType 1 @@ -747,11 +744,11 @@ fg "0,65535,0" xt "23000,14625,23750,15375" ) tg (CPTG -uid 955,0 +uid 1192,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 956,0 +uid 1193,0 va (VaSet font "Verdana,12,0" ) @@ -763,13 +760,10 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 957,0 +uid 1194,0 va (VaSet font "Courier New,8,0" ) -xt "44000,8000,65000,8800" -st "end_acceleration : OUT std_ulogic -" ) thePort (LogicalPort lang 11 @@ -778,15 +772,15 @@ decl (Decl n "end_acceleration" t "std_ulogic" o 7 -suid 76,0 +suid 100,0 ) ) ) *70 (CptPort -uid 958,0 +uid 1195,0 ps "OnEdgeStrategy" shape (Triangle -uid 959,0 +uid 1196,0 ro 90 va (VaSet vasetType 1 @@ -795,11 +789,11 @@ fg "0,65535,0" xt "14250,10625,15000,11375" ) tg (CPTG -uid 960,0 +uid 1197,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 961,0 +uid 1198,0 va (VaSet font "Verdana,12,0" ) @@ -810,13 +804,10 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 962,0 +uid 1199,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4000,72000,4800" -st "info_acceleration : IN unsigned (15 DOWNTO 0) ; -" ) thePort (LogicalPort lang 11 @@ -825,15 +816,15 @@ n "info_acceleration" t "unsigned" b "(15 DOWNTO 0)" o 3 -suid 77,0 +suid 101,0 ) ) ) *71 (CptPort -uid 963,0 +uid 1200,0 ps "OnEdgeStrategy" shape (Triangle -uid 964,0 +uid 1201,0 ro 90 va (VaSet vasetType 1 @@ -842,11 +833,11 @@ fg "0,65535,0" xt "14250,9625,15000,10375" ) tg (CPTG -uid 965,0 +uid 1202,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 966,0 +uid 1203,0 va (VaSet font "Verdana,12,0" ) @@ -857,13 +848,10 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 967,0 +uid 1204,0 va (VaSet font "Courier New,8,0" ) -xt "44000,2400,72000,3200" -st "Position : IN unsigned (15 DOWNTO 0) ; -" ) thePort (LogicalPort lang 11 @@ -872,15 +860,15 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 78,0 +suid 102,0 ) ) ) *72 (CptPort -uid 968,0 +uid 1205,0 ps "OnEdgeStrategy" shape (Triangle -uid 969,0 +uid 1206,0 ro 90 va (VaSet vasetType 1 @@ -889,11 +877,11 @@ fg "0,65535,0" xt "14250,14625,15000,15375" ) tg (CPTG -uid 970,0 +uid 1207,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 971,0 +uid 1208,0 va (VaSet font "Verdana,12,0" ) @@ -904,29 +892,26 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 972,0 +uid 1209,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4800,66500,5600" -st "rst : IN std_ulogic ; -" ) thePort (LogicalPort lang 11 decl (Decl n "rst" -t "std_ulogic" +t "unsigned" o 4 -suid 79,0 +suid 103,0 ) ) ) *73 (CptPort -uid 973,0 +uid 1210,0 ps "OnEdgeStrategy" shape (Triangle -uid 974,0 +uid 1211,0 ro 90 va (VaSet vasetType 1 @@ -935,11 +920,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 975,0 +uid 1212,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 976,0 +uid 1213,0 va (VaSet font "Verdana,12,0" ) @@ -950,13 +935,10 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 977,0 +uid 1214,0 va (VaSet font "Courier New,8,0" ) -xt "44000,5600,66500,6400" -st "sideL_acceleration : IN std_ulogic ; -" ) thePort (LogicalPort lang 11 @@ -964,15 +946,15 @@ decl (Decl n "sideL_acceleration" t "std_ulogic" o 9 -suid 80,0 +suid 104,0 ) ) ) *74 (CptPort -uid 978,0 +uid 1215,0 ps "OnEdgeStrategy" shape (Triangle -uid 979,0 +uid 1216,0 ro 90 va (VaSet vasetType 1 @@ -981,11 +963,11 @@ fg "0,65535,0" xt "14250,12625,15000,13375" ) tg (CPTG -uid 980,0 +uid 1217,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 981,0 +uid 1218,0 va (VaSet font "Verdana,12,0" ) @@ -996,13 +978,10 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 982,0 +uid 1219,0 va (VaSet font "Courier New,8,0" ) -xt "44000,6400,66500,7200" -st "skip_acceleration : IN std_ulogic ; -" ) thePort (LogicalPort lang 11 @@ -1010,15 +989,15 @@ decl (Decl n "skip_acceleration" t "std_ulogic" o 5 -suid 81,0 +suid 105,0 ) ) ) *75 (CptPort -uid 983,0 +uid 1220,0 ps "OnEdgeStrategy" shape (Triangle -uid 984,0 +uid 1221,0 ro 90 va (VaSet vasetType 1 @@ -1027,11 +1006,11 @@ fg "0,65535,0" xt "14250,11625,15000,12375" ) tg (CPTG -uid 985,0 +uid 1222,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 986,0 +uid 1223,0 va (VaSet font "Verdana,12,0" ) @@ -1042,13 +1021,10 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 987,0 +uid 1224,0 va (VaSet font "Courier New,8,0" ) -xt "44000,7200,66500,8000" -st "unlock : IN std_ulogic ; -" ) thePort (LogicalPort lang 11 @@ -1056,7 +1032,7 @@ decl (Decl n "unlock" t "std_ulogic" o 6 -suid 82,0 +suid 106,0 ) ) ) @@ -1767,6 +1743,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 1003,0 +lastUid 1240,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/move/interface b/Cursor/hds/move/interface index b6f0b03..7b5a42c 100644 --- a/Cursor/hds/move/interface +++ b/Cursor/hds/move/interface @@ -26,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 199,0 +suid 255,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -70,12 +70,12 @@ port (LogicalPort lang 11 decl (Decl n "clk" -t "std_ulogic" +t "unsigned" o 3 -suid 186,0 +suid 242,0 ) ) -uid 1887,0 +uid 2371,0 ) *15 (LogPort port (LogicalPort @@ -84,10 +84,10 @@ decl (Decl n "end_acceleration" t "std_ulogic" o 13 -suid 187,0 +suid 243,0 ) ) -uid 1889,0 +uid 2373,0 ) *16 (LogPort port (LogicalPort @@ -96,10 +96,10 @@ decl (Decl n "end_cruse" t "std_ulogic" o 14 -suid 188,0 +suid 244,0 ) ) -uid 1891,0 +uid 2375,0 ) *17 (LogPort port (LogicalPort @@ -108,10 +108,10 @@ decl (Decl n "end_deceleration" t "std_ulogic" o 15 -suid 189,0 +suid 245,0 ) ) -uid 1893,0 +uid 2377,0 ) *18 (LogPort port (LogicalPort @@ -122,10 +122,10 @@ n "Power" t "unsigned" b "(7 DOWNTO 0)" o 8 -suid 190,0 +suid 246,0 ) ) -uid 1895,0 +uid 2379,0 ) *19 (LogPort port (LogicalPort @@ -135,48 +135,48 @@ n "power_acceleration" t "unsigned" b "(7 DOWNTO 0)" o 22 -suid 191,0 +suid 247,0 ) ) -uid 1897,0 +uid 2381,0 ) *20 (LogPort port (LogicalPort lang 11 decl (Decl n "power_cruse" -t "unsigned" +t "std_ulogic_vector" b "(7 DOWNTO 0)" o 23 -suid 192,0 +suid 248,0 ) ) -uid 1899,0 +uid 2383,0 ) *21 (LogPort port (LogicalPort lang 11 decl (Decl n "power_deceleration" -t "unsigned" +t "std_ulogic_vector" b "(7 DOWNTO 0)" o 24 -suid 193,0 +suid 249,0 ) ) -uid 1901,0 +uid 2385,0 ) *22 (LogPort port (LogicalPort lang 11 decl (Decl n "rst" -t "std_ulogic" +t "unsigned" o 4 -suid 194,0 +suid 250,0 ) ) -uid 1903,0 +uid 2387,0 ) *23 (LogPort port (LogicalPort @@ -186,10 +186,10 @@ decl (Decl n "SideL" t "std_ulogic" o 10 -suid 195,0 +suid 251,0 ) ) -uid 1905,0 +uid 2389,0 ) *24 (LogPort port (LogicalPort @@ -198,10 +198,10 @@ decl (Decl n "sideL_acceleration" t "std_ulogic" o 26 -suid 196,0 +suid 252,0 ) ) -uid 1907,0 +uid 2391,0 ) *25 (LogPort port (LogicalPort @@ -210,10 +210,10 @@ decl (Decl n "sideL_cruse" t "std_ulogic" o 27 -suid 197,0 +suid 253,0 ) ) -uid 1909,0 +uid 2393,0 ) *26 (LogPort port (LogicalPort @@ -222,10 +222,10 @@ decl (Decl n "sideL_deceleration" t "std_ulogic" o 28 -suid 198,0 +suid 254,0 ) ) -uid 1911,0 +uid 2395,0 ) *27 (LogPort port (LogicalPort @@ -235,10 +235,10 @@ decl (Decl n "unlock" t "std_ulogic" o 12 -suid 199,0 +suid 255,0 ) ) -uid 1913,0 +uid 2397,0 ) ] ) @@ -294,85 +294,85 @@ uid 162,0 litem &14 pos 0 dimension 20 -uid 1888,0 +uid 2372,0 ) *34 (MRCItem litem &15 pos 1 dimension 20 -uid 1890,0 +uid 2374,0 ) *35 (MRCItem litem &16 pos 2 dimension 20 -uid 1892,0 +uid 2376,0 ) *36 (MRCItem litem &17 pos 3 dimension 20 -uid 1894,0 +uid 2378,0 ) *37 (MRCItem litem &18 pos 4 dimension 20 -uid 1896,0 +uid 2380,0 ) *38 (MRCItem litem &19 pos 5 dimension 20 -uid 1898,0 +uid 2382,0 ) *39 (MRCItem litem &20 pos 6 dimension 20 -uid 1900,0 +uid 2384,0 ) *40 (MRCItem litem &21 pos 7 dimension 20 -uid 1902,0 +uid 2386,0 ) *41 (MRCItem litem &22 pos 8 dimension 20 -uid 1904,0 +uid 2388,0 ) *42 (MRCItem litem &23 pos 9 dimension 20 -uid 1906,0 +uid 2390,0 ) *43 (MRCItem litem &24 pos 10 dimension 20 -uid 1908,0 +uid 2392,0 ) *44 (MRCItem litem &25 pos 11 dimension 20 -uid 1910,0 +uid 2394,0 ) *45 (MRCItem litem &26 pos 12 dimension 20 -uid 1912,0 +uid 2396,0 ) *46 (MRCItem litem &27 pos 13 dimension 20 -uid 1914,0 +uid 2398,0 ) ] ) @@ -603,23 +603,23 @@ VExpander (VariableExpander vvMap [ (vvPair variable "HDLDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hdl" ) (vvPair variable "HDSDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\move\\interface.info" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\move\\interface.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\move\\interface.user" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\move\\interface.user" ) (vvPair variable "SourceDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "appl" @@ -639,11 +639,11 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\move" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\move" ) (vvPair variable "d_logical" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\move" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\move" ) (vvPair variable "date" @@ -683,7 +683,7 @@ value "interface" ) (vvPair variable "graphical_source_author" -value "Simon" +value "remi" ) (vvPair variable "graphical_source_date" @@ -695,11 +695,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "PC-SDM" +value "MARVIN" ) (vvPair variable "graphical_source_time" -value "14:07:25" +value "15:58:05" ) (vvPair variable "group" @@ -707,7 +707,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "PC-SDM" +value "MARVIN" ) (vvPair variable "language" @@ -739,11 +739,11 @@ value "d ) (vvPair variable "p" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\move\\interface" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\move\\interface" ) (vvPair variable "p_logical" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\move\\interface" +value "C:\\Users\\remi\\OneDrive\\Documents\\Cours\\05-HEVS\\S1fb\\electricity\\1-EIN\\project\\cursor\\HDLdesigner\\Cursor\\Prefs\\..\\Cursor\\hds\\move\\interface" ) (vvPair variable "package_name" @@ -771,7 +771,7 @@ value "interface" ) (vvPair variable "time" -value "14:07:25" +value "15:58:05" ) (vvPair variable "unit" @@ -779,7 +779,7 @@ value "move" ) (vvPair variable "user" -value "Simon" +value "remi" ) (vvPair variable "version" @@ -806,10 +806,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *80 (CptPort -uid 1817,0 +uid 2301,0 ps "OnEdgeStrategy" shape (Triangle -uid 1818,0 +uid 2302,0 ro 90 va (VaSet vasetType 1 @@ -818,11 +818,11 @@ fg "0,65535,0" xt "14250,6625,15000,7375" ) tg (CPTG -uid 1819,0 +uid 2303,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1820,0 +uid 2304,0 va (VaSet font "Verdana,12,0" ) @@ -833,29 +833,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1821,0 +uid 2305,0 va (VaSet font "Courier New,8,0" ) -xt "44000,2400,66500,3200" -st "clk : IN std_ulogic ; +xt "44000,2400,65000,3200" +st "clk : IN unsigned ; " ) thePort (LogicalPort lang 11 decl (Decl n "clk" -t "std_ulogic" +t "unsigned" o 3 -suid 186,0 +suid 242,0 ) ) ) *81 (CptPort -uid 1822,0 +uid 2306,0 ps "OnEdgeStrategy" shape (Triangle -uid 1823,0 +uid 2307,0 ro 180 va (VaSet vasetType 1 @@ -864,11 +864,11 @@ fg "0,65535,0" xt "35625,5250,36375,6000" ) tg (CPTG -uid 1824,0 +uid 2308,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1825,0 +uid 2309,0 ro 270 va (VaSet font "Verdana,12,0" @@ -881,7 +881,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1826,0 +uid 2310,0 va (VaSet font "Courier New,8,0" ) @@ -895,15 +895,15 @@ decl (Decl n "end_acceleration" t "std_ulogic" o 13 -suid 187,0 +suid 243,0 ) ) ) *82 (CptPort -uid 1827,0 +uid 2311,0 ps "OnEdgeStrategy" shape (Triangle -uid 1828,0 +uid 2312,0 ro 180 va (VaSet vasetType 1 @@ -912,11 +912,11 @@ fg "0,65535,0" xt "62625,5250,63375,6000" ) tg (CPTG -uid 1829,0 +uid 2313,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1830,0 +uid 2314,0 ro 270 va (VaSet font "Verdana,12,0" @@ -929,7 +929,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1831,0 +uid 2315,0 va (VaSet font "Courier New,8,0" ) @@ -943,15 +943,15 @@ decl (Decl n "end_cruse" t "std_ulogic" o 14 -suid 188,0 +suid 244,0 ) ) ) *83 (CptPort -uid 1832,0 +uid 2316,0 ps "OnEdgeStrategy" shape (Triangle -uid 1833,0 +uid 2317,0 ro 180 va (VaSet vasetType 1 @@ -960,11 +960,11 @@ fg "0,65535,0" xt "89625,5250,90375,6000" ) tg (CPTG -uid 1834,0 +uid 2318,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1835,0 +uid 2319,0 ro 270 va (VaSet font "Verdana,12,0" @@ -977,7 +977,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1836,0 +uid 2320,0 va (VaSet font "Courier New,8,0" ) @@ -991,15 +991,15 @@ decl (Decl n "end_deceleration" t "std_ulogic" o 15 -suid 189,0 +suid 245,0 ) ) ) *84 (CptPort -uid 1837,0 +uid 2321,0 ps "OnEdgeStrategy" shape (Triangle -uid 1838,0 +uid 2322,0 ro 180 va (VaSet vasetType 1 @@ -1008,11 +1008,11 @@ fg "0,65535,0" xt "33625,12000,34375,12750" ) tg (CPTG -uid 1839,0 +uid 2323,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1840,0 +uid 2324,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1024,7 +1024,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1841,0 +uid 2325,0 va (VaSet font "Courier New,8,0" ) @@ -1040,15 +1040,15 @@ n "Power" t "unsigned" b "(7 DOWNTO 0)" o 8 -suid 190,0 +suid 246,0 ) ) ) *85 (CptPort -uid 1842,0 +uid 2326,0 ps "OnEdgeStrategy" shape (Triangle -uid 1843,0 +uid 2327,0 ro 180 va (VaSet vasetType 1 @@ -1057,11 +1057,11 @@ fg "0,65535,0" xt "33625,5250,34375,6000" ) tg (CPTG -uid 1844,0 +uid 2328,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1845,0 +uid 2329,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1074,7 +1074,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1846,0 +uid 2330,0 va (VaSet font "Courier New,8,0" ) @@ -1089,15 +1089,15 @@ n "power_acceleration" t "unsigned" b "(7 DOWNTO 0)" o 22 -suid 191,0 +suid 247,0 ) ) ) *86 (CptPort -uid 1847,0 +uid 2331,0 ps "OnEdgeStrategy" shape (Triangle -uid 1848,0 +uid 2332,0 ro 180 va (VaSet vasetType 1 @@ -1106,11 +1106,11 @@ fg "0,65535,0" xt "60625,5250,61375,6000" ) tg (CPTG -uid 1849,0 +uid 2333,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1850,0 +uid 2334,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1123,30 +1123,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1851,0 +uid 2335,0 va (VaSet font "Courier New,8,0" ) -xt "44000,6400,71500,7200" -st "power_cruse : IN unsigned (7 DOWNTO 0) ; +xt "44000,6400,76000,7200" +st "power_cruse : IN std_ulogic_vector (7 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "power_cruse" -t "unsigned" +t "std_ulogic_vector" b "(7 DOWNTO 0)" o 23 -suid 192,0 +suid 248,0 ) ) ) *87 (CptPort -uid 1852,0 +uid 2336,0 ps "OnEdgeStrategy" shape (Triangle -uid 1853,0 +uid 2337,0 ro 180 va (VaSet vasetType 1 @@ -1155,11 +1155,11 @@ fg "0,65535,0" xt "87625,5250,88375,6000" ) tg (CPTG -uid 1854,0 +uid 2338,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1855,0 +uid 2339,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1172,30 +1172,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1856,0 +uid 2340,0 va (VaSet font "Courier New,8,0" ) -xt "44000,7200,71500,8000" -st "power_deceleration : IN unsigned (7 DOWNTO 0) ; +xt "44000,7200,76000,8000" +st "power_deceleration : IN std_ulogic_vector (7 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "power_deceleration" -t "unsigned" +t "std_ulogic_vector" b "(7 DOWNTO 0)" o 24 -suid 193,0 +suid 249,0 ) ) ) *88 (CptPort -uid 1857,0 +uid 2341,0 ps "OnEdgeStrategy" shape (Triangle -uid 1858,0 +uid 2342,0 ro 90 va (VaSet vasetType 1 @@ -1204,11 +1204,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 1859,0 +uid 2343,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1860,0 +uid 2344,0 va (VaSet font "Verdana,12,0" ) @@ -1219,29 +1219,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1861,0 +uid 2345,0 va (VaSet font "Courier New,8,0" ) -xt "44000,8000,66500,8800" -st "rst : IN std_ulogic ; +xt "44000,8000,65000,8800" +st "rst : IN unsigned ; " ) thePort (LogicalPort lang 11 decl (Decl n "rst" -t "std_ulogic" +t "unsigned" o 4 -suid 194,0 +suid 250,0 ) ) ) *89 (CptPort -uid 1862,0 +uid 2346,0 ps "OnEdgeStrategy" shape (Triangle -uid 1863,0 +uid 2347,0 ro 180 va (VaSet vasetType 1 @@ -1250,11 +1250,11 @@ fg "0,65535,0" xt "31625,12000,32375,12750" ) tg (CPTG -uid 1864,0 +uid 2348,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1865,0 +uid 2349,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1266,7 +1266,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1866,0 +uid 2350,0 va (VaSet font "Courier New,8,0" ) @@ -1281,15 +1281,15 @@ decl (Decl n "SideL" t "std_ulogic" o 10 -suid 195,0 +suid 251,0 ) ) ) *90 (CptPort -uid 1867,0 +uid 2351,0 ps "OnEdgeStrategy" shape (Triangle -uid 1868,0 +uid 2352,0 ro 180 va (VaSet vasetType 1 @@ -1298,11 +1298,11 @@ fg "0,65535,0" xt "31625,5250,32375,6000" ) tg (CPTG -uid 1869,0 +uid 2353,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1870,0 +uid 2354,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1315,7 +1315,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1871,0 +uid 2355,0 va (VaSet font "Courier New,8,0" ) @@ -1329,15 +1329,15 @@ decl (Decl n "sideL_acceleration" t "std_ulogic" o 26 -suid 196,0 +suid 252,0 ) ) ) *91 (CptPort -uid 1872,0 +uid 2356,0 ps "OnEdgeStrategy" shape (Triangle -uid 1873,0 +uid 2357,0 ro 180 va (VaSet vasetType 1 @@ -1346,11 +1346,11 @@ fg "0,65535,0" xt "58625,5250,59375,6000" ) tg (CPTG -uid 1874,0 +uid 2358,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1875,0 +uid 2359,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1363,7 +1363,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1876,0 +uid 2360,0 va (VaSet font "Courier New,8,0" ) @@ -1377,15 +1377,15 @@ decl (Decl n "sideL_cruse" t "std_ulogic" o 27 -suid 197,0 +suid 253,0 ) ) ) *92 (CptPort -uid 1877,0 +uid 2361,0 ps "OnEdgeStrategy" shape (Triangle -uid 1878,0 +uid 2362,0 ro 180 va (VaSet vasetType 1 @@ -1394,11 +1394,11 @@ fg "0,65535,0" xt "85625,5250,86375,6000" ) tg (CPTG -uid 1879,0 +uid 2363,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1880,0 +uid 2364,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1411,7 +1411,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1881,0 +uid 2365,0 va (VaSet font "Courier New,8,0" ) @@ -1425,15 +1425,15 @@ decl (Decl n "sideL_deceleration" t "std_ulogic" o 28 -suid 198,0 +suid 254,0 ) ) ) *93 (CptPort -uid 1882,0 +uid 2366,0 ps "OnEdgeStrategy" shape (Triangle -uid 1883,0 +uid 2367,0 ro 90 va (VaSet vasetType 1 @@ -1442,11 +1442,11 @@ fg "0,65535,0" xt "93000,9625,93750,10375" ) tg (CPTG -uid 1884,0 +uid 2368,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1885,0 +uid 2369,0 va (VaSet font "Verdana,12,0" ) @@ -1458,7 +1458,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1886,0 +uid 2370,0 va (VaSet font "Courier New,8,0" ) @@ -1473,7 +1473,7 @@ decl (Decl n "unlock" t "std_ulogic" o 12 -suid 199,0 +suid 255,0 ) ) ) @@ -1562,7 +1562,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,48000,46000,49000" +xt "36200,48000,45400,49000" st " by %user on %dd %month %year " @@ -2186,6 +2186,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 1914,0 +lastUid 2398,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/process_acceleration/interface b/Cursor/hds/process_acceleration/interface index 21ed7b5..ad27ee6 100644 --- a/Cursor/hds/process_acceleration/interface +++ b/Cursor/hds/process_acceleration/interface @@ -26,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 147,0 +suid 210,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -70,12 +70,12 @@ port (LogicalPort lang 11 decl (Decl n "clk" -t "std_ulogic" -o 2 -suid 139,0 +t "unsigned" +o 3 +suid 202,0 ) ) -uid 1763,0 +uid 2457,0 ) *15 (LogPort port (LogicalPort @@ -84,11 +84,11 @@ m 1 decl (Decl n "end_acceleration" t "std_ulogic" -o 7 -suid 140,0 +o 13 +suid 203,0 ) ) -uid 1765,0 +uid 2459,0 ) *16 (LogPort port (LogicalPort @@ -97,11 +97,11 @@ decl (Decl n "info_acceleration" t "unsigned" b "(15 DOWNTO 0)" -o 3 -suid 141,0 +o 16 +suid 204,0 ) ) -uid 1767,0 +uid 2461,0 ) *17 (LogPort port (LogicalPort @@ -111,10 +111,10 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 142,0 +suid 205,0 ) ) -uid 1769,0 +uid 2463,0 ) *18 (LogPort port (LogicalPort @@ -124,23 +124,23 @@ decl (Decl n "power_acceleration" t "unsigned" b "(7 DOWNTO 0)" -o 8 -suid 143,0 +o 22 +suid 206,0 ) ) -uid 1771,0 +uid 2465,0 ) *19 (LogPort port (LogicalPort lang 11 decl (Decl n "rst" -t "std_ulogic" +t "unsigned" o 4 -suid 144,0 +suid 207,0 ) ) -uid 1773,0 +uid 2467,0 ) *20 (LogPort port (LogicalPort @@ -149,11 +149,11 @@ m 1 decl (Decl n "sideL_acceleration" t "std_ulogic" -o 9 -suid 145,0 +o 26 +suid 208,0 ) ) -uid 1775,0 +uid 2469,0 ) *21 (LogPort port (LogicalPort @@ -161,11 +161,11 @@ lang 11 decl (Decl n "skip_acceleration" t "std_ulogic" -o 5 -suid 146,0 +o 29 +suid 209,0 ) ) -uid 1777,0 +uid 2471,0 ) *22 (LogPort port (LogicalPort @@ -173,11 +173,11 @@ lang 11 decl (Decl n "unlock" t "std_ulogic" -o 6 -suid 147,0 +o 12 +suid 210,0 ) ) -uid 1779,0 +uid 2473,0 ) ] ) @@ -233,55 +233,55 @@ uid 106,0 litem &14 pos 0 dimension 20 -uid 1764,0 +uid 2458,0 ) *29 (MRCItem litem &15 pos 1 dimension 20 -uid 1766,0 +uid 2460,0 ) *30 (MRCItem litem &16 pos 2 dimension 20 -uid 1768,0 +uid 2462,0 ) *31 (MRCItem litem &17 pos 3 dimension 20 -uid 1770,0 +uid 2464,0 ) *32 (MRCItem litem &18 pos 4 dimension 20 -uid 1772,0 +uid 2466,0 ) *33 (MRCItem litem &19 pos 5 dimension 20 -uid 1774,0 +uid 2468,0 ) *34 (MRCItem litem &20 pos 6 dimension 20 -uid 1776,0 +uid 2470,0 ) *35 (MRCItem litem &21 pos 7 dimension 20 -uid 1778,0 +uid 2472,0 ) *36 (MRCItem litem &22 pos 8 dimension 20 -uid 1780,0 +uid 2474,0 ) ] ) @@ -608,7 +608,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "15:14:17" +value "15:58:05" ) (vvPair variable "group" @@ -680,7 +680,7 @@ value "interface" ) (vvPair variable "time" -value "15:14:17" +value "15:58:05" ) (vvPair variable "unit" @@ -715,10 +715,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *70 (CptPort -uid 1718,0 +uid 2412,0 ps "OnEdgeStrategy" shape (Triangle -uid 1719,0 +uid 2413,0 ro 90 va (VaSet vasetType 1 @@ -727,11 +727,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 1720,0 +uid 2414,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1721,0 +uid 2415,0 va (VaSet font "Verdana,12,0" ) @@ -742,29 +742,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1722,0 +uid 2416,0 va (VaSet font "Courier New,8,0" ) -xt "44000,3200,66500,4000" -st "clk : IN std_ulogic ; +xt "44000,3200,65000,4000" +st "clk : IN unsigned ; " ) thePort (LogicalPort lang 11 decl (Decl n "clk" -t "std_ulogic" -o 2 -suid 139,0 +t "unsigned" +o 3 +suid 202,0 ) ) ) *71 (CptPort -uid 1723,0 +uid 2417,0 ps "OnEdgeStrategy" shape (Triangle -uid 1724,0 +uid 2418,0 ro 180 va (VaSet vasetType 1 @@ -773,11 +773,11 @@ fg "0,65535,0" xt "33625,15000,34375,15750" ) tg (CPTG -uid 1725,0 +uid 2419,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1726,0 +uid 2420,0 ro 270 va (VaSet font "Verdana,12,0" @@ -789,7 +789,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1727,0 +uid 2421,0 va (VaSet font "Courier New,8,0" ) @@ -803,16 +803,16 @@ m 1 decl (Decl n "end_acceleration" t "std_ulogic" -o 7 -suid 140,0 +o 13 +suid 203,0 ) ) ) *72 (CptPort -uid 1728,0 +uid 2422,0 ps "OnEdgeStrategy" shape (Triangle -uid 1729,0 +uid 2423,0 ro 180 va (VaSet vasetType 1 @@ -821,11 +821,11 @@ fg "0,65535,0" xt "20625,5250,21375,6000" ) tg (CPTG -uid 1730,0 +uid 2424,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1731,0 +uid 2425,0 ro 270 va (VaSet font "Verdana,12,0" @@ -838,7 +838,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1732,0 +uid 2426,0 va (VaSet font "Courier New,8,0" ) @@ -852,16 +852,16 @@ decl (Decl n "info_acceleration" t "unsigned" b "(15 DOWNTO 0)" -o 3 -suid 141,0 +o 16 +suid 204,0 ) ) ) *73 (CptPort -uid 1733,0 +uid 2427,0 ps "OnEdgeStrategy" shape (Triangle -uid 1734,0 +uid 2428,0 ro 180 va (VaSet vasetType 1 @@ -870,11 +870,11 @@ fg "0,65535,0" xt "18625,5250,19375,6000" ) tg (CPTG -uid 1735,0 +uid 2429,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1736,0 +uid 2430,0 ro 270 va (VaSet font "Verdana,12,0" @@ -887,7 +887,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1737,0 +uid 2431,0 va (VaSet font "Courier New,8,0" ) @@ -902,15 +902,15 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 142,0 +suid 205,0 ) ) ) *74 (CptPort -uid 1738,0 +uid 2432,0 ps "OnEdgeStrategy" shape (Triangle -uid 1739,0 +uid 2433,0 ro 180 va (VaSet vasetType 1 @@ -919,11 +919,11 @@ fg "0,65535,0" xt "31625,15000,32375,15750" ) tg (CPTG -uid 1740,0 +uid 2434,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1741,0 +uid 2435,0 ro 270 va (VaSet font "Verdana,12,0" @@ -935,7 +935,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1742,0 +uid 2436,0 va (VaSet font "Courier New,8,0" ) @@ -950,16 +950,16 @@ decl (Decl n "power_acceleration" t "unsigned" b "(7 DOWNTO 0)" -o 8 -suid 143,0 +o 22 +suid 206,0 ) ) ) *75 (CptPort -uid 1743,0 +uid 2437,0 ps "OnEdgeStrategy" shape (Triangle -uid 1744,0 +uid 2438,0 ro 90 va (VaSet vasetType 1 @@ -968,11 +968,11 @@ fg "0,65535,0" xt "14250,8625,15000,9375" ) tg (CPTG -uid 1745,0 +uid 2439,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1746,0 +uid 2440,0 va (VaSet font "Verdana,12,0" ) @@ -983,29 +983,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1747,0 +uid 2441,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4800,66500,5600" -st "rst : IN std_ulogic ; +xt "44000,4800,65000,5600" +st "rst : IN unsigned ; " ) thePort (LogicalPort lang 11 decl (Decl n "rst" -t "std_ulogic" +t "unsigned" o 4 -suid 144,0 +suid 207,0 ) ) ) *76 (CptPort -uid 1748,0 +uid 2442,0 ps "OnEdgeStrategy" shape (Triangle -uid 1749,0 +uid 2443,0 ro 180 va (VaSet vasetType 1 @@ -1014,11 +1014,11 @@ fg "0,65535,0" xt "29625,15000,30375,15750" ) tg (CPTG -uid 1750,0 +uid 2444,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1751,0 +uid 2445,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1030,7 +1030,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1752,0 +uid 2446,0 va (VaSet font "Courier New,8,0" ) @@ -1044,16 +1044,16 @@ m 1 decl (Decl n "sideL_acceleration" t "std_ulogic" -o 9 -suid 145,0 +o 26 +suid 208,0 ) ) ) *77 (CptPort -uid 1753,0 +uid 2447,0 ps "OnEdgeStrategy" shape (Triangle -uid 1754,0 +uid 2448,0 ro 180 va (VaSet vasetType 1 @@ -1062,11 +1062,11 @@ fg "0,65535,0" xt "23625,5250,24375,6000" ) tg (CPTG -uid 1755,0 +uid 2449,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1756,0 +uid 2450,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1079,7 +1079,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1757,0 +uid 2451,0 va (VaSet font "Courier New,8,0" ) @@ -1092,16 +1092,16 @@ lang 11 decl (Decl n "skip_acceleration" t "std_ulogic" -o 5 -suid 146,0 +o 29 +suid 209,0 ) ) ) *78 (CptPort -uid 1758,0 +uid 2452,0 ps "OnEdgeStrategy" shape (Triangle -uid 1759,0 +uid 2453,0 ro 270 va (VaSet vasetType 1 @@ -1110,11 +1110,11 @@ fg "0,65535,0" xt "36000,11625,36750,12375" ) tg (CPTG -uid 1760,0 +uid 2454,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1761,0 +uid 2455,0 va (VaSet font "Verdana,12,0" ) @@ -1126,7 +1126,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1762,0 +uid 2456,0 va (VaSet font "Courier New,8,0" ) @@ -1139,8 +1139,8 @@ lang 11 decl (Decl n "unlock" t "std_ulogic" -o 6 -suid 147,0 +o 12 +suid 210,0 ) ) ) @@ -1853,6 +1853,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 1803,0 +lastUid 2474,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/process_acceleration/struct1.bd b/Cursor/hds/process_acceleration/struct1.bd index 85f4cc0..36fd75e 100644 --- a/Cursor/hds/process_acceleration/struct1.bd +++ b/Cursor/hds/process_acceleration/struct1.bd @@ -149,7 +149,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "15:14:17" +value "15:59:07" ) (vvPair variable "group" @@ -221,7 +221,7 @@ value "struct1" ) (vvPair variable "time" -value "15:14:17" +value "15:59:07" ) (vvPair variable "unit" @@ -302,7 +302,7 @@ uid 21,0 lang 11 decl (Decl n "clk" -t "std_ulogic" +t "unsigned" o 2 suid 1,0 ) @@ -522,16 +522,16 @@ optionalChildren [ uid 67,0 sl 0 ro 270 -xt "60500,-5375,62000,-4625" +xt "42500,-2375,44000,-1625" ) (Line uid 68,0 sl 0 ro 270 -xt "60000,-5000,60500,-5000" +xt "42000,-2000,42500,-2000" pts [ -"60000,-5000" -"60500,-5000" +"42000,-2000" +"42500,-2000" ] ) ] @@ -546,9 +546,9 @@ f (Text uid 70,0 va (VaSet ) -xt "63000,-5600,74100,-4400" -st "power_acceleration" -blo "63000,-4600" +xt "45000,-2600,59400,-1400" +st "power_acceleration(7:0)" +blo "45000,-1600" tm "WireNameMgr" ) ) @@ -623,7 +623,7 @@ uid 91,0 lang 11 decl (Decl n "rst" -t "std_ulogic" +t "unsigned" o 4 suid 6,0 ) @@ -1426,7 +1426,51 @@ ftype 3 ) viewiconposition 0 ) -*42 (Wire +*42 (PortIoOut +uid 1783,0 +shape (CompositeShape +uid 1784,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1785,0 +sl 0 +ro 270 +xt "42500,-4375,44000,-3625" +) +(Line +uid 1786,0 +sl 0 +ro 270 +xt "42000,-4000,42500,-4000" +pts [ +"42000,-4000" +"42500,-4000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 1787,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1788,0 +va (VaSet +) +xt "45000,-4600,56100,-3400" +st "power_acceleration" +blo "45000,-3600" +tm "WireNameMgr" +) +) +) +*43 (Wire uid 15,0 shape (OrthoPolyLine uid 16,0 @@ -1462,10 +1506,10 @@ tm "WireNameMgr" ) on &2 ) -*43 (Wire +*44 (Wire uid 29,0 optionalChildren [ -*44 (BdJunction +*45 (BdJunction uid 940,0 ps "OnConnectorStrategy" shape (Circle @@ -1514,10 +1558,10 @@ tm "WireNameMgr" ) on &4 ) -*45 (Wire +*46 (Wire uid 43,0 optionalChildren [ -*46 (BdJunction +*47 (BdJunction uid 475,0 ps "OnConnectorStrategy" shape (Circle @@ -1529,7 +1573,7 @@ xt "2600,20600,3400,21400" radius 400 ) ) -*47 (BdJunction +*48 (BdJunction uid 964,0 ps "OnConnectorStrategy" shape (Circle @@ -1579,10 +1623,10 @@ tm "WireNameMgr" ) on &6 ) -*48 (Wire +*49 (Wire uid 57,0 optionalChildren [ -*49 (BdJunction +*50 (BdJunction uid 467,0 ps "OnConnectorStrategy" shape (Circle @@ -1594,7 +1638,7 @@ xt "3600,19600,4400,20400" radius 400 ) ) -*50 (BdJunction +*51 (BdJunction uid 956,0 ps "OnConnectorStrategy" shape (Circle @@ -1644,21 +1688,39 @@ tm "WireNameMgr" ) on &8 ) -*51 (Wire +*52 (Wire uid 71,0 +optionalChildren [ +*53 (Ripper +uid 1538,0 +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"28000,-3999" +"29000,-2999" +] +uid 1539,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "28000,-3999,29000,-2999" +) +) +] shape (OrthoPolyLine uid 72,0 va (VaSet vasetType 3 lineWidth 2 ) -xt "16000,-5000,60000,-5000" +xt "16000,-4000,42000,-4000" pts [ -"60000,-5000" -"16000,-5000" +"42000,-4000" +"16000,-4000" ] ) -start &9 +start &42 end &38 sat 32 eat 2 @@ -1675,15 +1737,15 @@ uid 76,0 va (VaSet isHidden 1 ) -xt "59000,-6200,74400,-5000" +xt "41000,-5200,56400,-4000" st "power_acceleration : (7:0)" -blo "59000,-5200" +blo "41000,-4200" tm "WireNameMgr" ) ) on &10 ) -*52 (Wire +*54 (Wire uid 85,0 shape (OrthoPolyLine uid 86,0 @@ -1719,10 +1781,10 @@ tm "WireNameMgr" ) on &12 ) -*53 (Wire +*55 (Wire uid 99,0 optionalChildren [ -*54 (BdJunction +*56 (BdJunction uid 459,0 ps "OnConnectorStrategy" shape (Circle @@ -1734,7 +1796,7 @@ xt "16600,20600,17400,21400" radius 400 ) ) -*55 (BdJunction +*57 (BdJunction uid 1002,0 ps "OnConnectorStrategy" shape (Circle @@ -1783,7 +1845,7 @@ tm "WireNameMgr" ) on &14 ) -*56 (Wire +*58 (Wire uid 322,0 shape (OrthoPolyLine uid 323,0 @@ -1821,7 +1883,7 @@ tm "WireNameMgr" ) on &27 ) -*57 (Wire +*59 (Wire uid 427,0 shape (OrthoPolyLine uid 428,0 @@ -1856,7 +1918,7 @@ tm "WireNameMgr" ) on &2 ) -*58 (Wire +*60 (Wire uid 435,0 shape (OrthoPolyLine uid 436,0 @@ -1891,7 +1953,7 @@ tm "WireNameMgr" ) on &12 ) -*59 (Wire +*61 (Wire uid 453,0 shape (OrthoPolyLine uid 454,0 @@ -1905,7 +1967,7 @@ pts [ "21000,27000" ] ) -start &54 +start &56 end &32 sat 32 eat 1 @@ -1929,7 +1991,7 @@ tm "WireNameMgr" ) on &14 ) -*60 (Wire +*62 (Wire uid 461,0 shape (OrthoPolyLine uid 462,0 @@ -1944,7 +2006,7 @@ pts [ "21000,29000" ] ) -start &49 +start &50 end &32 sat 32 eat 1 @@ -1969,7 +2031,7 @@ tm "WireNameMgr" ) on &8 ) -*61 (Wire +*63 (Wire uid 469,0 shape (OrthoPolyLine uid 470,0 @@ -1984,7 +2046,7 @@ pts [ "21000,30000" ] ) -start &46 +start &47 end &32 sat 32 eat 1 @@ -2009,7 +2071,7 @@ tm "WireNameMgr" ) on &6 ) -*62 (Wire +*64 (Wire uid 477,0 shape (OrthoPolyLine uid 478,0 @@ -2044,7 +2106,7 @@ tm "WireNameMgr" ) on &2 ) -*63 (Wire +*65 (Wire uid 485,0 shape (OrthoPolyLine uid 486,0 @@ -2079,7 +2141,7 @@ tm "WireNameMgr" ) on &12 ) -*64 (Wire +*66 (Wire uid 560,0 shape (OrthoPolyLine uid 561,0 @@ -2117,7 +2179,7 @@ tm "WireNameMgr" ) on &37 ) -*65 (Wire +*67 (Wire uid 856,0 shape (OrthoPolyLine uid 857,0 @@ -2152,7 +2214,7 @@ tm "WireNameMgr" ) on &2 ) -*66 (Wire +*68 (Wire uid 864,0 shape (OrthoPolyLine uid 865,0 @@ -2187,7 +2249,7 @@ tm "WireNameMgr" ) on &12 ) -*67 (Wire +*69 (Wire uid 934,0 shape (OrthoPolyLine uid 935,0 @@ -2202,7 +2264,7 @@ pts [ "13000,4000" ] ) -start &44 +start &45 end &38 sat 32 eat 1 @@ -2227,7 +2289,7 @@ tm "WireNameMgr" ) on &4 ) -*68 (Wire +*70 (Wire uid 950,0 shape (OrthoPolyLine uid 951,0 @@ -2242,7 +2304,7 @@ pts [ "8000,-5000" ] ) -start &50 +start &51 end &38 sat 32 eat 1 @@ -2267,7 +2329,7 @@ tm "WireNameMgr" ) on &8 ) -*69 (Wire +*71 (Wire uid 958,0 shape (OrthoPolyLine uid 959,0 @@ -2282,7 +2344,7 @@ pts [ "8000,-4000" ] ) -start &47 +start &48 end &38 sat 32 eat 1 @@ -2307,7 +2369,7 @@ tm "WireNameMgr" ) on &6 ) -*70 (Wire +*72 (Wire uid 996,0 shape (OrthoPolyLine uid 997,0 @@ -2322,7 +2384,7 @@ pts [ "11000,4000" ] ) -start &55 +start &57 end &38 sat 32 eat 1 @@ -2347,6 +2409,48 @@ tm "WireNameMgr" ) on &14 ) +*73 (Wire +uid 1534,0 +shape (OrthoPolyLine +uid 1535,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "29000,-2999,42000,-2000" +pts [ +"29000,-2999" +"29000,-2000" +"42000,-2000" +] +) +start &53 +end &9 +sat 32 +eat 32 +sty 1 +sl "(7 DOWNTO 0)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1536,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1537,0 +va (VaSet +isHidden 1 +) +xt "27000,-3200,41400,-2000" +st "power_acceleration(7:0)" +blo "27000,-2200" +tm "WireNameMgr" +) +) +on &10 +) ] bg "65535,65535,65535" grid (Grid @@ -2359,11 +2463,11 @@ xShown 1 yShown 1 color "26368,26368,26368" ) -packageList *71 (PackageList +packageList *74 (PackageList uid 153,0 stg "VerticalLayoutStrategy" textVec [ -*72 (Text +*75 (Text uid 154,0 va (VaSet isHidden 1 @@ -2373,7 +2477,7 @@ xt "0,0,7600,1200" st "Package List" blo "0,1000" ) -*73 (MLText +*76 (MLText uid 155,0 va (VaSet isHidden 1 @@ -2390,7 +2494,7 @@ compDirBlock (MlTextGroup uid 156,0 stg "VerticalLayoutStrategy" textVec [ -*74 (Text +*77 (Text uid 157,0 va (VaSet isHidden 1 @@ -2400,7 +2504,7 @@ xt "20000,0,30800,1200" st "Compiler Directives" blo "20000,1000" ) -*75 (Text +*78 (Text uid 158,0 va (VaSet isHidden 1 @@ -2410,7 +2514,7 @@ xt "20000,1200,33100,2400" st "Pre-module directives:" blo "20000,2200" ) -*76 (MLText +*79 (MLText uid 159,0 va (VaSet isHidden 1 @@ -2420,7 +2524,7 @@ st "`resetall `timescale 1ns/10ps" tm "BdCompilerDirectivesTextMgr" ) -*77 (Text +*80 (Text uid 160,0 va (VaSet isHidden 1 @@ -2430,7 +2534,7 @@ xt "20000,4800,33700,6000" st "Post-module directives:" blo "20000,5800" ) -*78 (MLText +*81 (MLText uid 161,0 va (VaSet isHidden 1 @@ -2438,7 +2542,7 @@ isHidden 1 xt "20000,0,20000,0" tm "BdCompilerDirectivesTextMgr" ) -*79 (Text +*82 (Text uid 162,0 va (VaSet isHidden 1 @@ -2448,7 +2552,7 @@ xt "20000,6000,33200,7200" st "End-module directives:" blo "20000,7000" ) -*80 (MLText +*83 (MLText uid 163,0 va (VaSet isHidden 1 @@ -2460,11 +2564,11 @@ tm "BdCompilerDirectivesTextMgr" associable 1 ) windowSize "0,0,1537,960" -viewArea "-30000,-15600,79956,54288" -cachedDiagramExtent "-15300,-6200,74400,49000" +viewArea "-30000,-15600,79956,51936" +cachedDiagramExtent "-15300,-6200,59400,49000" hasePageBreakOrigin 1 pageBreakOrigin "-87000,-49000" -lastUid 1398,0 +lastUid 1867,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -2554,7 +2658,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*81 (Text +*84 (Text va (VaSet font "Verdana,9,1" ) @@ -2563,7 +2667,7 @@ st "" blo "1300,4200" tm "BdLibraryNameMgr" ) -*82 (Text +*85 (Text va (VaSet font "Verdana,9,1" ) @@ -2572,7 +2676,7 @@ st "" blo "1300,5400" tm "BlkNameMgr" ) -*83 (Text +*86 (Text va (VaSet font "Verdana,9,1" ) @@ -2623,7 +2727,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*84 (Text +*87 (Text va (VaSet font "Verdana,9,1" ) @@ -2631,7 +2735,7 @@ xt "-350,3200,3750,4400" st "Library" blo "-350,4200" ) -*85 (Text +*88 (Text va (VaSet font "Verdana,9,1" ) @@ -2639,7 +2743,7 @@ xt "-350,4400,8350,5600" st "MWComponent" blo "-350,5400" ) -*86 (Text +*89 (Text va (VaSet font "Verdana,9,1" ) @@ -2688,7 +2792,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*87 (Text +*90 (Text va (VaSet font "Verdana,9,1" ) @@ -2697,7 +2801,7 @@ st "Library" blo "0,4200" tm "BdLibraryNameMgr" ) -*88 (Text +*91 (Text va (VaSet font "Verdana,9,1" ) @@ -2706,7 +2810,7 @@ st "SaComponent" blo "0,5400" tm "CptNameMgr" ) -*89 (Text +*92 (Text va (VaSet font "Verdana,9,1" ) @@ -2760,7 +2864,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*90 (Text +*93 (Text va (VaSet font "Verdana,9,1" ) @@ -2768,7 +2872,7 @@ xt "-500,3200,3600,4400" st "Library" blo "-500,4200" ) -*91 (Text +*94 (Text va (VaSet font "Verdana,9,1" ) @@ -2776,7 +2880,7 @@ xt "-500,4400,8500,5600" st "VhdlComponent" blo "-500,5400" ) -*92 (Text +*95 (Text va (VaSet font "Verdana,9,1" ) @@ -2821,7 +2925,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*93 (Text +*96 (Text va (VaSet font "Verdana,9,1" ) @@ -2829,7 +2933,7 @@ xt "-1150,3200,2950,4400" st "Library" blo "-1150,4200" ) -*94 (Text +*97 (Text va (VaSet font "Verdana,9,1" ) @@ -2837,7 +2941,7 @@ xt "-1150,4400,9150,5600" st "VerilogComponent" blo "-1150,5400" ) -*95 (Text +*98 (Text va (VaSet font "Verdana,9,1" ) @@ -2878,7 +2982,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*96 (Text +*99 (Text va (VaSet font "Verdana,9,1" ) @@ -2887,7 +2991,7 @@ st "eb1" blo "2800,4800" tm "HdlTextNameMgr" ) -*97 (Text +*100 (Text va (VaSet font "Verdana,9,1" ) @@ -3290,7 +3394,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*98 (Text +*101 (Text va (VaSet font "Verdana,9,1" ) @@ -3298,7 +3402,7 @@ xt "11200,20000,22000,21200" st "Frame Declarations" blo "11200,21000" ) -*99 (MLText +*102 (MLText va (VaSet ) xt "11200,21200,11200,21200" @@ -3350,7 +3454,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*100 (Text +*103 (Text va (VaSet font "Verdana,9,1" ) @@ -3358,7 +3462,7 @@ xt "11200,20000,22000,21200" st "Frame Declarations" blo "11200,21000" ) -*101 (MLText +*104 (MLText va (VaSet ) xt "11200,21200,11200,21200" @@ -3507,46 +3611,46 @@ tm "BdDeclarativeTextMgr" ) commonDM (CommonDM ldm (LogicalDM -suid 19,0 +suid 24,0 usingSuid 1 -emptyRow *102 (LEmptyRow +emptyRow *105 (LEmptyRow ) uid 166,0 optionalChildren [ -*103 (RefLabelRowHdr +*106 (RefLabelRowHdr ) -*104 (TitleRowHdr +*107 (TitleRowHdr ) -*105 (FilterRowHdr +*108 (FilterRowHdr ) -*106 (RefLabelColHdr +*109 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*107 (RowExpandColHdr +*110 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*108 (GroupColHdr +*111 (GroupColHdr tm "GroupColHdrMgr" ) -*109 (NameColHdr +*112 (NameColHdr tm "BlockDiagramNameColHdrMgr" ) -*110 (ModeColHdr +*113 (ModeColHdr tm "BlockDiagramModeColHdrMgr" ) -*111 (TypeColHdr +*114 (TypeColHdr tm "BlockDiagramTypeColHdrMgr" ) -*112 (BoundsColHdr +*115 (BoundsColHdr tm "BlockDiagramBoundsColHdrMgr" ) -*113 (InitColHdr +*116 (InitColHdr tm "BlockDiagramInitColHdrMgr" ) -*114 (EolColHdr +*117 (EolColHdr tm "BlockDiagramEolColHdrMgr" ) -*115 (LeafLogPort +*118 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -3559,7 +3663,7 @@ suid 4,0 ) uid 107,0 ) -*116 (LeafLogPort +*119 (LeafLogPort port (LogicalPort lang 11 m 1 @@ -3573,7 +3677,7 @@ suid 5,0 ) uid 109,0 ) -*117 (LeafLogPort +*120 (LeafLogPort port (LogicalPort lang 11 m 1 @@ -3586,7 +3690,7 @@ suid 7,0 ) uid 111,0 ) -*118 (LeafLogPort +*121 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -3599,7 +3703,7 @@ suid 3,0 ) uid 113,0 ) -*119 (LeafLogPort +*122 (LeafLogPort port (LogicalPort lang 11 m 1 @@ -3612,31 +3716,31 @@ suid 2,0 ) uid 115,0 ) -*120 (LeafLogPort +*123 (LeafLogPort port (LogicalPort lang 11 decl (Decl n "clk" -t "std_ulogic" +t "unsigned" o 2 suid 1,0 ) ) uid 117,0 ) -*121 (LeafLogPort +*124 (LeafLogPort port (LogicalPort lang 11 decl (Decl n "rst" -t "std_ulogic" +t "unsigned" o 4 suid 6,0 ) ) uid 119,0 ) -*122 (LeafLogPort +*125 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -3648,7 +3752,7 @@ suid 8,0 ) uid 330,0 ) -*123 (LeafLogPort +*126 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -3667,7 +3771,7 @@ displayShortBounds 1 editShortBounds 1 uid 179,0 optionalChildren [ -*124 (Sheet +*127 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -3684,82 +3788,82 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *125 (MRCItem -litem &102 +emptyMRCItem *128 (MRCItem +litem &105 pos 9 dimension 20 ) uid 181,0 optionalChildren [ -*126 (MRCItem -litem &103 +*129 (MRCItem +litem &106 pos 0 dimension 20 uid 182,0 ) -*127 (MRCItem -litem &104 +*130 (MRCItem +litem &107 pos 1 dimension 23 uid 183,0 ) -*128 (MRCItem -litem &105 +*131 (MRCItem +litem &108 pos 2 hidden 1 dimension 20 uid 184,0 ) -*129 (MRCItem -litem &115 +*132 (MRCItem +litem &118 pos 3 dimension 20 uid 108,0 ) -*130 (MRCItem -litem &116 +*133 (MRCItem +litem &119 pos 4 dimension 20 uid 110,0 ) -*131 (MRCItem -litem &117 +*134 (MRCItem +litem &120 pos 6 dimension 20 uid 112,0 ) -*132 (MRCItem -litem &118 +*135 (MRCItem +litem &121 pos 2 dimension 20 uid 114,0 ) -*133 (MRCItem -litem &119 +*136 (MRCItem +litem &122 pos 1 dimension 20 uid 116,0 ) -*134 (MRCItem -litem &120 +*137 (MRCItem +litem &123 pos 0 dimension 20 uid 118,0 ) -*135 (MRCItem -litem &121 +*138 (MRCItem +litem &124 pos 5 dimension 20 uid 120,0 ) -*136 (MRCItem -litem &122 +*139 (MRCItem +litem &125 pos 7 dimension 20 uid 331,0 ) -*137 (MRCItem -litem &123 +*140 (MRCItem +litem &126 pos 8 dimension 20 uid 569,0 @@ -3775,50 +3879,50 @@ textAngle 90 ) uid 185,0 optionalChildren [ -*138 (MRCItem -litem &106 +*141 (MRCItem +litem &109 pos 0 dimension 20 uid 186,0 ) -*139 (MRCItem -litem &108 +*142 (MRCItem +litem &111 pos 1 dimension 50 uid 187,0 ) -*140 (MRCItem -litem &109 +*143 (MRCItem +litem &112 pos 2 dimension 100 uid 188,0 ) -*141 (MRCItem -litem &110 +*144 (MRCItem +litem &113 pos 3 dimension 50 uid 189,0 ) -*142 (MRCItem -litem &111 +*145 (MRCItem +litem &114 pos 4 dimension 100 uid 190,0 ) -*143 (MRCItem -litem &112 +*146 (MRCItem +litem &115 pos 5 dimension 100 uid 191,0 ) -*144 (MRCItem -litem &113 +*147 (MRCItem +litem &116 pos 6 dimension 50 uid 192,0 ) -*145 (MRCItem -litem &114 +*148 (MRCItem +litem &117 pos 7 dimension 80 uid 193,0 @@ -3838,38 +3942,38 @@ uid 165,0 ) genericsCommonDM (CommonDM ldm (LogicalDM -emptyRow *146 (LEmptyRow +emptyRow *149 (LEmptyRow ) uid 195,0 optionalChildren [ -*147 (RefLabelRowHdr +*150 (RefLabelRowHdr ) -*148 (TitleRowHdr +*151 (TitleRowHdr ) -*149 (FilterRowHdr +*152 (FilterRowHdr ) -*150 (RefLabelColHdr +*153 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*151 (RowExpandColHdr +*154 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*152 (GroupColHdr +*155 (GroupColHdr tm "GroupColHdrMgr" ) -*153 (NameColHdr +*156 (NameColHdr tm "GenericNameColHdrMgr" ) -*154 (TypeColHdr +*157 (TypeColHdr tm "GenericTypeColHdrMgr" ) -*155 (InitColHdr +*158 (InitColHdr tm "GenericValueColHdrMgr" ) -*156 (PragmaColHdr +*159 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) -*157 (EolColHdr +*160 (EolColHdr tm "GenericEolColHdrMgr" ) ] @@ -3879,7 +3983,7 @@ displayShortBounds 1 editShortBounds 1 uid 207,0 optionalChildren [ -*158 (Sheet +*161 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -3896,27 +4000,27 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *159 (MRCItem -litem &146 +emptyMRCItem *162 (MRCItem +litem &149 pos 0 dimension 20 ) uid 209,0 optionalChildren [ -*160 (MRCItem -litem &147 +*163 (MRCItem +litem &150 pos 0 dimension 20 uid 210,0 ) -*161 (MRCItem -litem &148 +*164 (MRCItem +litem &151 pos 1 dimension 23 uid 211,0 ) -*162 (MRCItem -litem &149 +*165 (MRCItem +litem &152 pos 2 hidden 1 dimension 20 @@ -3933,44 +4037,44 @@ textAngle 90 ) uid 213,0 optionalChildren [ -*163 (MRCItem -litem &150 +*166 (MRCItem +litem &153 pos 0 dimension 20 uid 214,0 ) -*164 (MRCItem -litem &152 +*167 (MRCItem +litem &155 pos 1 dimension 50 uid 215,0 ) -*165 (MRCItem -litem &153 +*168 (MRCItem +litem &156 pos 2 dimension 100 uid 216,0 ) -*166 (MRCItem -litem &154 +*169 (MRCItem +litem &157 pos 3 dimension 100 uid 217,0 ) -*167 (MRCItem -litem &155 +*170 (MRCItem +litem &158 pos 4 dimension 50 uid 218,0 ) -*168 (MRCItem -litem &156 +*171 (MRCItem +litem &159 pos 5 dimension 50 uid 219,0 ) -*169 (MRCItem -litem &157 +*172 (MRCItem +litem &160 pos 6 dimension 80 uid 220,0 diff --git a/Cursor/hds/process_cruse/interface b/Cursor/hds/process_cruse/interface index 0aa31ca..ef4b979 100644 --- a/Cursor/hds/process_cruse/interface +++ b/Cursor/hds/process_cruse/interface @@ -26,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 117,0 +suid 126,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -70,12 +70,12 @@ port (LogicalPort lang 11 decl (Decl n "clk" -t "std_ulogic" +t "unsigned" o 3 -suid 109,0 +suid 118,0 ) ) -uid 1392,0 +uid 1478,0 ) *15 (LogPort port (LogicalPort @@ -85,10 +85,10 @@ decl (Decl n "end_cruse" t "std_ulogic" o 14 -suid 110,0 +suid 119,0 ) ) -uid 1394,0 +uid 1480,0 ) *16 (LogPort port (LogicalPort @@ -98,10 +98,10 @@ n "info_cruse" t "unsigned" b "(15 DOWNTO 0)" o 17 -suid 111,0 +suid 120,0 ) ) -uid 1396,0 +uid 1482,0 ) *17 (LogPort port (LogicalPort @@ -111,10 +111,10 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 112,0 +suid 121,0 ) ) -uid 1398,0 +uid 1484,0 ) *18 (LogPort port (LogicalPort @@ -122,25 +122,25 @@ lang 11 m 1 decl (Decl n "power_cruse" -t "unsigned" +t "std_ulogic_vector" b "(7 DOWNTO 0)" o 23 -suid 113,0 +suid 122,0 ) ) -uid 1400,0 +uid 1486,0 ) *19 (LogPort port (LogicalPort lang 11 decl (Decl n "rst" -t "std_ulogic" +t "unsigned" o 4 -suid 114,0 +suid 123,0 ) ) -uid 1402,0 +uid 1488,0 ) *20 (LogPort port (LogicalPort @@ -150,10 +150,10 @@ n "sensor_bus" t "std_ulogic_vector" b "(1 DOWNTO 0)" o 25 -suid 115,0 +suid 124,0 ) ) -uid 1404,0 +uid 1490,0 ) *21 (LogPort port (LogicalPort @@ -163,10 +163,10 @@ decl (Decl n "sideL_cruse" t "std_ulogic" o 27 -suid 116,0 +suid 125,0 ) ) -uid 1406,0 +uid 1492,0 ) *22 (LogPort port (LogicalPort @@ -175,10 +175,10 @@ decl (Decl n "unlock" t "std_ulogic" o 12 -suid 117,0 +suid 126,0 ) ) -uid 1408,0 +uid 1494,0 ) ] ) @@ -234,55 +234,55 @@ uid 120,0 litem &14 pos 0 dimension 20 -uid 1393,0 +uid 1479,0 ) *29 (MRCItem litem &15 pos 1 dimension 20 -uid 1395,0 +uid 1481,0 ) *30 (MRCItem litem &16 pos 2 dimension 20 -uid 1397,0 +uid 1483,0 ) *31 (MRCItem litem &17 pos 3 dimension 20 -uid 1399,0 +uid 1485,0 ) *32 (MRCItem litem &18 pos 4 dimension 20 -uid 1401,0 +uid 1487,0 ) *33 (MRCItem litem &19 pos 5 dimension 20 -uid 1403,0 +uid 1489,0 ) *34 (MRCItem litem &20 pos 6 dimension 20 -uid 1405,0 +uid 1491,0 ) *35 (MRCItem litem &21 pos 7 dimension 20 -uid 1407,0 +uid 1493,0 ) *36 (MRCItem litem &22 pos 8 dimension 20 -uid 1409,0 +uid 1495,0 ) ] ) @@ -609,7 +609,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "15:13:22" +value "15:58:05" ) (vvPair variable "group" @@ -681,7 +681,7 @@ value "interface" ) (vvPair variable "time" -value "15:13:22" +value "15:58:05" ) (vvPair variable "unit" @@ -716,10 +716,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *70 (CptPort -uid 1347,0 +uid 1433,0 ps "OnEdgeStrategy" shape (Triangle -uid 1348,0 +uid 1434,0 ro 90 va (VaSet vasetType 1 @@ -728,11 +728,11 @@ fg "0,65535,0" xt "14250,6625,15000,7375" ) tg (CPTG -uid 1349,0 +uid 1435,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1350,0 +uid 1436,0 va (VaSet font "Verdana,12,0" ) @@ -743,29 +743,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1351,0 +uid 1437,0 va (VaSet font "Courier New,8,0" ) -xt "44000,3200,62500,4000" -st "clk : IN std_ulogic ; +xt "44000,3200,61500,4000" +st "clk : IN unsigned ; " ) thePort (LogicalPort lang 11 decl (Decl n "clk" -t "std_ulogic" +t "unsigned" o 3 -suid 109,0 +suid 118,0 ) ) ) *71 (CptPort -uid 1352,0 +uid 1438,0 ps "OnEdgeStrategy" shape (Triangle -uid 1353,0 +uid 1439,0 ro 180 va (VaSet vasetType 1 @@ -774,11 +774,11 @@ fg "0,65535,0" xt "33625,15000,34375,15750" ) tg (CPTG -uid 1354,0 +uid 1440,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1355,0 +uid 1441,0 ro 270 va (VaSet font "Verdana,12,0" @@ -790,7 +790,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1356,0 +uid 1442,0 va (VaSet font "Courier New,8,0" ) @@ -805,15 +805,15 @@ decl (Decl n "end_cruse" t "std_ulogic" o 14 -suid 110,0 +suid 119,0 ) ) ) *72 (CptPort -uid 1357,0 +uid 1443,0 ps "OnEdgeStrategy" shape (Triangle -uid 1358,0 +uid 1444,0 ro 180 va (VaSet vasetType 1 @@ -822,11 +822,11 @@ fg "0,65535,0" xt "20625,5250,21375,6000" ) tg (CPTG -uid 1359,0 +uid 1445,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1360,0 +uid 1446,0 ro 270 va (VaSet font "Verdana,12,0" @@ -839,7 +839,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1361,0 +uid 1447,0 va (VaSet font "Courier New,8,0" ) @@ -854,15 +854,15 @@ n "info_cruse" t "unsigned" b "(15 DOWNTO 0)" o 17 -suid 111,0 +suid 120,0 ) ) ) *73 (CptPort -uid 1362,0 +uid 1448,0 ps "OnEdgeStrategy" shape (Triangle -uid 1363,0 +uid 1449,0 ro 180 va (VaSet vasetType 1 @@ -871,11 +871,11 @@ fg "0,65535,0" xt "18625,5250,19375,6000" ) tg (CPTG -uid 1364,0 +uid 1450,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1365,0 +uid 1451,0 ro 270 va (VaSet font "Verdana,12,0" @@ -888,7 +888,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1366,0 +uid 1452,0 va (VaSet font "Courier New,8,0" ) @@ -903,15 +903,15 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 112,0 +suid 121,0 ) ) ) *74 (CptPort -uid 1367,0 +uid 1453,0 ps "OnEdgeStrategy" shape (Triangle -uid 1368,0 +uid 1454,0 ro 180 va (VaSet vasetType 1 @@ -920,11 +920,11 @@ fg "0,65535,0" xt "31625,15000,32375,15750" ) tg (CPTG -uid 1369,0 +uid 1455,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1370,0 +uid 1456,0 ro 270 va (VaSet font "Verdana,12,0" @@ -936,12 +936,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1371,0 +uid 1457,0 va (VaSet font "Courier New,8,0" ) -xt "44000,8000,68000,8800" -st "power_cruse : OUT unsigned (7 DOWNTO 0) ; +xt "44000,8000,72500,8800" +st "power_cruse : OUT std_ulogic_vector (7 DOWNTO 0) ; " ) thePort (LogicalPort @@ -949,18 +949,18 @@ lang 11 m 1 decl (Decl n "power_cruse" -t "unsigned" +t "std_ulogic_vector" b "(7 DOWNTO 0)" o 23 -suid 113,0 +suid 122,0 ) ) ) *75 (CptPort -uid 1372,0 +uid 1458,0 ps "OnEdgeStrategy" shape (Triangle -uid 1373,0 +uid 1459,0 ro 90 va (VaSet vasetType 1 @@ -969,11 +969,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 1374,0 +uid 1460,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1375,0 +uid 1461,0 va (VaSet font "Verdana,12,0" ) @@ -984,29 +984,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1376,0 +uid 1462,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4800,62500,5600" -st "rst : IN std_ulogic ; +xt "44000,4800,61500,5600" +st "rst : IN unsigned ; " ) thePort (LogicalPort lang 11 decl (Decl n "rst" -t "std_ulogic" +t "unsigned" o 4 -suid 114,0 +suid 123,0 ) ) ) *76 (CptPort -uid 1377,0 +uid 1463,0 ps "OnEdgeStrategy" shape (Triangle -uid 1378,0 +uid 1464,0 ro 270 va (VaSet vasetType 1 @@ -1015,11 +1015,11 @@ fg "0,65535,0" xt "36000,12625,36750,13375" ) tg (CPTG -uid 1379,0 +uid 1465,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1380,0 +uid 1466,0 va (VaSet font "Verdana,12,0" ) @@ -1031,7 +1031,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1381,0 +uid 1467,0 va (VaSet font "Courier New,8,0" ) @@ -1046,15 +1046,15 @@ n "sensor_bus" t "std_ulogic_vector" b "(1 DOWNTO 0)" o 25 -suid 115,0 +suid 124,0 ) ) ) *77 (CptPort -uid 1382,0 +uid 1468,0 ps "OnEdgeStrategy" shape (Triangle -uid 1383,0 +uid 1469,0 ro 180 va (VaSet vasetType 1 @@ -1063,11 +1063,11 @@ fg "0,65535,0" xt "29625,15000,30375,15750" ) tg (CPTG -uid 1384,0 +uid 1470,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1385,0 +uid 1471,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1079,7 +1079,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1386,0 +uid 1472,0 va (VaSet font "Courier New,8,0" ) @@ -1094,15 +1094,15 @@ decl (Decl n "sideL_cruse" t "std_ulogic" o 27 -suid 116,0 +suid 125,0 ) ) ) *78 (CptPort -uid 1387,0 +uid 1473,0 ps "OnEdgeStrategy" shape (Triangle -uid 1388,0 +uid 1474,0 ro 270 va (VaSet vasetType 1 @@ -1111,11 +1111,11 @@ fg "0,65535,0" xt "36000,10625,36750,11375" ) tg (CPTG -uid 1389,0 +uid 1475,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1390,0 +uid 1476,0 va (VaSet font "Verdana,12,0" ) @@ -1127,7 +1127,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1391,0 +uid 1477,0 va (VaSet font "Courier New,8,0" ) @@ -1141,7 +1141,7 @@ decl (Decl n "unlock" t "std_ulogic" o 12 -suid 117,0 +suid 126,0 ) ) ) @@ -1854,6 +1854,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 1409,0 +lastUid 1495,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/process_deceleration/interface b/Cursor/hds/process_deceleration/interface index 362c2e6..7906c7d 100644 --- a/Cursor/hds/process_deceleration/interface +++ b/Cursor/hds/process_deceleration/interface @@ -26,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 110,0 +suid 120,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -70,12 +70,12 @@ port (LogicalPort lang 11 decl (Decl n "clk" -t "std_ulogic" +t "unsigned" o 3 -suid 101,0 +suid 111,0 ) ) -uid 1203,0 +uid 1296,0 ) *15 (LogPort port (LogicalPort @@ -85,10 +85,10 @@ decl (Decl n "end_deceleration" t "std_ulogic" o 15 -suid 102,0 +suid 112,0 ) ) -uid 1205,0 +uid 1298,0 ) *16 (LogPort port (LogicalPort @@ -98,10 +98,10 @@ n "info_deceleration" t "unsigned" b "(15 DOWNTO 0)" o 18 -suid 103,0 +suid 113,0 ) ) -uid 1207,0 +uid 1300,0 ) *17 (LogPort port (LogicalPort @@ -111,10 +111,10 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 104,0 +suid 114,0 ) ) -uid 1209,0 +uid 1302,0 ) *18 (LogPort port (LogicalPort @@ -122,13 +122,13 @@ lang 11 m 1 decl (Decl n "power_deceleration" -t "unsigned" +t "std_ulogic_vector" b "(7 DOWNTO 0)" o 24 -suid 105,0 +suid 115,0 ) ) -uid 1211,0 +uid 1304,0 ) *19 (LogPort port (LogicalPort @@ -138,22 +138,22 @@ decl (Decl n "RaZ" t "std_ulogic" o 9 -suid 106,0 +suid 116,0 ) ) -uid 1213,0 +uid 1306,0 ) *20 (LogPort port (LogicalPort lang 11 decl (Decl n "rst" -t "std_ulogic" +t "unsigned" o 4 -suid 107,0 +suid 117,0 ) ) -uid 1215,0 +uid 1308,0 ) *21 (LogPort port (LogicalPort @@ -163,10 +163,10 @@ decl (Decl n "sideL_deceleration" t "std_ulogic" o 28 -suid 108,0 +suid 118,0 ) ) -uid 1217,0 +uid 1310,0 ) *22 (LogPort port (LogicalPort @@ -175,10 +175,10 @@ decl (Decl n "skip_deceleration" t "std_uLogic" o 30 -suid 109,0 +suid 119,0 ) ) -uid 1219,0 +uid 1312,0 ) *23 (LogPort port (LogicalPort @@ -187,10 +187,10 @@ decl (Decl n "unlock" t "std_ulogic" o 12 -suid 110,0 +suid 120,0 ) ) -uid 1221,0 +uid 1314,0 ) ] ) @@ -246,61 +246,61 @@ uid 127,0 litem &14 pos 0 dimension 20 -uid 1204,0 +uid 1297,0 ) *30 (MRCItem litem &15 pos 1 dimension 20 -uid 1206,0 +uid 1299,0 ) *31 (MRCItem litem &16 pos 2 dimension 20 -uid 1208,0 +uid 1301,0 ) *32 (MRCItem litem &17 pos 3 dimension 20 -uid 1210,0 +uid 1303,0 ) *33 (MRCItem litem &18 pos 4 dimension 20 -uid 1212,0 +uid 1305,0 ) *34 (MRCItem litem &19 pos 5 dimension 20 -uid 1214,0 +uid 1307,0 ) *35 (MRCItem litem &20 pos 6 dimension 20 -uid 1216,0 +uid 1309,0 ) *36 (MRCItem litem &21 pos 7 dimension 20 -uid 1218,0 +uid 1311,0 ) *37 (MRCItem litem &22 pos 8 dimension 20 -uid 1220,0 +uid 1313,0 ) *38 (MRCItem litem &23 pos 9 dimension 20 -uid 1222,0 +uid 1315,0 ) ] ) @@ -627,7 +627,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "15:13:22" +value "15:58:05" ) (vvPair variable "group" @@ -699,7 +699,7 @@ value "interface" ) (vvPair variable "time" -value "15:13:22" +value "15:58:05" ) (vvPair variable "unit" @@ -734,10 +734,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *72 (CptPort -uid 1153,0 +uid 1246,0 ps "OnEdgeStrategy" shape (Triangle -uid 1154,0 +uid 1247,0 ro 90 va (VaSet vasetType 1 @@ -746,11 +746,11 @@ fg "0,65535,0" xt "14250,6625,15000,7375" ) tg (CPTG -uid 1155,0 +uid 1248,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1156,0 +uid 1249,0 va (VaSet font "Verdana,12,0" ) @@ -761,29 +761,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1157,0 +uid 1250,0 va (VaSet font "Courier New,8,0" ) -xt "44000,3200,66500,4000" -st "clk : IN std_ulogic ; +xt "44000,3200,65000,4000" +st "clk : IN unsigned ; " ) thePort (LogicalPort lang 11 decl (Decl n "clk" -t "std_ulogic" +t "unsigned" o 3 -suid 101,0 +suid 111,0 ) ) ) *73 (CptPort -uid 1158,0 +uid 1251,0 ps "OnEdgeStrategy" shape (Triangle -uid 1159,0 +uid 1252,0 ro 180 va (VaSet vasetType 1 @@ -792,11 +792,11 @@ fg "0,65535,0" xt "33625,15000,34375,15750" ) tg (CPTG -uid 1160,0 +uid 1253,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1161,0 +uid 1254,0 ro 270 va (VaSet font "Verdana,12,0" @@ -808,7 +808,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1162,0 +uid 1255,0 va (VaSet font "Courier New,8,0" ) @@ -823,15 +823,15 @@ decl (Decl n "end_deceleration" t "std_ulogic" o 15 -suid 102,0 +suid 112,0 ) ) ) *74 (CptPort -uid 1163,0 +uid 1256,0 ps "OnEdgeStrategy" shape (Triangle -uid 1164,0 +uid 1257,0 ro 180 va (VaSet vasetType 1 @@ -840,11 +840,11 @@ fg "0,65535,0" xt "20625,5250,21375,6000" ) tg (CPTG -uid 1165,0 +uid 1258,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1166,0 +uid 1259,0 ro 270 va (VaSet font "Verdana,12,0" @@ -857,7 +857,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1167,0 +uid 1260,0 va (VaSet font "Courier New,8,0" ) @@ -872,15 +872,15 @@ n "info_deceleration" t "unsigned" b "(15 DOWNTO 0)" o 18 -suid 103,0 +suid 113,0 ) ) ) *75 (CptPort -uid 1168,0 +uid 1261,0 ps "OnEdgeStrategy" shape (Triangle -uid 1169,0 +uid 1262,0 ro 180 va (VaSet vasetType 1 @@ -889,11 +889,11 @@ fg "0,65535,0" xt "18625,5250,19375,6000" ) tg (CPTG -uid 1170,0 +uid 1263,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1171,0 +uid 1264,0 ro 270 va (VaSet font "Verdana,12,0" @@ -906,7 +906,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1172,0 +uid 1265,0 va (VaSet font "Courier New,8,0" ) @@ -921,15 +921,15 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 104,0 +suid 114,0 ) ) ) *76 (CptPort -uid 1173,0 +uid 1266,0 ps "OnEdgeStrategy" shape (Triangle -uid 1174,0 +uid 1267,0 ro 180 va (VaSet vasetType 1 @@ -938,11 +938,11 @@ fg "0,65535,0" xt "31625,15000,32375,15750" ) tg (CPTG -uid 1175,0 +uid 1268,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1176,0 +uid 1269,0 ro 270 va (VaSet font "Verdana,12,0" @@ -954,12 +954,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1177,0 +uid 1270,0 va (VaSet font "Courier New,8,0" ) -xt "44000,8800,71500,9600" -st "power_deceleration : OUT unsigned (7 DOWNTO 0) ; +xt "44000,8800,76000,9600" +st "power_deceleration : OUT std_ulogic_vector (7 DOWNTO 0) ; " ) thePort (LogicalPort @@ -967,18 +967,18 @@ lang 11 m 1 decl (Decl n "power_deceleration" -t "unsigned" +t "std_ulogic_vector" b "(7 DOWNTO 0)" o 24 -suid 105,0 +suid 115,0 ) ) ) *77 (CptPort -uid 1178,0 +uid 1271,0 ps "OnEdgeStrategy" shape (Triangle -uid 1179,0 +uid 1272,0 ro 90 va (VaSet vasetType 1 @@ -987,11 +987,11 @@ fg "0,65535,0" xt "36000,8625,36750,9375" ) tg (CPTG -uid 1180,0 +uid 1273,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1181,0 +uid 1274,0 va (VaSet font "Verdana,12,0" ) @@ -1003,7 +1003,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1182,0 +uid 1275,0 va (VaSet font "Courier New,8,0" ) @@ -1018,15 +1018,15 @@ decl (Decl n "RaZ" t "std_ulogic" o 9 -suid 106,0 +suid 116,0 ) ) ) *78 (CptPort -uid 1183,0 +uid 1276,0 ps "OnEdgeStrategy" shape (Triangle -uid 1184,0 +uid 1277,0 ro 90 va (VaSet vasetType 1 @@ -1035,11 +1035,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 1185,0 +uid 1278,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1186,0 +uid 1279,0 va (VaSet font "Verdana,12,0" ) @@ -1050,29 +1050,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1187,0 +uid 1280,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4800,66500,5600" -st "rst : IN std_ulogic ; +xt "44000,4800,65000,5600" +st "rst : IN unsigned ; " ) thePort (LogicalPort lang 11 decl (Decl n "rst" -t "std_ulogic" +t "unsigned" o 4 -suid 107,0 +suid 117,0 ) ) ) *79 (CptPort -uid 1188,0 +uid 1281,0 ps "OnEdgeStrategy" shape (Triangle -uid 1189,0 +uid 1282,0 ro 180 va (VaSet vasetType 1 @@ -1081,11 +1081,11 @@ fg "0,65535,0" xt "29625,15000,30375,15750" ) tg (CPTG -uid 1190,0 +uid 1283,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1191,0 +uid 1284,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1097,7 +1097,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1192,0 +uid 1285,0 va (VaSet font "Courier New,8,0" ) @@ -1112,15 +1112,15 @@ decl (Decl n "sideL_deceleration" t "std_ulogic" o 28 -suid 108,0 +suid 118,0 ) ) ) *80 (CptPort -uid 1193,0 +uid 1286,0 ps "OnEdgeStrategy" shape (Triangle -uid 1194,0 +uid 1287,0 ro 180 va (VaSet vasetType 1 @@ -1129,11 +1129,11 @@ fg "0,65535,0" xt "23625,5250,24375,6000" ) tg (CPTG -uid 1195,0 +uid 1288,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1196,0 +uid 1289,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1146,7 +1146,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1197,0 +uid 1290,0 va (VaSet font "Courier New,8,0" ) @@ -1160,15 +1160,15 @@ decl (Decl n "skip_deceleration" t "std_uLogic" o 30 -suid 109,0 +suid 119,0 ) ) ) *81 (CptPort -uid 1198,0 +uid 1291,0 ps "OnEdgeStrategy" shape (Triangle -uid 1199,0 +uid 1292,0 ro 270 va (VaSet vasetType 1 @@ -1177,11 +1177,11 @@ fg "0,65535,0" xt "36000,11625,36750,12375" ) tg (CPTG -uid 1200,0 +uid 1293,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1201,0 +uid 1294,0 va (VaSet font "Verdana,12,0" ) @@ -1193,7 +1193,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1202,0 +uid 1295,0 va (VaSet font "Courier New,8,0" ) @@ -1207,7 +1207,7 @@ decl (Decl n "unlock" t "std_ulogic" o 12 -suid 110,0 +suid 120,0 ) ) ) @@ -1920,6 +1920,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 1222,0 +lastUid 1315,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/selector_acceleration/interface b/Cursor/hds/selector_acceleration/interface index 83f44f8..15a5c8f 100644 --- a/Cursor/hds/selector_acceleration/interface +++ b/Cursor/hds/selector_acceleration/interface @@ -26,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 117,0 +suid 144,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -73,22 +73,22 @@ n "button" t "unsigned" b "(3 DOWNTO 0)" o 2 -suid 109,0 +suid 136,0 ) ) -uid 1392,0 +uid 1650,0 ) *15 (LogPort port (LogicalPort lang 11 decl (Decl n "clk" -t "std_ulogic" +t "unsigned" o 3 -suid 110,0 +suid 137,0 ) ) -uid 1394,0 +uid 1652,0 ) *16 (LogPort port (LogicalPort @@ -99,36 +99,36 @@ n "info_acceleration" t "unsigned" b "(15 DOWNTO 0)" o 16 -suid 111,0 +suid 138,0 ) ) -uid 1396,0 +uid 1654,0 ) *17 (LogPort port (LogicalPort lang 11 decl (Decl n "pos1" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 19 -suid 112,0 +suid 139,0 ) ) -uid 1398,0 +uid 1656,0 ) *18 (LogPort port (LogicalPort lang 11 decl (Decl n "pos2" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 20 -suid 113,0 +suid 140,0 ) ) -uid 1400,0 +uid 1658,0 ) *19 (LogPort port (LogicalPort @@ -138,10 +138,10 @@ n "pos_init" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 21 -suid 114,0 +suid 141,0 ) ) -uid 1402,0 +uid 1660,0 ) *20 (LogPort port (LogicalPort @@ -151,22 +151,22 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 115,0 +suid 142,0 ) ) -uid 1404,0 +uid 1662,0 ) *21 (LogPort port (LogicalPort lang 11 decl (Decl n "rst" -t "std_ulogic" +t "unsigned" o 4 -suid 116,0 +suid 143,0 ) ) -uid 1406,0 +uid 1664,0 ) *22 (LogPort port (LogicalPort @@ -176,10 +176,10 @@ decl (Decl n "skip_acceleration" t "std_ulogic" o 29 -suid 117,0 +suid 144,0 ) ) -uid 1408,0 +uid 1666,0 ) ] ) @@ -235,55 +235,55 @@ uid 113,0 litem &14 pos 0 dimension 20 -uid 1393,0 +uid 1651,0 ) *29 (MRCItem litem &15 pos 1 dimension 20 -uid 1395,0 +uid 1653,0 ) *30 (MRCItem litem &16 pos 2 dimension 20 -uid 1397,0 +uid 1655,0 ) *31 (MRCItem litem &17 pos 3 dimension 20 -uid 1399,0 +uid 1657,0 ) *32 (MRCItem litem &18 pos 4 dimension 20 -uid 1401,0 +uid 1659,0 ) *33 (MRCItem litem &19 pos 5 dimension 20 -uid 1403,0 +uid 1661,0 ) *34 (MRCItem litem &20 pos 6 dimension 20 -uid 1405,0 +uid 1663,0 ) *35 (MRCItem litem &21 pos 7 dimension 20 -uid 1407,0 +uid 1665,0 ) *36 (MRCItem litem &22 pos 8 dimension 20 -uid 1409,0 +uid 1667,0 ) ] ) @@ -610,7 +610,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "15:15:57" +value "15:58:05" ) (vvPair variable "group" @@ -682,7 +682,7 @@ value "interface" ) (vvPair variable "time" -value "15:15:57" +value "15:58:05" ) (vvPair variable "unit" @@ -717,10 +717,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *70 (CptPort -uid 1347,0 +uid 1605,0 ps "OnEdgeStrategy" shape (Triangle -uid 1348,0 +uid 1606,0 ro 180 va (VaSet vasetType 1 @@ -729,11 +729,11 @@ fg "0,65535,0" xt "16625,5250,17375,6000" ) tg (CPTG -uid 1349,0 +uid 1607,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1350,0 +uid 1608,0 ro 270 va (VaSet font "Verdana,12,0" @@ -746,7 +746,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1351,0 +uid 1609,0 va (VaSet font "Courier New,8,0" ) @@ -761,15 +761,15 @@ n "button" t "unsigned" b "(3 DOWNTO 0)" o 2 -suid 109,0 +suid 136,0 ) ) ) *71 (CptPort -uid 1352,0 +uid 1610,0 ps "OnEdgeStrategy" shape (Triangle -uid 1353,0 +uid 1611,0 ro 180 va (VaSet vasetType 1 @@ -778,11 +778,11 @@ fg "0,65535,0" xt "19625,5250,20375,6000" ) tg (CPTG -uid 1354,0 +uid 1612,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1355,0 +uid 1613,0 ro 270 va (VaSet font "Verdana,12,0" @@ -795,29 +795,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1356,0 +uid 1614,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4000,66000,4800" -st "clk : IN std_ulogic ; +xt "44000,4000,64500,4800" +st "clk : IN unsigned ; " ) thePort (LogicalPort lang 11 decl (Decl n "clk" -t "std_ulogic" +t "unsigned" o 3 -suid 110,0 +suid 137,0 ) ) ) *72 (CptPort -uid 1357,0 +uid 1615,0 ps "OnEdgeStrategy" shape (Triangle -uid 1358,0 +uid 1616,0 ro 180 va (VaSet vasetType 1 @@ -826,11 +826,11 @@ fg "0,65535,0" xt "16625,13000,17375,13750" ) tg (CPTG -uid 1359,0 +uid 1617,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1360,0 +uid 1618,0 ro 270 va (VaSet font "Verdana,12,0" @@ -842,7 +842,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1361,0 +uid 1619,0 va (VaSet font "Courier New,8,0" ) @@ -858,15 +858,15 @@ n "info_acceleration" t "unsigned" b "(15 DOWNTO 0)" o 16 -suid 111,0 +suid 138,0 ) ) ) *73 (CptPort -uid 1362,0 +uid 1620,0 ps "OnEdgeStrategy" shape (Triangle -uid 1363,0 +uid 1621,0 ro 90 va (VaSet vasetType 1 @@ -875,11 +875,11 @@ fg "0,65535,0" xt "14250,11625,15000,12375" ) tg (CPTG -uid 1364,0 +uid 1622,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1365,0 +uid 1623,0 va (VaSet font "Verdana,12,0" ) @@ -890,30 +890,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1366,0 +uid 1624,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4800,71500,5600" -st "pos1 : IN unsigned (15 DOWNTO 0) ; +xt "44000,4800,76000,5600" +st "pos1 : IN std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "pos1" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 19 -suid 112,0 +suid 139,0 ) ) ) *74 (CptPort -uid 1367,0 +uid 1625,0 ps "OnEdgeStrategy" shape (Triangle -uid 1368,0 +uid 1626,0 ro 90 va (VaSet vasetType 1 @@ -922,11 +922,11 @@ fg "0,65535,0" xt "14250,10625,15000,11375" ) tg (CPTG -uid 1369,0 +uid 1627,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1370,0 +uid 1628,0 va (VaSet font "Verdana,12,0" ) @@ -937,30 +937,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1371,0 +uid 1629,0 va (VaSet font "Courier New,8,0" ) -xt "44000,5600,71500,6400" -st "pos2 : IN unsigned (15 DOWNTO 0) ; +xt "44000,5600,76000,6400" +st "pos2 : IN std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "pos2" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 20 -suid 113,0 +suid 140,0 ) ) ) *75 (CptPort -uid 1372,0 +uid 1630,0 ps "OnEdgeStrategy" shape (Triangle -uid 1373,0 +uid 1631,0 ro 90 va (VaSet vasetType 1 @@ -969,11 +969,11 @@ fg "0,65535,0" xt "14250,9625,15000,10375" ) tg (CPTG -uid 1374,0 +uid 1632,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1375,0 +uid 1633,0 va (VaSet font "Verdana,12,0" ) @@ -984,7 +984,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1376,0 +uid 1634,0 va (VaSet font "Courier New,8,0" ) @@ -999,15 +999,15 @@ n "pos_init" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 21 -suid 114,0 +suid 141,0 ) ) ) *76 (CptPort -uid 1377,0 +uid 1635,0 ps "OnEdgeStrategy" shape (Triangle -uid 1378,0 +uid 1636,0 ro 90 va (VaSet vasetType 1 @@ -1016,11 +1016,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 1379,0 +uid 1637,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1380,0 +uid 1638,0 va (VaSet font "Verdana,12,0" ) @@ -1031,7 +1031,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1381,0 +uid 1639,0 va (VaSet font "Courier New,8,0" ) @@ -1046,15 +1046,15 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 115,0 +suid 142,0 ) ) ) *77 (CptPort -uid 1382,0 +uid 1640,0 ps "OnEdgeStrategy" shape (Triangle -uid 1383,0 +uid 1641,0 ro 180 va (VaSet vasetType 1 @@ -1063,11 +1063,11 @@ fg "0,65535,0" xt "21625,5250,22375,6000" ) tg (CPTG -uid 1384,0 +uid 1642,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1385,0 +uid 1643,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1080,29 +1080,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1386,0 +uid 1644,0 va (VaSet font "Courier New,8,0" ) -xt "44000,7200,66000,8000" -st "rst : IN std_ulogic ; +xt "44000,7200,64500,8000" +st "rst : IN unsigned ; " ) thePort (LogicalPort lang 11 decl (Decl n "rst" -t "std_ulogic" +t "unsigned" o 4 -suid 116,0 +suid 143,0 ) ) ) *78 (CptPort -uid 1387,0 +uid 1645,0 ps "OnEdgeStrategy" shape (Triangle -uid 1388,0 +uid 1646,0 ro 180 va (VaSet vasetType 1 @@ -1111,11 +1111,11 @@ fg "0,65535,0" xt "19625,13000,20375,13750" ) tg (CPTG -uid 1389,0 +uid 1647,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1390,0 +uid 1648,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1127,7 +1127,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1391,0 +uid 1649,0 va (VaSet font "Courier New,8,0" ) @@ -1142,7 +1142,7 @@ decl (Decl n "skip_acceleration" t "std_ulogic" o 29 -suid 117,0 +suid 144,0 ) ) ) @@ -1855,6 +1855,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 1409,0 +lastUid 1667,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/selector_cruse/interface b/Cursor/hds/selector_cruse/interface index ba088a7..c4a3133 100644 --- a/Cursor/hds/selector_cruse/interface +++ b/Cursor/hds/selector_cruse/interface @@ -26,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 96,0 +suid 112,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -73,22 +73,22 @@ n "button" t "unsigned" b "(3 DOWNTO 0)" o 2 -suid 89,0 +suid 105,0 ) ) -uid 1201,0 +uid 1359,0 ) *15 (LogPort port (LogicalPort lang 11 decl (Decl n "clk" -t "std_ulogic" +t "unsigned" o 3 -suid 90,0 +suid 106,0 ) ) -uid 1203,0 +uid 1361,0 ) *16 (LogPort port (LogicalPort @@ -99,36 +99,36 @@ n "info_cruse" t "unsigned" b "(15 DOWNTO 0)" o 17 -suid 91,0 +suid 107,0 ) ) -uid 1205,0 +uid 1363,0 ) *17 (LogPort port (LogicalPort lang 11 decl (Decl n "pos1" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 19 -suid 92,0 +suid 108,0 ) ) -uid 1207,0 +uid 1365,0 ) *18 (LogPort port (LogicalPort lang 11 decl (Decl n "pos2" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 20 -suid 93,0 +suid 109,0 ) ) -uid 1209,0 +uid 1367,0 ) *19 (LogPort port (LogicalPort @@ -138,10 +138,10 @@ n "pos_init" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 21 -suid 94,0 +suid 110,0 ) ) -uid 1211,0 +uid 1369,0 ) *20 (LogPort port (LogicalPort @@ -151,22 +151,22 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 95,0 +suid 111,0 ) ) -uid 1213,0 +uid 1371,0 ) *21 (LogPort port (LogicalPort lang 11 decl (Decl n "rst" -t "std_ulogic" +t "unsigned" o 4 -suid 96,0 +suid 112,0 ) ) -uid 1215,0 +uid 1373,0 ) ] ) @@ -222,49 +222,49 @@ uid 127,0 litem &14 pos 0 dimension 20 -uid 1202,0 +uid 1360,0 ) *28 (MRCItem litem &15 pos 1 dimension 20 -uid 1204,0 +uid 1362,0 ) *29 (MRCItem litem &16 pos 2 dimension 20 -uid 1206,0 +uid 1364,0 ) *30 (MRCItem litem &17 pos 3 dimension 20 -uid 1208,0 +uid 1366,0 ) *31 (MRCItem litem &18 pos 4 dimension 20 -uid 1210,0 +uid 1368,0 ) *32 (MRCItem litem &19 pos 5 dimension 20 -uid 1212,0 +uid 1370,0 ) *33 (MRCItem litem &20 pos 6 dimension 20 -uid 1214,0 +uid 1372,0 ) *34 (MRCItem litem &21 pos 7 dimension 20 -uid 1216,0 +uid 1374,0 ) ] ) @@ -591,7 +591,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "15:15:57" +value "15:58:05" ) (vvPair variable "group" @@ -663,7 +663,7 @@ value "interface" ) (vvPair variable "time" -value "15:15:57" +value "15:58:05" ) (vvPair variable "unit" @@ -698,10 +698,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *68 (CptPort -uid 1161,0 +uid 1319,0 ps "OnEdgeStrategy" shape (Triangle -uid 1162,0 +uid 1320,0 ro 180 va (VaSet vasetType 1 @@ -710,11 +710,11 @@ fg "0,65535,0" xt "16625,5250,17375,6000" ) tg (CPTG -uid 1163,0 +uid 1321,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1164,0 +uid 1322,0 ro 270 va (VaSet font "Verdana,12,0" @@ -727,7 +727,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1165,0 +uid 1323,0 va (VaSet font "Courier New,8,0" ) @@ -742,15 +742,15 @@ n "button" t "unsigned" b "(3 DOWNTO 0)" o 2 -suid 89,0 +suid 105,0 ) ) ) *69 (CptPort -uid 1166,0 +uid 1324,0 ps "OnEdgeStrategy" shape (Triangle -uid 1167,0 +uid 1325,0 ro 180 va (VaSet vasetType 1 @@ -759,11 +759,11 @@ fg "0,65535,0" xt "19625,5250,20375,6000" ) tg (CPTG -uid 1168,0 +uid 1326,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1169,0 +uid 1327,0 ro 270 va (VaSet font "Verdana,12,0" @@ -776,29 +776,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1170,0 +uid 1328,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4000,62000,4800" -st "clk : IN std_ulogic ; +xt "44000,4000,61000,4800" +st "clk : IN unsigned ; " ) thePort (LogicalPort lang 11 decl (Decl n "clk" -t "std_ulogic" +t "unsigned" o 3 -suid 90,0 +suid 106,0 ) ) ) *70 (CptPort -uid 1171,0 +uid 1329,0 ps "OnEdgeStrategy" shape (Triangle -uid 1172,0 +uid 1330,0 ro 180 va (VaSet vasetType 1 @@ -807,11 +807,11 @@ fg "0,65535,0" xt "16625,13000,17375,13750" ) tg (CPTG -uid 1173,0 +uid 1331,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1174,0 +uid 1332,0 ro 270 va (VaSet font "Verdana,12,0" @@ -823,7 +823,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1175,0 +uid 1333,0 va (VaSet font "Courier New,8,0" ) @@ -839,15 +839,15 @@ n "info_cruse" t "unsigned" b "(15 DOWNTO 0)" o 17 -suid 91,0 +suid 107,0 ) ) ) *71 (CptPort -uid 1176,0 +uid 1334,0 ps "OnEdgeStrategy" shape (Triangle -uid 1177,0 +uid 1335,0 ro 90 va (VaSet vasetType 1 @@ -856,11 +856,11 @@ fg "0,65535,0" xt "14250,10625,15000,11375" ) tg (CPTG -uid 1178,0 +uid 1336,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1179,0 +uid 1337,0 va (VaSet font "Verdana,12,0" ) @@ -871,30 +871,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1180,0 +uid 1338,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4800,68000,5600" -st "pos1 : IN unsigned (15 DOWNTO 0) ; +xt "44000,4800,72500,5600" +st "pos1 : IN std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "pos1" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 19 -suid 92,0 +suid 108,0 ) ) ) *72 (CptPort -uid 1181,0 +uid 1339,0 ps "OnEdgeStrategy" shape (Triangle -uid 1182,0 +uid 1340,0 ro 90 va (VaSet vasetType 1 @@ -903,11 +903,11 @@ fg "0,65535,0" xt "14250,9625,15000,10375" ) tg (CPTG -uid 1183,0 +uid 1341,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1184,0 +uid 1342,0 va (VaSet font "Verdana,12,0" ) @@ -918,30 +918,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1185,0 +uid 1343,0 va (VaSet font "Courier New,8,0" ) -xt "44000,5600,68000,6400" -st "pos2 : IN unsigned (15 DOWNTO 0) ; +xt "44000,5600,72500,6400" +st "pos2 : IN std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "pos2" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 20 -suid 93,0 +suid 109,0 ) ) ) *73 (CptPort -uid 1186,0 +uid 1344,0 ps "OnEdgeStrategy" shape (Triangle -uid 1187,0 +uid 1345,0 ro 90 va (VaSet vasetType 1 @@ -950,11 +950,11 @@ fg "0,65535,0" xt "14250,8625,15000,9375" ) tg (CPTG -uid 1188,0 +uid 1346,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1189,0 +uid 1347,0 va (VaSet font "Verdana,12,0" ) @@ -965,7 +965,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1190,0 +uid 1348,0 va (VaSet font "Courier New,8,0" ) @@ -980,15 +980,15 @@ n "pos_init" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 21 -suid 94,0 +suid 110,0 ) ) ) *74 (CptPort -uid 1191,0 +uid 1349,0 ps "OnEdgeStrategy" shape (Triangle -uid 1192,0 +uid 1350,0 ro 90 va (VaSet vasetType 1 @@ -997,11 +997,11 @@ fg "0,65535,0" xt "14250,6625,15000,7375" ) tg (CPTG -uid 1193,0 +uid 1351,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1194,0 +uid 1352,0 va (VaSet font "Verdana,12,0" ) @@ -1012,7 +1012,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1195,0 +uid 1353,0 va (VaSet font "Courier New,8,0" ) @@ -1027,15 +1027,15 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 95,0 +suid 111,0 ) ) ) *75 (CptPort -uid 1196,0 +uid 1354,0 ps "OnEdgeStrategy" shape (Triangle -uid 1197,0 +uid 1355,0 ro 180 va (VaSet vasetType 1 @@ -1044,11 +1044,11 @@ fg "0,65535,0" xt "21625,5250,22375,6000" ) tg (CPTG -uid 1198,0 +uid 1356,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1199,0 +uid 1357,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1061,21 +1061,21 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1200,0 +uid 1358,0 va (VaSet font "Courier New,8,0" ) -xt "44000,7200,62000,8000" -st "rst : IN std_ulogic ; +xt "44000,7200,61000,8000" +st "rst : IN unsigned ; " ) thePort (LogicalPort lang 11 decl (Decl n "rst" -t "std_ulogic" +t "unsigned" o 4 -suid 96,0 +suid 112,0 ) ) ) @@ -1788,6 +1788,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 1216,0 +lastUid 1374,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/selector_deceleration/interface b/Cursor/hds/selector_deceleration/interface index b44ca47..9fa3cc1 100644 --- a/Cursor/hds/selector_deceleration/interface +++ b/Cursor/hds/selector_deceleration/interface @@ -26,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 107,0 +suid 125,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -73,22 +73,22 @@ n "button" t "unsigned" b "(3 DOWNTO 0)" o 2 -suid 99,0 +suid 117,0 ) ) -uid 1230,0 +uid 1402,0 ) *15 (LogPort port (LogicalPort lang 11 decl (Decl n "clk" -t "std_ulogic" +t "unsigned" o 3 -suid 100,0 +suid 118,0 ) ) -uid 1232,0 +uid 1404,0 ) *16 (LogPort port (LogicalPort @@ -99,36 +99,36 @@ n "info_deceleration" t "unsigned" b "(15 DOWNTO 0)" o 18 -suid 101,0 +suid 119,0 ) ) -uid 1234,0 +uid 1406,0 ) *17 (LogPort port (LogicalPort lang 11 decl (Decl n "pos1" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 19 -suid 102,0 +suid 120,0 ) ) -uid 1236,0 +uid 1408,0 ) *18 (LogPort port (LogicalPort lang 11 decl (Decl n "pos2" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 20 -suid 103,0 +suid 121,0 ) ) -uid 1238,0 +uid 1410,0 ) *19 (LogPort port (LogicalPort @@ -138,10 +138,10 @@ n "pos_init" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 21 -suid 104,0 +suid 122,0 ) ) -uid 1240,0 +uid 1412,0 ) *20 (LogPort port (LogicalPort @@ -151,22 +151,22 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 105,0 +suid 123,0 ) ) -uid 1242,0 +uid 1414,0 ) *21 (LogPort port (LogicalPort lang 11 decl (Decl n "rst" -t "std_ulogic" +t "unsigned" o 4 -suid 106,0 +suid 124,0 ) ) -uid 1244,0 +uid 1416,0 ) *22 (LogPort port (LogicalPort @@ -176,10 +176,10 @@ decl (Decl n "skip_deceleration" t "std_uLogic" o 30 -suid 107,0 +suid 125,0 ) ) -uid 1246,0 +uid 1418,0 ) ] ) @@ -235,55 +235,55 @@ uid 127,0 litem &14 pos 0 dimension 20 -uid 1231,0 +uid 1403,0 ) *29 (MRCItem litem &15 pos 1 dimension 20 -uid 1233,0 +uid 1405,0 ) *30 (MRCItem litem &16 pos 2 dimension 20 -uid 1235,0 +uid 1407,0 ) *31 (MRCItem litem &17 pos 3 dimension 20 -uid 1237,0 +uid 1409,0 ) *32 (MRCItem litem &18 pos 4 dimension 20 -uid 1239,0 +uid 1411,0 ) *33 (MRCItem litem &19 pos 5 dimension 20 -uid 1241,0 +uid 1413,0 ) *34 (MRCItem litem &20 pos 6 dimension 20 -uid 1243,0 +uid 1415,0 ) *35 (MRCItem litem &21 pos 7 dimension 20 -uid 1245,0 +uid 1417,0 ) *36 (MRCItem litem &22 pos 8 dimension 20 -uid 1247,0 +uid 1419,0 ) ] ) @@ -610,7 +610,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "15:15:57" +value "15:58:05" ) (vvPair variable "group" @@ -682,7 +682,7 @@ value "interface" ) (vvPair variable "time" -value "15:15:57" +value "15:58:05" ) (vvPair variable "unit" @@ -717,10 +717,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *70 (CptPort -uid 1185,0 +uid 1357,0 ps "OnEdgeStrategy" shape (Triangle -uid 1186,0 +uid 1358,0 ro 180 va (VaSet vasetType 1 @@ -729,11 +729,11 @@ fg "0,65535,0" xt "15625,5250,16375,6000" ) tg (CPTG -uid 1187,0 +uid 1359,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1188,0 +uid 1360,0 ro 270 va (VaSet font "Verdana,12,0" @@ -746,7 +746,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1189,0 +uid 1361,0 va (VaSet font "Courier New,8,0" ) @@ -761,15 +761,15 @@ n "button" t "unsigned" b "(3 DOWNTO 0)" o 2 -suid 99,0 +suid 117,0 ) ) ) *71 (CptPort -uid 1190,0 +uid 1362,0 ps "OnEdgeStrategy" shape (Triangle -uid 1191,0 +uid 1363,0 ro 180 va (VaSet vasetType 1 @@ -778,11 +778,11 @@ fg "0,65535,0" xt "18625,5250,19375,6000" ) tg (CPTG -uid 1192,0 +uid 1364,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1193,0 +uid 1365,0 ro 270 va (VaSet font "Verdana,12,0" @@ -795,29 +795,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1194,0 +uid 1366,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4000,66000,4800" -st "clk : IN std_ulogic ; +xt "44000,4000,64500,4800" +st "clk : IN unsigned ; " ) thePort (LogicalPort lang 11 decl (Decl n "clk" -t "std_ulogic" +t "unsigned" o 3 -suid 100,0 +suid 118,0 ) ) ) *72 (CptPort -uid 1195,0 +uid 1367,0 ps "OnEdgeStrategy" shape (Triangle -uid 1196,0 +uid 1368,0 ro 180 va (VaSet vasetType 1 @@ -826,11 +826,11 @@ fg "0,65535,0" xt "16625,13000,17375,13750" ) tg (CPTG -uid 1197,0 +uid 1369,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1198,0 +uid 1370,0 ro 270 va (VaSet font "Verdana,12,0" @@ -842,7 +842,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1199,0 +uid 1371,0 va (VaSet font "Courier New,8,0" ) @@ -858,15 +858,15 @@ n "info_deceleration" t "unsigned" b "(15 DOWNTO 0)" o 18 -suid 101,0 +suid 119,0 ) ) ) *73 (CptPort -uid 1200,0 +uid 1372,0 ps "OnEdgeStrategy" shape (Triangle -uid 1201,0 +uid 1373,0 ro 90 va (VaSet vasetType 1 @@ -875,11 +875,11 @@ fg "0,65535,0" xt "14250,10625,15000,11375" ) tg (CPTG -uid 1202,0 +uid 1374,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1203,0 +uid 1375,0 va (VaSet font "Verdana,12,0" ) @@ -890,30 +890,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1204,0 +uid 1376,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4800,71500,5600" -st "pos1 : IN unsigned (15 DOWNTO 0) ; +xt "44000,4800,76000,5600" +st "pos1 : IN std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "pos1" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 19 -suid 102,0 +suid 120,0 ) ) ) *74 (CptPort -uid 1205,0 +uid 1377,0 ps "OnEdgeStrategy" shape (Triangle -uid 1206,0 +uid 1378,0 ro 90 va (VaSet vasetType 1 @@ -922,11 +922,11 @@ fg "0,65535,0" xt "14250,9625,15000,10375" ) tg (CPTG -uid 1207,0 +uid 1379,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1208,0 +uid 1380,0 va (VaSet font "Verdana,12,0" ) @@ -937,30 +937,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1209,0 +uid 1381,0 va (VaSet font "Courier New,8,0" ) -xt "44000,5600,71500,6400" -st "pos2 : IN unsigned (15 DOWNTO 0) ; +xt "44000,5600,76000,6400" +st "pos2 : IN std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "pos2" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 20 -suid 103,0 +suid 121,0 ) ) ) *75 (CptPort -uid 1210,0 +uid 1382,0 ps "OnEdgeStrategy" shape (Triangle -uid 1211,0 +uid 1383,0 ro 90 va (VaSet vasetType 1 @@ -969,11 +969,11 @@ fg "0,65535,0" xt "14250,8625,15000,9375" ) tg (CPTG -uid 1212,0 +uid 1384,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1213,0 +uid 1385,0 va (VaSet font "Verdana,12,0" ) @@ -984,7 +984,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1214,0 +uid 1386,0 va (VaSet font "Courier New,8,0" ) @@ -999,15 +999,15 @@ n "pos_init" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 21 -suid 104,0 +suid 122,0 ) ) ) *76 (CptPort -uid 1215,0 +uid 1387,0 ps "OnEdgeStrategy" shape (Triangle -uid 1216,0 +uid 1388,0 ro 90 va (VaSet vasetType 1 @@ -1016,11 +1016,11 @@ fg "0,65535,0" xt "14250,6625,15000,7375" ) tg (CPTG -uid 1217,0 +uid 1389,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1218,0 +uid 1390,0 va (VaSet font "Verdana,12,0" ) @@ -1031,7 +1031,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1219,0 +uid 1391,0 va (VaSet font "Courier New,8,0" ) @@ -1046,15 +1046,15 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 105,0 +suid 123,0 ) ) ) *77 (CptPort -uid 1220,0 +uid 1392,0 ps "OnEdgeStrategy" shape (Triangle -uid 1221,0 +uid 1393,0 ro 180 va (VaSet vasetType 1 @@ -1063,11 +1063,11 @@ fg "0,65535,0" xt "20625,5250,21375,6000" ) tg (CPTG -uid 1222,0 +uid 1394,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1223,0 +uid 1395,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1080,29 +1080,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1224,0 +uid 1396,0 va (VaSet font "Courier New,8,0" ) -xt "44000,7200,66000,8000" -st "rst : IN std_ulogic ; +xt "44000,7200,64500,8000" +st "rst : IN unsigned ; " ) thePort (LogicalPort lang 11 decl (Decl n "rst" -t "std_ulogic" +t "unsigned" o 4 -suid 106,0 +suid 124,0 ) ) ) *78 (CptPort -uid 1225,0 +uid 1397,0 ps "OnEdgeStrategy" shape (Triangle -uid 1226,0 +uid 1398,0 ro 180 va (VaSet vasetType 1 @@ -1111,11 +1111,11 @@ fg "0,65535,0" xt "19625,13000,20375,13750" ) tg (CPTG -uid 1227,0 +uid 1399,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1228,0 +uid 1400,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1127,7 +1127,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1229,0 +uid 1401,0 va (VaSet font "Courier New,8,0" ) @@ -1142,7 +1142,7 @@ decl (Decl n "skip_deceleration" t "std_uLogic" o 30 -suid 107,0 +suid 125,0 ) ) ) @@ -1855,6 +1855,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 1247,0 +lastUid 1419,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/set_position/interface b/Cursor/hds/set_position/interface index 306ad0c..9e10739 100644 --- a/Cursor/hds/set_position/interface +++ b/Cursor/hds/set_position/interface @@ -26,7 +26,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 45,0 +suid 55,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -70,12 +70,12 @@ port (LogicalPort lang 11 decl (Decl n "clk" -t "std_ulogic" +t "unsigned" o 3 -suid 41,0 +suid 51,0 ) ) -uid 666,0 +uid 782,0 ) *15 (LogPort port (LogicalPort @@ -83,13 +83,13 @@ lang 11 m 1 decl (Decl n "pos1" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 19 -suid 42,0 +suid 52,0 ) ) -uid 668,0 +uid 784,0 ) *16 (LogPort port (LogicalPort @@ -97,13 +97,13 @@ lang 11 m 1 decl (Decl n "pos2" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 20 -suid 43,0 +suid 53,0 ) ) -uid 670,0 +uid 786,0 ) *17 (LogPort port (LogicalPort @@ -114,22 +114,22 @@ n "pos_init" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 21 -suid 44,0 +suid 54,0 ) ) -uid 672,0 +uid 788,0 ) *18 (LogPort port (LogicalPort lang 11 decl (Decl n "rst" -t "std_ulogic" +t "unsigned" o 4 -suid 45,0 +suid 55,0 ) ) -uid 674,0 +uid 790,0 ) ] ) @@ -185,31 +185,31 @@ uid 92,0 litem &14 pos 0 dimension 20 -uid 667,0 +uid 783,0 ) *25 (MRCItem litem &15 pos 1 dimension 20 -uid 669,0 +uid 785,0 ) *26 (MRCItem litem &16 pos 2 dimension 20 -uid 671,0 +uid 787,0 ) *27 (MRCItem litem &17 pos 3 dimension 20 -uid 673,0 +uid 789,0 ) *28 (MRCItem litem &18 pos 4 dimension 20 -uid 675,0 +uid 791,0 ) ] ) @@ -536,7 +536,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "15:15:57" +value "15:58:05" ) (vvPair variable "group" @@ -608,7 +608,7 @@ value "interface" ) (vvPair variable "time" -value "15:15:57" +value "15:58:05" ) (vvPair variable "unit" @@ -643,10 +643,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *62 (CptPort -uid 641,0 +uid 757,0 ps "OnEdgeStrategy" shape (Triangle -uid 642,0 +uid 758,0 ro 90 va (VaSet vasetType 1 @@ -655,11 +655,11 @@ fg "0,65535,0" xt "14250,6625,15000,7375" ) tg (CPTG -uid 643,0 +uid 759,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 644,0 +uid 760,0 va (VaSet font "Verdana,12,0" ) @@ -670,29 +670,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 645,0 +uid 761,0 va (VaSet font "Courier New,8,0" ) -xt "44000,2400,61000,3200" -st "clk : IN std_ulogic ; +xt "44000,2400,60000,3200" +st "clk : IN unsigned ; " ) thePort (LogicalPort lang 11 decl (Decl n "clk" -t "std_ulogic" +t "unsigned" o 3 -suid 41,0 +suid 51,0 ) ) ) *63 (CptPort -uid 646,0 +uid 762,0 ps "OnEdgeStrategy" shape (Triangle -uid 647,0 +uid 763,0 ro 90 va (VaSet vasetType 1 @@ -701,11 +701,11 @@ fg "0,65535,0" xt "23000,11625,23750,12375" ) tg (CPTG -uid 648,0 +uid 764,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 649,0 +uid 765,0 va (VaSet font "Verdana,12,0" ) @@ -717,12 +717,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 650,0 +uid 766,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4000,67000,4800" -st "pos1 : OUT unsigned (15 DOWNTO 0) ; +xt "44000,4000,71500,4800" +st "pos1 : OUT std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort @@ -730,18 +730,18 @@ lang 11 m 1 decl (Decl n "pos1" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 19 -suid 42,0 +suid 52,0 ) ) ) *64 (CptPort -uid 651,0 +uid 767,0 ps "OnEdgeStrategy" shape (Triangle -uid 652,0 +uid 768,0 ro 90 va (VaSet vasetType 1 @@ -750,11 +750,11 @@ fg "0,65535,0" xt "23000,10625,23750,11375" ) tg (CPTG -uid 653,0 +uid 769,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 654,0 +uid 770,0 va (VaSet font "Verdana,12,0" ) @@ -766,12 +766,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 655,0 +uid 771,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4800,67000,5600" -st "pos2 : OUT unsigned (15 DOWNTO 0) ; +xt "44000,4800,71500,5600" +st "pos2 : OUT std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort @@ -779,18 +779,18 @@ lang 11 m 1 decl (Decl n "pos2" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 20 -suid 43,0 +suid 53,0 ) ) ) *65 (CptPort -uid 656,0 +uid 772,0 ps "OnEdgeStrategy" shape (Triangle -uid 657,0 +uid 773,0 ro 90 va (VaSet vasetType 1 @@ -799,11 +799,11 @@ fg "0,65535,0" xt "23000,9625,23750,10375" ) tg (CPTG -uid 658,0 +uid 774,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 659,0 +uid 775,0 va (VaSet font "Verdana,12,0" ) @@ -815,7 +815,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 660,0 +uid 776,0 va (VaSet font "Courier New,8,0" ) @@ -831,15 +831,15 @@ n "pos_init" t "std_ulogic_vector" b "(15 DOWNTO 0)" o 21 -suid 44,0 +suid 54,0 ) ) ) *66 (CptPort -uid 661,0 +uid 777,0 ps "OnEdgeStrategy" shape (Triangle -uid 662,0 +uid 778,0 ro 90 va (VaSet vasetType 1 @@ -848,11 +848,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 663,0 +uid 779,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 664,0 +uid 780,0 va (VaSet font "Verdana,12,0" ) @@ -863,21 +863,21 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 665,0 +uid 781,0 va (VaSet font "Courier New,8,0" ) -xt "44000,3200,61000,4000" -st "rst : IN std_ulogic ; +xt "44000,3200,60000,4000" +st "rst : IN unsigned ; " ) thePort (LogicalPort lang 11 decl (Decl n "rst" -t "std_ulogic" +t "unsigned" o 4 -suid 45,0 +suid 55,0 ) ) ) @@ -966,7 +966,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,48000,46000,49000" +xt "36200,48000,45400,49000" st " by %user on %dd %month %year " @@ -1590,6 +1590,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 675,0 +lastUid 791,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/side_acceleration/interface b/Cursor/hds/side_acceleration/interface index a7bfa8d..495b0be 100644 --- a/Cursor/hds/side_acceleration/interface +++ b/Cursor/hds/side_acceleration/interface @@ -21,7 +21,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 40,0 +suid 55,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -65,12 +65,12 @@ port (LogicalPort lang 11 decl (Decl n "clk" -t "std_ulogic" +t "unsigned" o 2 -suid 36,0 +suid 51,0 ) ) -uid 631,0 +uid 805,0 ) *15 (LogPort port (LogicalPort @@ -80,10 +80,10 @@ n "info_acceleration" t "unsigned" b "(15 DOWNTO 0)" o 3 -suid 37,0 +suid 52,0 ) ) -uid 633,0 +uid 807,0 ) *16 (LogPort port (LogicalPort @@ -93,22 +93,22 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 38,0 +suid 53,0 ) ) -uid 635,0 +uid 809,0 ) *17 (LogPort port (LogicalPort lang 11 decl (Decl n "rst" -t "std_ulogic" +t "unsigned" o 4 -suid 39,0 +suid 54,0 ) ) -uid 637,0 +uid 811,0 ) *18 (LogPort port (LogicalPort @@ -118,10 +118,10 @@ decl (Decl n "sideL_acceleration" t "std_ulogic" o 9 -suid 40,0 +suid 55,0 ) ) -uid 639,0 +uid 813,0 ) ] ) @@ -177,31 +177,31 @@ uid 92,0 litem &14 pos 0 dimension 20 -uid 632,0 +uid 806,0 ) *25 (MRCItem litem &15 pos 1 dimension 20 -uid 634,0 +uid 808,0 ) *26 (MRCItem litem &16 pos 2 dimension 20 -uid 636,0 +uid 810,0 ) *27 (MRCItem litem &17 pos 3 dimension 20 -uid 638,0 +uid 812,0 ) *28 (MRCItem litem &18 pos 4 dimension 20 -uid 640,0 +uid 814,0 ) ] ) @@ -528,7 +528,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "15:14:17" +value "15:59:07" ) (vvPair variable "group" @@ -600,7 +600,7 @@ value "interface" ) (vvPair variable "time" -value "15:14:17" +value "15:59:07" ) (vvPair variable "unit" @@ -635,10 +635,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *62 (CptPort -uid 606,0 +uid 780,0 ps "OnEdgeStrategy" shape (Triangle -uid 607,0 +uid 781,0 ro 90 va (VaSet vasetType 1 @@ -647,11 +647,11 @@ fg "0,65535,0" xt "14250,13625,15000,14375" ) tg (CPTG -uid 608,0 +uid 782,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 609,0 +uid 783,0 va (VaSet font "Verdana,12,0" ) @@ -662,29 +662,26 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 610,0 +uid 784,0 va (VaSet font "Courier New,8,0" ) -xt "44000,3200,66500,4000" -st "clk : IN std_ulogic ; -" ) thePort (LogicalPort lang 11 decl (Decl n "clk" -t "std_ulogic" +t "unsigned" o 2 -suid 36,0 +suid 51,0 ) ) ) *63 (CptPort -uid 611,0 +uid 785,0 ps "OnEdgeStrategy" shape (Triangle -uid 612,0 +uid 786,0 ro 90 va (VaSet vasetType 1 @@ -693,11 +690,11 @@ fg "0,65535,0" xt "14250,10625,15000,11375" ) tg (CPTG -uid 613,0 +uid 787,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 614,0 +uid 788,0 va (VaSet font "Verdana,12,0" ) @@ -708,13 +705,10 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 615,0 +uid 789,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4000,72000,4800" -st "info_acceleration : IN unsigned (15 DOWNTO 0) ; -" ) thePort (LogicalPort lang 11 @@ -723,15 +717,15 @@ n "info_acceleration" t "unsigned" b "(15 DOWNTO 0)" o 3 -suid 37,0 +suid 52,0 ) ) ) *64 (CptPort -uid 616,0 +uid 790,0 ps "OnEdgeStrategy" shape (Triangle -uid 617,0 +uid 791,0 ro 90 va (VaSet vasetType 1 @@ -740,11 +734,11 @@ fg "0,65535,0" xt "14250,9625,15000,10375" ) tg (CPTG -uid 618,0 +uid 792,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 619,0 +uid 793,0 va (VaSet font "Verdana,12,0" ) @@ -755,13 +749,10 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 620,0 +uid 794,0 va (VaSet font "Courier New,8,0" ) -xt "44000,2400,72000,3200" -st "Position : IN unsigned (15 DOWNTO 0) ; -" ) thePort (LogicalPort lang 11 @@ -770,15 +761,15 @@ n "Position" t "unsigned" b "(15 DOWNTO 0)" o 1 -suid 38,0 +suid 53,0 ) ) ) *65 (CptPort -uid 621,0 +uid 795,0 ps "OnEdgeStrategy" shape (Triangle -uid 622,0 +uid 796,0 ro 90 va (VaSet vasetType 1 @@ -787,11 +778,11 @@ fg "0,65535,0" xt "14250,14625,15000,15375" ) tg (CPTG -uid 623,0 +uid 797,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 624,0 +uid 798,0 va (VaSet font "Verdana,12,0" ) @@ -802,29 +793,26 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 625,0 +uid 799,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4800,66500,5600" -st "rst : IN std_ulogic ; -" ) thePort (LogicalPort lang 11 decl (Decl n "rst" -t "std_ulogic" +t "unsigned" o 4 -suid 39,0 +suid 54,0 ) ) ) *66 (CptPort -uid 626,0 +uid 800,0 ps "OnEdgeStrategy" shape (Triangle -uid 627,0 +uid 801,0 ro 90 va (VaSet vasetType 1 @@ -833,11 +821,11 @@ fg "0,65535,0" xt "23000,10625,23750,11375" ) tg (CPTG -uid 628,0 +uid 802,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 629,0 +uid 803,0 va (VaSet font "Verdana,12,0" ) @@ -849,13 +837,10 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 630,0 +uid 804,0 va (VaSet font "Courier New,8,0" ) -xt "44000,5600,65000,6400" -st "sideL_acceleration : OUT std_ulogic -" ) thePort (LogicalPort lang 11 @@ -864,7 +849,7 @@ decl (Decl n "sideL_acceleration" t "std_ulogic" o 9 -suid 40,0 +suid 55,0 ) ) ) @@ -1575,6 +1560,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 640,0 +lastUid 814,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor_test/hds/cursor_tb/struct.bd b/Cursor_test/hds/cursor_tb/struct.bd index 4c71453..73d998b 100644 --- a/Cursor_test/hds/cursor_tb/struct.bd +++ b/Cursor_test/hds/cursor_tb/struct.bd @@ -178,7 +178,7 @@ value "MARVIN" ) (vvPair variable "graphical_source_time" -value "15:27:21" +value "16:00:15" ) (vvPair variable "group" @@ -302,7 +302,7 @@ value "struct" ) (vvPair variable "time" -value "15:27:21" +value "16:00:15" ) (vvPair variable "unit" @@ -2532,7 +2532,7 @@ tm "BdCompilerDirectivesTextMgr" associable 1 ) windowSize "0,0,1537,960" -viewArea "-3100,25591,97693,89655" +viewArea "-3100,25591,97693,87499" cachedDiagramExtent "-7000,-1400,102000,93000" pageSetupInfo (PageSetupInfo ptrCmd "\\\\ipp://ipp.hevs.ch\\PREA309_HPLJP3005DN,winspool,"