diff --git a/Cursor/hds/.hdlsidedata/_accelerator_entity.vhg._fpf b/Cursor/hds/.hdlsidedata/_accelerator_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_accelerator_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_button_entity.vhg._fpf b/Cursor/hds/.hdlsidedata/_button_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_button_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_button_position_entity.vhg._fpf b/Cursor/hds/.hdlsidedata/_button_position_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_button_position_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_button_position_fsm.vhg._fpf b/Cursor/hds/.hdlsidedata/_button_position_fsm.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_button_position_fsm.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_counter_controller_entity.vhg._fpf b/Cursor/hds/.hdlsidedata/_counter_controller_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_counter_controller_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_counter_controller_fsm.vhg._fpf b/Cursor/hds/.hdlsidedata/_counter_controller_fsm.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_counter_controller_fsm.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_driver_drivert.vhg._fpf b/Cursor/hds/.hdlsidedata/_driver_drivert.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_driver_drivert.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_driver_entity.vhg._fpf b/Cursor/hds/.hdlsidedata/_driver_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_driver_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_enable_acceleration_entity.vhg._fpf b/Cursor/hds/.hdlsidedata/_enable_acceleration_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_enable_acceleration_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_main_entity.vhg._fpf b/Cursor/hds/.hdlsidedata/_main_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_main_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_motor_side_entity.vhg._fpf b/Cursor/hds/.hdlsidedata/_motor_side_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_motor_side_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_motor_side_fsm.vhg._fpf b/Cursor/hds/.hdlsidedata/_motor_side_fsm.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_motor_side_fsm.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_move_entity.vhg._fpf b/Cursor/hds/.hdlsidedata/_move_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_move_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_move_fsm.vhg._fpf b/Cursor/hds/.hdlsidedata/_move_fsm.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_move_fsm.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_position_entity.vhg._fpf b/Cursor/hds/.hdlsidedata/_position_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_position_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_position_position.vhg._fpf b/Cursor/hds/.hdlsidedata/_position_position.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_position_position.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_process_acceleration_entity.vhg._fpf b/Cursor/hds/.hdlsidedata/_process_acceleration_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_process_acceleration_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_process_cruse_entity.vhg._fpf b/Cursor/hds/.hdlsidedata/_process_cruse_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_process_cruse_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_process_cruse_fsm.vhg._fpf b/Cursor/hds/.hdlsidedata/_process_cruse_fsm.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_process_cruse_fsm.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_process_deceleration_entity.vhg._fpf b/Cursor/hds/.hdlsidedata/_process_deceleration_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_process_deceleration_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_process_deceleration_fsm.vhg._fpf b/Cursor/hds/.hdlsidedata/_process_deceleration_fsm.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_process_deceleration_fsm.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_pwm_entity.vhg._fpf b/Cursor/hds/.hdlsidedata/_pwm_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_pwm_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_pwm_fsm.vhg._fpf b/Cursor/hds/.hdlsidedata/_pwm_fsm.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_pwm_fsm.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_selector_acceleration_entity.vhg._fpf b/Cursor/hds/.hdlsidedata/_selector_acceleration_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_selector_acceleration_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_selector_acceleration_fsm.vhg._fpf b/Cursor/hds/.hdlsidedata/_selector_acceleration_fsm.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_selector_acceleration_fsm.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_selector_cruse_entity.vhg._fpf b/Cursor/hds/.hdlsidedata/_selector_cruse_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_selector_cruse_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_selector_cruse_fsm.vhg._fpf b/Cursor/hds/.hdlsidedata/_selector_cruse_fsm.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_selector_cruse_fsm.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_selector_deceleration_entity.vhg._fpf b/Cursor/hds/.hdlsidedata/_selector_deceleration_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_selector_deceleration_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_selector_deceleration_fsm.vhg._fpf b/Cursor/hds/.hdlsidedata/_selector_deceleration_fsm.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_selector_deceleration_fsm.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_set_position_entity.vhg._fpf b/Cursor/hds/.hdlsidedata/_set_position_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_set_position_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_set_position_fsm.vhg._fpf b/Cursor/hds/.hdlsidedata/_set_position_fsm.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_set_position_fsm.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_side_acceleration_entity.vhg._fpf b/Cursor/hds/.hdlsidedata/_side_acceleration_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_side_acceleration_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/@counter_@controller/fsm.sm b/Cursor/hds/@counter_@controller/fsm.sm new file mode 100644 index 0000000..168552f --- /dev/null +++ b/Cursor/hds/@counter_@controller/fsm.sm @@ -0,0 +1,4075 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +machine (Machine +name "csm" +children [ +(Machine +name "csm" +children [ +] +stateSignalName "current_state" +) +] +) +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (StateMachine +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@counter_@controller\\fsm.sm.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@counter_@controller\\fsm.sm.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "fsm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@counter_@controller" +) +(vvPair +variable "d_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Counter_Controller" +) +(vvPair +variable "date" +value "17.12.2021" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "17" +) +(vvPair +variable "entity_name" +value "Counter_Controller" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "fsm.sm" +) +(vvPair +variable "f_logical" +value "fsm.sm" +) +(vvPair +variable "f_noext" +value "fsm" +) +(vvPair +variable "graphical_source_author" +value "Simon" +) +(vvPair +variable "graphical_source_date" +value "17.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "PC-SDM" +) +(vvPair +variable "graphical_source_time" +value "08:32:56" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "PC-SDM" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "Counter_Controller" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@counter_@controller\\fsm.sm" +) +(vvPair +variable "p_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Counter_Controller\\fsm.sm" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sm" +) +(vvPair +variable "this_file" +value "fsm" +) +(vvPair +variable "this_file_logical" +value "fsm" +) +(vvPair +variable "time" +value "08:32:56" +) +(vvPair +variable "unit" +value "Counter_Controller" +) +(vvPair +variable "user" +value "Simon" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "fsm" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +optionalChildren [ +*1 (ConcurrentSM +uid 1,0 +topDiagram (StateDiagram +LanguageMgr "None" +uid 2,0 +optionalChildren [ +*2 (State +uid 43,0 +shape (Circle +uid 44,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "37293,14873,49627,27207" +radius 6167 +) +name (Text +uid 45,0 +va (VaSet +font "Verdana,12,1" +) +xt "37910,20340,49010,21740" +st "reset_counter" +ju 0 +blo "43460,21540" +tm "ONodeName" +) +wait (TextAssociate +uid 46,0 +ps "CenterOffsetStrategy" +text (Text +uid 47,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "43210,21240,48310,22640" +st "wait 2" +blo "43210,22440" +tm "SmWaitText" +) +) +encoding (Text +uid 48,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "43460,22140,43460,22140" +blo "43460,22140" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 51,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 52,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "42110,23140,52810,25740" +) +autoResize 1 +tline (Line +uid 53,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "42210,23040,52710,23040" +pts [ +"42210,23040" +"52710,23040" +] +) +bline (Line +uid 54,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "42210,23340,52710,23340" +pts [ +"42210,23340" +"52710,23340" +] +) +ttri (Triangle +uid 55,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "41760,22665,42110,23015" +) +btri (Triangle +uid 56,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "41760,20865,42110,21215" +) +entryActions (MLText +uid 57,0 +va (VaSet +) +xt "42210,22840,42210,22840" +tm "Actions" +) +inActions (MLText +uid 58,0 +va (VaSet +) +xt "42210,23240,52710,25640" +st "resetSync <= '1' ; +enable <= '0';" +tm "Actions" +) +exitActions (MLText +uid 59,0 +va (VaSet +) +xt "43460,21040,43460,21040" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 49,0 +ps "CenterOffsetStrategy" +text (MLText +uid 50,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "41360,22240,48060,23440" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*3 (SmClockPoint +uid 60,0 +shape (CompositeShape +uid 61,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 62,0 +sl 0 +ro 270 +xt "3250,10500,5500,11500" +) +(OrthoPolyLine +uid 63,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,10700,4749,11300" +pts [ +"4150,11300" +"4449,11300" +"4449,10700" +"4749,10700" +] +) +(Arc2D +pts [ +"3759,11153" +"3504,10847" +"3759,10847" +] +uid 64,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "3431,10800,3759,11199" +) +] +) +name (TextAssociate +uid 65,0 +ps "CenterOffsetStrategy" +text (Text +uid 66,0 +va (VaSet +) +xt "-650,10500,2750,11700" +st "clock" +ju 2 +blo "2750,11500" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +uid 67,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 68,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "5500,10300,22800,11700" +) +autoResize 1 +cond (MLText +uid 69,0 +va (VaSet +) +xt "5600,10400,22700,11600" +st "clock'EVENT AND clock = '1'" +tm "SmControlConditionMgr" +) +) +) +*4 (SmResetPoint +uid 70,0 +shape (CompositeShape +uid 71,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 72,0 +sl 0 +ro 270 +xt "3250,19500,5500,20500" +) +(OrthoPolyLine +uid 73,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,19700,4749,20300" +pts [ +"4749,20300" +"4449,20300" +"4449,19700" +"4150,19700" +] +) +(Line +uid 74,0 +sl 0 +ro 270 +xt "3499,19825,3599,19875" +pts [ +"3499,19875" +"3599,19825" +] +) +(Line +uid 75,0 +sl 0 +ro 270 +xt "3499,19825,3499,20175" +pts [ +"3499,20175" +"3499,19825" +] +) +(Circle +uid 76,0 +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "4299,19850,4599,20150" +radius 150 +) +] +) +cond (SmControlCondition +uid 82,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 83,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "2300,17700,9100,19100" +) +autoResize 1 +cond (MLText +uid 84,0 +va (VaSet +isHidden 1 +) +xt "2400,17800,9000,19000" +st "reset = '0'" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +uid 79,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 80,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5500,18937,7626,21063" +radius 1063 +) +pr (Text +uid 81,0 +va (VaSet +isHidden 1 +) +xt "5863,19400,7263,20600" +st "1" +ju 0 +blo "6563,20400" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +uid 77,0 +ps "CenterOffsetStrategy" +text (Text +uid 78,0 +va (VaSet +) +xt "-50,19400,3250,20600" +st "reset" +ju 2 +blo "3250,20400" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +uid 85,0 +ps "CenterOffsetStrategy" +text (MLText +uid 86,0 +va (VaSet +isHidden 1 +) +xt "11125,24125,19725,25325" +st "< Automatic >" +tm "Actions" +) +) +) +*5 (Link +uid 87,0 +shape (CompositeShape +uid 88,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 89,0 +sl 0 +ro 270 +xt "17000,19410,19250,20410" +) +(Line +uid 90,0 +sl 0 +ro 270 +xt "16500,19910,17000,19910" +pts [ +"16500,19910" +"17000,19910" +] +) +] +) +name (TextAssociate +uid 91,0 +ps "CenterOffsetStrategy" +text (Text +uid 92,0 +va (VaSet +font "Verdana,9,1" +) +xt "19750,19410,27950,20610" +st "reset_counter" +blo "19750,20410" +tm "LinkName" +) +) +) +*6 (SmRecoveryStatePoint +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +uid 95,0 +sl 0 +xt "3250,14100,5050,15900" +radius 900 +) +(Line +uid 96,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"3724,15426" +"4576,14574" +] +) +(Line +uid 97,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"4576,15426" +"3724,14574" +] +) +] +) +) +*7 (Link +uid 98,0 +shape (CompositeShape +uid 99,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 100,0 +sl 0 +ro 270 +xt "17000,14500,19250,15500" +) +(Line +uid 101,0 +sl 0 +ro 270 +xt "16500,15000,17000,15000" +pts [ +"16500,15000" +"17000,15000" +] +) +] +) +name (TextAssociate +uid 102,0 +ps "CenterOffsetStrategy" +text (Text +uid 103,0 +va (VaSet +font "Verdana,9,1" +) +xt "19750,14500,27950,15700" +st "reset_counter" +blo "19750,15500" +tm "LinkName" +) +) +) +*8 (Grouping +uid 124,0 +optionalChildren [ +*9 (CommentText +uid 126,0 +shape (Rectangle +uid 127,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 128,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46000,47000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 129,0 +shape (Rectangle +uid 130,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "53000,42000,57000,43000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 131,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,42000,56200,43000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 132,0 +shape (Rectangle +uid 133,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 134,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,44000,46200,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*12 (CommentText +uid 135,0 +shape (Rectangle +uid 136,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 137,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,44000,34300,45000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*13 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "53000,43000,73000,47000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 140,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,43200,62600,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*14 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "57000,42000,73000,43000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 143,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,42000,58800,43000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*15 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "32000,42000,53000,44000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 146,0 +va (VaSet +isHidden 1 +fg "32768,0,0" +) +xt "37350,42400,47650,43600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*16 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 149,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,45000,34300,46000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*17 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 152,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34900,47000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*18 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 155,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,45000,48000,46000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 125,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,42000,73000,47000" +) +oxt "14000,66000,55000,71000" +) +*19 (State +uid 166,0 +shape (Circle +uid 167,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "58900,17410,67540,26050" +radius 4320 +) +name (Text +uid 168,0 +va (VaSet +font "Verdana,12,1" +) +xt "59570,21030,66870,22430" +st "add_start" +ju 0 +blo "63220,22230" +tm "ONodeName" +) +wait (TextAssociate +uid 169,0 +ps "CenterOffsetStrategy" +text (Text +uid 170,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "62970,21930,68070,23330" +st "wait 2" +blo "62970,23130" +tm "SmWaitText" +) +) +encoding (Text +uid 171,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "63220,22830,63220,22830" +blo "63220,22830" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 174,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 175,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "62920,24430,71520,25830" +) +autoResize 1 +tline (Line +uid 176,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "63020,24330,71420,24330" +pts [ +"63020,24330" +"71420,24330" +] +) +bline (Line +uid 177,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "63020,23730,71420,23730" +pts [ +"63020,23730" +"71420,23730" +] +) +ttri (Triangle +uid 178,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "62570,23955,62920,24305" +) +btri (Triangle +uid 179,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "62570,21555,62920,21905" +) +entryActions (MLText +uid 180,0 +va (VaSet +) +xt "63020,24130,63020,24130" +tm "Actions" +) +inActions (MLText +uid 181,0 +va (VaSet +) +xt "63020,24530,71420,25730" +st "enable <= '1';" +tm "Actions" +) +exitActions (MLText +uid 182,0 +va (VaSet +) +xt "63220,21730,63220,21730" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 172,0 +ps "CenterOffsetStrategy" +text (MLText +uid 173,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "61120,22930,67820,24130" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*20 (State +uid 183,0 +shape (Circle +uid 184,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "76985,9935,84195,17145" +radius 3605 +) +name (Text +uid 185,0 +va (VaSet +font "Verdana,12,1" +) +xt "77690,12840,83490,14240" +st "waiting" +ju 0 +blo "80590,14040" +tm "ONodeName" +) +wait (TextAssociate +uid 186,0 +ps "CenterOffsetStrategy" +text (Text +uid 187,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "80340,13740,85440,15140" +st "wait 2" +blo "80340,14940" +tm "SmWaitText" +) +) +encoding (Text +uid 188,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "80590,14640,80590,14640" +blo "80590,14640" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 191,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 192,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "84490,16840,84690,17040" +) +autoResize 1 +tline (Line +uid 193,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "80590,13540,80590,13540" +pts [ +"80590,13540" +"80590,13540" +] +) +bline (Line +uid 194,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "80590,13540,80590,13540" +pts [ +"80590,13540" +"80590,13540" +] +) +ttri (Triangle +uid 195,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "80140,13365,80490,13715" +) +btri (Triangle +uid 196,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "80140,13365,80490,13715" +) +entryActions (MLText +uid 197,0 +va (VaSet +) +xt "80590,13540,80590,13540" +tm "Actions" +) +inActions (MLText +uid 198,0 +va (VaSet +) +xt "80590,13540,80590,13540" +tm "Actions" +) +exitActions (MLText +uid 199,0 +va (VaSet +) +xt "80590,13540,80590,13540" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 189,0 +ps "CenterOffsetStrategy" +text (MLText +uid 190,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "78490,14740,85190,15940" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*21 (State +uid 200,0 +shape (Circle +uid 201,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "76516,28726,84484,36694" +radius 3984 +) +name (Text +uid 202,0 +va (VaSet +font "Verdana,12,1" +) +xt "77200,32010,83800,33410" +st "add_end" +ju 0 +blo "80500,33210" +tm "ONodeName" +) +wait (TextAssociate +uid 203,0 +ps "CenterOffsetStrategy" +text (Text +uid 204,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "80250,32910,85350,34310" +st "wait 2" +blo "80250,34110" +tm "SmWaitText" +) +) +encoding (Text +uid 205,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "80500,33810,80500,33810" +blo "80500,33810" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 208,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 209,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "80050,35410,88950,36810" +) +autoResize 1 +tline (Line +uid 210,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "80150,35310,88850,35310" +pts [ +"80150,35310" +"88850,35310" +] +) +bline (Line +uid 211,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "80150,34710,88850,34710" +pts [ +"80150,34710" +"88850,34710" +] +) +ttri (Triangle +uid 212,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "79700,34935,80050,35285" +) +btri (Triangle +uid 213,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "79700,32535,80050,32885" +) +entryActions (MLText +uid 214,0 +va (VaSet +) +xt "80150,35110,80150,35110" +tm "Actions" +) +inActions (MLText +uid 215,0 +va (VaSet +) +xt "80150,35510,88850,36710" +st "enable <= '0' ;" +tm "Actions" +) +exitActions (MLText +uid 216,0 +va (VaSet +) +xt "80500,32710,80500,32710" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 206,0 +ps "CenterOffsetStrategy" +text (MLText +uid 207,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "78400,33910,85100,35110" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*22 (InterruptPoint +uid 217,0 +shape (CompositeShape +uid 218,0 +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +uid 219,0 +sl 0 +ro 270 +xt "2960,26090,5210,27090" +) +(Line +uid 220,0 +sl 0 +ro 270 +xt "5210,26590,5710,26590" +pts [ +"5210,26590" +"5710,26590" +] +) +(CustomPolygon +pts [ +"3210,26815" +"3210,26515" +"3860,26640" +"3760,26365" +"4860,26565" +"4035,26565" +"4210,26815" +] +uid 221,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "3210,26365,4860,26815" +) +] +) +) +*23 (Link +uid 222,0 +shape (CompositeShape +uid 223,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 224,0 +sl 0 +ro 270 +xt "17910,26090,20160,27090" +) +(Line +uid 225,0 +sl 0 +ro 270 +xt "17410,26590,17910,26590" +pts [ +"17410,26590" +"17910,26590" +] +) +] +) +name (TextAssociate +uid 226,0 +ps "CenterOffsetStrategy" +text (Text +uid 227,0 +va (VaSet +font "Verdana,9,1" +) +xt "20660,26090,28860,27290" +st "reset_counter" +blo "20660,27090" +tm "LinkName" +) +) +) +*24 (Transition +uid 104,0 +shape (Spline +uid 105,0 +va (VaSet +vasetType 3 +) +xt "5500,19910,16500,20000" +pts [ +"5500,20000" +"16500,19910" +] +) +start &4 +end &5 +ss 0 +es 0 +cond "reset = '0'" +tb (TransitionBlock +uid 106,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 107,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "8150,18855,15750,21055" +) +autoResize 1 +lineShape (Line +uid 108,0 +va (VaSet +vasetType 3 +) +xt "8650,20455,15250,20455" +pts [ +"8650,20455" +"15250,20455" +] +) +condition (MLText +uid 109,0 +va (VaSet +) +xt "8650,18855,15250,20055" +st "reset = '0'" +tm "Condition" +) +actions (MLText +uid 110,0 +va (VaSet +isHidden 1 +) +xt "7650,20855,16250,22055" +st "< Automatic >" +tm "Actions" +) +) +tp (TransitionPriority +uid 111,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 112,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5537,18928,7663,21054" +radius 1063 +) +pr (Text +uid 113,0 +va (VaSet +isHidden 1 +) +xt "5900,19391,7300,20591" +st "1" +ju 0 +blo "6600,20391" +tm "TransitionPriority" +) +padding "100,100" +) +) +*25 (Transition +uid 114,0 +shape (Spline +uid 115,0 +va (VaSet +vasetType 3 +) +xt "5050,15000,16500,15000" +pts [ +"5050,15000" +"16500,15000" +] +) +start &6 +end &7 +ss 0 +es 0 +tb (TransitionBlock +uid 116,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 117,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "7625,14495,13925,15505" +) +autoResize 1 +lineShape (Line +uid 118,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "10775,16000,10775,16000" +pts [ +"10775,16000" +"10775,16000" +] +) +condition (MLText +uid 119,0 +va (VaSet +) +xt "8125,14400,13425,15600" +tm "Condition" +) +actions (MLText +uid 120,0 +va (VaSet +) +xt "10775,16000,10775,16000" +tm "Actions" +) +) +tp (TransitionPriority +uid 121,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 122,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5132,13937,7258,16063" +radius 1063 +) +pr (Text +uid 123,0 +va (VaSet +isHidden 1 +) +xt "5495,14400,6895,15600" +st "1" +ju 0 +blo "6195,15400" +tm "TransitionPriority" +) +padding "100,100" +) +) +*26 (Transition +uid 228,0 +shape (Spline +uid 229,0 +va (VaSet +vasetType 3 +) +xt "5710,26590,17410,26590" +pts [ +"5710,26590" +"17410,26590" +] +) +start &22 +end &23 +cond "countOut >= \"11111111\"" +tb (TransitionBlock +uid 230,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 231,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "2600,27620,19000,29820" +) +autoResize 1 +lineShape (Line +uid 232,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "5750,29720,5750,29720" +pts [ +"5750,29720" +"5750,29720" +] +) +condition (MLText +uid 233,0 +va (VaSet +) +xt "3100,28120,18500,29320" +st "countOut >= \"11111111\"" +tm "Condition" +) +actions (MLText +uid 234,0 +va (VaSet +) +xt "10800,29720,10800,29720" +tm "Actions" +) +) +tp (TransitionPriority +uid 235,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 236,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5817,25527,7943,27653" +radius 1063 +) +pr (Text +uid 237,0 +va (VaSet +isHidden 1 +) +xt "6180,25990,7580,27190" +st "1" +ju 0 +blo "6880,26990" +tm "TransitionPriority" +) +padding "100,100" +) +) +*27 (Transition +uid 238,0 +shape (Spline +uid 239,0 +va (VaSet +vasetType 3 +) +xt "49522,21580,58903,22176" +pts [ +"49522,22176" +"58903,21580" +] +arrow 1 +) +start &2 +end &19 +es 0 +tb (TransitionBlock +uid 240,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 241,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "53713,21973,60013,22983" +) +autoResize 1 +lineShape (Line +uid 242,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "56863,23478,56863,23478" +pts [ +"56863,23478" +"56863,23478" +] +) +condition (MLText +uid 243,0 +va (VaSet +) +xt "54213,21878,59513,23078" +tm "Condition" +) +actions (MLText +uid 244,0 +va (VaSet +) +xt "56863,23478,56863,23478" +tm "Actions" +) +) +tp (TransitionPriority +uid 245,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 246,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "49396,21053,51522,23179" +radius 1063 +) +pr (Text +uid 247,0 +va (VaSet +isHidden 1 +) +xt "49759,21516,51159,22716" +st "1" +ju 0 +blo "50459,22516" +tm "TransitionPriority" +) +padding "100,100" +) +) +*28 (Transition +uid 248,0 +shape (Spline +uid 249,0 +va (VaSet +vasetType 3 +) +xt "66866,24046,77138,30574" +pts [ +"66866,24046" +"77138,30574" +] +arrow 1 +) +start &19 +end &21 +ss 0 +es 0 +tb (TransitionBlock +uid 250,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 251,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "71502,27405,77802,28415" +) +autoResize 1 +lineShape (Line +uid 252,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "74652,28910,74652,28910" +pts [ +"74652,28910" +"74652,28910" +] +) +condition (MLText +uid 253,0 +va (VaSet +) +xt "72002,27310,77302,28510" +tm "Condition" +) +actions (MLText +uid 254,0 +va (VaSet +) +xt "74652,28910,74652,28910" +tm "Actions" +) +) +tp (TransitionPriority +uid 255,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 256,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "66830,23635,68956,25761" +radius 1063 +) +pr (Text +uid 257,0 +va (VaSet +isHidden 1 +) +xt "67193,24098,68593,25298" +st "1" +ju 0 +blo "67893,25098" +tm "TransitionPriority" +) +padding "100,100" +) +) +*29 (Transition +uid 258,0 +shape (Spline +uid 259,0 +va (VaSet +vasetType 3 +) +xt "80518,17145,80574,28726" +pts [ +"80518,28726" +"80574,17145" +] +arrow 1 +) +start &21 +end &20 +ss 0 +es 0 +tb (TransitionBlock +uid 260,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 261,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "78066,24470,84366,25480" +) +autoResize 1 +lineShape (Line +uid 262,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "81216,25975,81216,25975" +pts [ +"81216,25975" +"81216,25975" +] +) +condition (MLText +uid 263,0 +va (VaSet +) +xt "78566,24375,83866,25575" +tm "Condition" +) +actions (MLText +uid 264,0 +va (VaSet +) +xt "81216,25975,81216,25975" +tm "Actions" +) +) +tp (TransitionPriority +uid 265,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 266,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "79460,26505,81586,28631" +radius 1063 +) +pr (Text +uid 267,0 +va (VaSet +isHidden 1 +) +xt "79823,26968,81223,28168" +st "1" +ju 0 +blo "80523,27968" +tm "TransitionPriority" +) +padding "100,100" +) +) +*30 (Transition +uid 268,0 +shape (Spline +uid 269,0 +va (VaSet +vasetType 3 +) +xt "67127,15077,77330,19888" +pts [ +"77330,15077" +"67127,19888" +] +arrow 1 +) +start &20 +end &19 +ss 0 +es 0 +tb (TransitionBlock +uid 270,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 271,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "71728,17578,78028,18588" +) +autoResize 1 +lineShape (Line +uid 272,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "74878,19083,74878,19083" +pts [ +"74878,19083" +"74878,19083" +] +) +condition (MLText +uid 273,0 +va (VaSet +) +xt "72228,17483,77528,18683" +tm "Condition" +) +actions (MLText +uid 274,0 +va (VaSet +) +xt "74878,19083,74878,19083" +tm "Actions" +) +) +tp (TransitionPriority +uid 275,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 276,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "75246,14495,77372,16621" +radius 1063 +) +pr (Text +uid 277,0 +va (VaSet +isHidden 1 +) +xt "75609,14958,77009,16158" +st "1" +ju 0 +blo "76309,15958" +tm "TransitionPriority" +) +padding "100,100" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 0 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *31 (PackageList +uid 31,0 +stg "VerticalLayoutStrategy" +textVec [ +*32 (Text +uid 32,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,3000,7600,4200" +st "Package List" +blo "0,4000" +) +*33 (MLText +uid 33,0 +va (VaSet +) +xt "0,4200,17500,10200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "SmPackageListTextMgr" +) +] +) +compDirBlock (MlTextGroup +uid 34,0 +stg "VerticalLayoutStrategy" +textVec [ +*34 (Text +uid 35,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*35 (Text +uid 36,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*36 (MLText +uid 37,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "SmCompilerDirectivesTextMgr" +) +*37 (Text +uid 38,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*38 (MLText +uid 39,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "SmCompilerDirectivesTextMgr" +) +*39 (Text +uid 40,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*40 (MLText +uid 41,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "SmCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1722,1111" +viewArea "-500,-9410,134680,79780" +cachedDiagramExtent "-650,-1000,88950,47000" +hasePageBreakOrigin 1 +pageBreakOrigin "-1000,-2000" +isTopLevel 1 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +localDecl *41 (SmLocalDecl +uid 3,0 +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,-1000,42400,200" +st "Architecture Declarations" +blo "27800,0" +) +*43 (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*44 (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,200,33000,1400" +st "Pre Decls" +blo "27800,1200" +) +*45 (MLText +uid 7,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*46 (Text +uid 8,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,1400,34200,2600" +st "Post Decls" +blo "27800,2400" +) +*47 (MLText +uid 9,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,2600,27800,2600" +tm "LocalDeclTextMgr" +) +] +) +processDecl *48 (SmProcessDecl +uid 10,0 +stg "VerticalLayoutStrategy" +textVec [ +*49 (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,-1000,86600,200" +st "Process Declarations" +blo "74500,0" +) +*50 (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,200,84000,1400" +st "Clocked Process:" +blo "74500,1200" +) +*51 (MLText +uid 13,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,-1000,74500,-1000" +tm "ProcessDeclTextMgr" +) +*52 (Text +uid 14,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,1400,83800,2600" +st "Output Process:" +blo "74500,2400" +) +*53 (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,2600,74500,2600" +tm "ProcessDeclTextMgr" +) +] +associable 1 +) +defaultActions *54 (MlTextGroup +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*55 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-1000,8400,200" +st "Global Actions" +blo "0,0" +) +*56 (Text +uid 18,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,200,7400,1400" +st "Pre Actions:" +blo "0,1200" +) +*57 (MLText +uid 19,0 +va (VaSet +) +xt "0,-1000,0,-1000" +tm "Actions" +) +*58 (Text +uid 20,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,1400,8000,2600" +st "Post Actions:" +blo "0,2400" +) +*59 (MLText +uid 21,0 +va (VaSet +) +xt "0,2600,0,2600" +tm "Actions" +) +] +associable 1 +) +archConcurrentStatementBlock *60 (BiTextGroup +uid 22,0 +stg "VerticalLayoutStrategy" +first (Text +uid 23,0 +va (VaSet +font "Verdana,9,1" +) +xt "11400,-1000,24800,200" +st "Concurrent Statements" +blo "11400,0" +) +second (MLText +uid 24,0 +va (VaSet +) +xt "11400,200,11400,200" +tm "ArchConcStmtTextMgr" +) +associable 1 +) +signalsGenStatus *61 (SmSignalGenStatus +uid 28,0 +stg "VerticalLayoutStrategy" +first (Text +uid 29,0 +va (VaSet +font "Verdana,9,1" +) +xt "45400,-1000,53200,200" +st "Signal Status" +blo "45400,0" +) +second (MLText +uid 30,0 +va (VaSet +font "Courier New,8,0" +) +xt "45400,200,72900,2600" +st "SIGNAL MODE DEFAULT RESET SCHEME COMMENT +enable OUT COMB +resetSync OUT COMB +" +tm "SmSignalsGenStatusTextMgr" +) +) +stateRegBlock *62 (BiTextGroup +uid 25,0 +stg "VerticalLayoutStrategy" +first (Text +uid 26,0 +va (VaSet +font "Verdana,9,1" +) +xt "56200,-1000,71500,200" +st "State Register Statements" +blo "56200,0" +) +second (MLText +uid 27,0 +va (VaSet +) +xt "56200,200,56200,200" +tm "Actions" +) +associable 1 +) +) +genChar (SmGenChar +uid 42,0 +nextStateClocking 0 +) +encoding (Encoding +scheme 3 +encodingStyles [ +(pair +scheme 0 +style 0 +) +(pair +scheme 1 +style 1 +) +(pair +scheme 2 +style 0 +) +(pair +scheme 3 +style 0 +) +(pair +scheme 4 +style 0 +) +(pair +scheme 5 +style 0 +) +] +otherValues [ +(pair +scheme 0 +otherValue "" +) +(pair +scheme 1 +otherValue "" +) +(pair +scheme 2 +otherValue "" +) +(pair +scheme 3 +otherValue "" +) +(pair +scheme 4 +otherValue "" +) +(pair +scheme 5 +otherValue "" +) +] +attribute 0 +synSafe 0 +outputEncodedLocals 0 +useVerilogParameterRange 0 +radix 2 +) +stateOrder [ +&2 +&19 +&20 +&21 +] +name "csm" +) +] +lastUid 277,0 +commonDM (CommonDM +ldm (LogicalDM +emptyRow *63 (LEmptyRow +) +optionalChildren [ +*64 (RefLabelRowHdr +) +*65 (TitleRowHdr +) +*66 (FilterRowHdr +) +*67 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*68 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*69 (GroupColHdr +tm "GroupColHdrMgr" +) +*70 (NameColHdr +tm "SmNameColHdrMgr" +) +*71 (ModeColHdr +tm "SmModeColHdrMgr" +) +*72 (TypeColHdr +tm "SmTypeColHdrMgr" +) +*73 (BoundsColHdr +tm "SmBoundsColHdrMgr" +) +*74 (InitColHdr +tm "SmInitColHdrMgr" +) +*75 (ColumnHdr +tm "SmCategoryColHdrMgr" +) +*76 (ColumnHdr +tm "SmAssignColHdrMgr" +) +*77 (ColumnHdr +tm "SmExprColHdrMgr" +) +*78 (ColumnHdr +tm "SmSchemeColHdrMgr" +) +*79 (ColumnHdr +tm "SmDefValColHdrMgr" +) +*80 (ColumnHdr +tm "SmRstValColHdrMgr" +) +*81 (EolColHdr +tm "SmEolColHdrMgr" +) +*82 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +) +) +uid 156,0 +cat 1 +expr "clock'EVENT AND clock = '1'" +) +*83 (LeafLogPort +port (LogicalPort +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +) +) +uid 158,0 +) +*84 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +) +) +uid 160,0 +cat 8 +expr "reset = '0'" +) +*85 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "enable" +t "std_ulogic" +o 4 +) +) +uid 162,0 +scheme 0 +) +*86 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "resetSync" +t "std_ulogic" +o 5 +) +) +uid 164,0 +scheme 0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*87 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *88 (MRCItem +litem &63 +pos 5 +dimension 20 +) +optionalChildren [ +*89 (MRCItem +litem &64 +pos 0 +dimension 20 +) +*90 (MRCItem +litem &65 +pos 1 +dimension 23 +) +*91 (MRCItem +litem &66 +pos 2 +hidden 1 +dimension 20 +) +*92 (MRCItem +litem &82 +pos 0 +dimension 20 +uid 157,0 +) +*93 (MRCItem +litem &83 +pos 1 +dimension 20 +uid 159,0 +) +*94 (MRCItem +litem &84 +pos 2 +dimension 20 +uid 161,0 +) +*95 (MRCItem +litem &85 +pos 3 +dimension 20 +uid 163,0 +) +*96 (MRCItem +litem &86 +pos 4 +dimension 20 +uid 165,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +optionalChildren [ +*97 (MRCItem +litem &67 +pos 0 +dimension 20 +) +*98 (MRCItem +litem &69 +pos 1 +dimension 50 +) +*99 (MRCItem +litem &70 +pos 2 +dimension 70 +) +*100 (MRCItem +litem &71 +pos 3 +dimension 50 +) +*101 (MRCItem +litem &72 +pos 4 +dimension 80 +) +*102 (MRCItem +litem &73 +pos 5 +dimension 80 +) +*103 (MRCItem +litem &74 +pos 6 +dimension 40 +) +*104 (MRCItem +litem &75 +pos 7 +dimension 100 +) +*105 (MRCItem +litem &76 +pos 8 +dimension 60 +) +*106 (MRCItem +litem &77 +pos 9 +dimension 130 +) +*107 (MRCItem +litem &78 +pos 10 +dimension 56 +) +*108 (MRCItem +litem &79 +pos 11 +dimension 50 +) +*109 (MRCItem +litem &80 +pos 12 +dimension 50 +) +*110 (MRCItem +litem &81 +pos 13 +dimension 80 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +) +cdmCsm &1 +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *111 (LEmptyRow +) +optionalChildren [ +*112 (RefLabelRowHdr +) +*113 (TitleRowHdr +) +*114 (FilterRowHdr +) +*115 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*116 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*117 (GroupColHdr +tm "GroupColHdrMgr" +) +*118 (NameColHdr +tm "GenericNameColHdrMgr" +) +*119 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*120 (InitColHdr +tm "GenericValueColHdrMgr" +) +*121 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*122 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*123 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *124 (MRCItem +litem &111 +pos 0 +dimension 20 +) +optionalChildren [ +*125 (MRCItem +litem &112 +pos 0 +dimension 20 +) +*126 (MRCItem +litem &113 +pos 1 +dimension 23 +) +*127 (MRCItem +litem &114 +pos 2 +hidden 1 +dimension 20 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +optionalChildren [ +*128 (MRCItem +litem &115 +pos 0 +dimension 20 +) +*129 (MRCItem +litem &117 +pos 1 +dimension 50 +) +*130 (MRCItem +litem &118 +pos 2 +dimension 100 +) +*131 (MRCItem +litem &119 +pos 3 +dimension 100 +) +*132 (MRCItem +litem &120 +pos 4 +dimension 50 +) +*133 (MRCItem +litem &121 +pos 5 +dimension 50 +) +*134 (MRCItem +litem &122 +pos 6 +dimension 80 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +type 1 +) +signalSuffix "_int" +clockSuffix "_cld" +defaultState (State +shape (Circle +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-3551,-3551,3551,3551" +radius 3551 +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3900,3300,4100,3500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +entryActions (MLText +va (VaSet +) +tm "Actions" +) +inActions (MLText +va (VaSet +) +tm "Actions" +) +exitActions (MLText +va (VaSet +) +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultWaitState (State +shape (CircleInOctagon +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +xt "-529,-529,6529,6529" +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8900,6300,9100,6500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +entryActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +inActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +exitActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +isWait 1 +) +defaultCompositeState (CompositeState +shape (TripleCircle +va (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "Verdana,10,1" +) +xt "-1000,-600,1000,600" +st "s0" +ju 0 +blo "0,400" +tm "ONodeName" +) +childDiagram &0 +) +defaultJunction (Junction +shape (Diamond +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "-1300,-1300,2300,2300" +) +symbol (Text +va (VaSet +font "Verdana,10,1" +) +xt "-300,-100,1300,1100" +st "&" +ju 0 +blo "500,900" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2000,1000,2000,1000" +blo "2000,1000" +tm "JunctionName" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2550,2000,4150,3200" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultEntryPoint (EntryPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +] +) +) +defaultInterruptPoint (InterruptPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +(CustomPolygon +pts [ +"-625,1600" +"-625,1300" +"25,1425" +"-75,1150" +"1025,1350" +"200,1350" +"375,1600" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "-625,1150,1025,1600" +) +] +) +) +defaultLink (Link +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2375,875,5075,2075" +st "Link" +blo "2375,1875" +tm "LinkName" +) +) +) +defaultExitPoint (ExitPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +) +defaultTransition (Transition +shape (Spline +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +arrow 1 +) +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-500,-500,5800,1700" +) +autoResize 1 +lineShape (Line +va (VaSet +vasetType 3 +isHidden 1 +) +xt "2650,1600,2650,1600" +pts [ +"2650,1600" +"2650,1600" +] +) +condition (MLText +va (VaSet +) +xt "0,0,5300,1200" +st "condition" +tm "Condition" +) +actions (MLText +va (VaSet +) +xt "2650,2000,2650,2000" +tm "Actions" +) +) +tp (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-1063,-1063,1063,1063" +radius 1063 +) +pr (Text +va (VaSet +) +xt "-700,-600,700,600" +st "1" +ju 0 +blo "0,400" +tm "TransitionPriority" +) +padding "100,100" +) +) +defaultClk (SmClockPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"275,1425" +"574,1425" +"574,825" +"874,825" +] +) +(Arc2D +pts [ +"-116,1278" +"-371,972" +"-116,972" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-371,972,-116,1278" +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-3325,625,-1125,1825" +st "clk" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultEnable (SmEnablePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Arc2D +pts [ +"-130,1263" +"-415,1064" +"-76,1064" +] +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-415,1064,-76,1263" +) +(Line +sl 0 +ro 270 +xt "-415,1064,-106,1064" +pts [ +"-415,1064" +"-106,1064" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-5125,625,-1125,1825" +st "enable" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultRst (SmResetPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-276,1000" +pts [ +"-376,1000" +"-276,950" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-376,1300" +pts [ +"-376,1300" +"-376,950" +] +) +(Circle +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "424,975,724,1275" +radius 150 +) +] +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-625,-1175,2675,225" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "-525,-1075,2575,125" +st "cond" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "1625,62,3751,2188" +radius 1063 +) +pr (Text +va (VaSet +) +xt "1988,525,3388,1725" +st "1" +ju 0 +blo "2688,1525" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-2725,525,-625,1725" +st "rst" +ju 2 +blo "-625,1525" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +) +xt "4750,2625,13350,3825" +st "< Automatic >" +tm "Actions" +) +) +) +defaultRecStatePt (SmRecoveryStatePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +sl 0 +xt "-900,-900,900,900" +radius 900 +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"-426,426" +"426,-426" +] +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"426,426" +"-426,-426" +] +) +] +) +) +activeModelName "StateMachine" +LanguageMgr "Vhdl2008LangMgr" +) diff --git a/Cursor/hds/@counter_@controller/interface b/Cursor/hds/@counter_@controller/interface new file mode 100644 index 0000000..4cd87b0 --- /dev/null +++ b/Cursor/hds/@counter_@controller/interface @@ -0,0 +1,1581 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 15,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 88,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 11,0 +) +) +uid 341,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "countOut" +t "std_ulogic_vector" +b "(7 DOWNTO 0)" +o 12 +suid 12,0 +) +) +uid 343,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "enable" +t "std_ulogic" +o 10 +suid 13,0 +) +) +uid 345,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 14,0 +) +) +uid 347,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "resetSync" +t "std_ulogic" +o 11 +suid 15,0 +) +) +uid 349,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 101,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 103,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 104,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 105,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 106,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 342,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 344,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 346,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 348,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 350,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 107,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 108,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 109,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 110,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 111,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 112,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 113,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 114,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 102,0 +vaOverrides [ +] +) +] +) +uid 87,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 117,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 129,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *50 (MRCItem +litem &37 +pos 3 +dimension 20 +) +uid 131,0 +optionalChildren [ +*51 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 132,0 +) +*52 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 133,0 +) +*53 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*54 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 136,0 +) +*55 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 137,0 +) +*56 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 138,0 +) +*57 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 139,0 +) +*58 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 140,0 +) +*59 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 141,0 +) +*60 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 142,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 116,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@counter_@controller\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@counter_@controller\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@counter_@controller" +) +(vvPair +variable "d_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Counter_Controller" +) +(vvPair +variable "date" +value "17.12.2021" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "17" +) +(vvPair +variable "entity_name" +value "Counter_Controller" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "Simon" +) +(vvPair +variable "graphical_source_date" +value "17.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "PC-SDM" +) +(vvPair +variable "graphical_source_time" +value "09:37:40" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "PC-SDM" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "Counter_Controller" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@counter_@controller\\interface" +) +(vvPair +variable "p_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Counter_Controller\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "09:37:40" +) +(vvPair +variable "unit" +value "Counter_Controller" +) +(vvPair +variable "user" +value "Simon" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 316,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 317,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,8625,15000,9375" +) +tg (CPTG +uid 318,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 319,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,8300,19800,9700" +st "clock" +blo "16000,9500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 320,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,61500,3200" +st "clock : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 11,0 +) +) +) +*63 (CptPort +uid 321,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 322,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28000,10625,28750,11375" +) +tg (CPTG +uid 323,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 324,0 +va (VaSet +font "Verdana,12,0" +) +xt "20400,10300,27000,11700" +st "countOut" +ju 2 +blo "27000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 325,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,71500,4000" +st "countOut : IN std_ulogic_vector (7 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "countOut" +t "std_ulogic_vector" +b "(7 DOWNTO 0)" +o 12 +suid 12,0 +) +) +) +*64 (CptPort +uid 326,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 327,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,10625,15000,11375" +) +tg (CPTG +uid 328,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 329,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,10300,21100,11700" +st "enable" +blo "16000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 330,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,61500,5600" +st "enable : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "enable" +t "std_ulogic" +o 10 +suid 13,0 +) +) +) +*65 (CptPort +uid 331,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 332,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,6625,15000,7375" +) +tg (CPTG +uid 333,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 334,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,6300,20100,7700" +st "reset" +blo "16000,7500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 335,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,61500,4800" +st "reset : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 14,0 +) +) +) +*66 (CptPort +uid 336,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 337,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,13625,15000,14375" +) +tg (CPTG +uid 338,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 339,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,13300,23000,14700" +st "resetSync" +blo "16000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 340,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,60500,6400" +st "resetSync : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "resetSync" +t "std_ulogic" +o 11 +suid 15,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,28000,16000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "16200,9800,19900,11000" +st "Cursor" +blo "16200,10800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "16200,11000,26800,12200" +st "Counter_Controller" +blo "16200,12000" +) +) +gi *67 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "-8000,7000,3500,7800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*68 (Grouping +uid 16,0 +optionalChildren [ +*69 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,46000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,49600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *79 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*81 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor" +entityName "Driver" +viewName "drivert.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *82 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *83 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,6400,45200,7600" +st "User:" +blo "42000,7400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7600,44000,7600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 350,0 +activeModelName "Symbol:CDM" +) diff --git a/Cursor/hds/@driver/drivert.bd b/Cursor/hds/@driver/drivert.bd index a07e3b8..7322d01 100644 --- a/Cursor/hds/@driver/drivert.bd +++ b/Cursor/hds/@driver/drivert.bd @@ -18,34 +18,6 @@ unitName "gates" ] instances [ (Instance -name "U_1" -duLibraryName "sequential" -duName "counterUpDownEnable" -elements [ -(GiElement -name "delay" -type "time" -value "gateDelay" -) -(GiElement -name "bitNb" -type "positive" -value "8" -) -] -mwi 0 -uid 329,0 -) -(Instance -name "U_2" -duLibraryName "gates" -duName "logic1" -elements [ -] -mwi 0 -uid 343,0 -) -(Instance name "U_3" duLibraryName "Cursor" duName "Motor_side" @@ -57,7 +29,7 @@ uid 376,0 (Instance name "U_0" duLibraryName "Cursor" -duName "Triangle_Controller" +duName "Counter_Controller" elements [ ] mwi 0 @@ -72,6 +44,34 @@ elements [ mwi 0 uid 548,0 ) +(Instance +name "U_1" +duLibraryName "sequential" +duName "counterEnableResetSync" +elements [ +(GiElement +name "bitNb" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 744,0 +) +(Instance +name "U_2" +duLibraryName "gates" +duName "logic1" +elements [ +] +mwi 0 +uid 840,0 +) ] libraryRefs [ "ieee" @@ -130,19 +130,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\D ) (vvPair variable "date" -value "14.12.2021" +value "17.12.2021" ) (vvPair variable "day" -value "mar." +value "ven." ) (vvPair variable "day_long" -value "mardi" +value "vendredi" ) (vvPair variable "dd" -value "14" +value "17" ) (vvPair variable "entity_name" @@ -170,7 +170,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "17.12.2021" ) (vvPair variable "graphical_source_group" @@ -182,7 +182,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "16:07:46" +value "09:37:40" ) (vvPair variable "group" @@ -254,7 +254,7 @@ value "drivert" ) (vvPair variable "time" -value "16:07:46" +value "09:37:40" ) (vvPair variable "unit" @@ -362,16 +362,16 @@ optionalChildren [ uid 25,0 sl 0 ro 270 -xt "93500,17625,95000,18375" +xt "97500,20625,99000,21375" ) (Line uid 26,0 sl 0 ro 270 -xt "93000,18000,93500,18000" +xt "97000,21000,97500,21000" pts [ -"93000,18000" -"93500,18000" +"97000,21000" +"97500,21000" ] ) ] @@ -386,9 +386,9 @@ f (Text uid 28,0 va (VaSet ) -xt "96000,17400,101100,18600" +xt "100000,20400,105100,21600" st "motorOn" -blo "96000,18400" +blo "100000,21400" tm "WireNameMgr" ) ) @@ -462,7 +462,7 @@ uid 49,0 lang 11 decl (Decl n "Power" -t "unsigned" +t "std_ulogic_vector" b "(7 DOWNTO 0)" o 1 suid 3,0 @@ -1086,469 +1086,7 @@ xt "25000,44000,66000,49000" ) oxt "14000,66000,55000,71000" ) -*26 (SaComponent -uid 329,0 -optionalChildren [ -*27 (CptPort -uid 305,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 306,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "19250,36625,20000,37375" -) -tg (CPTG -uid 307,0 -ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 308,0 -va (VaSet -font "Verdana,12,0" -) -xt "21000,36300,24800,37700" -st "clock" -blo "21000,37500" -) -) -thePort (LogicalPort -decl (Decl -n "clock" -t "std_uLogic" -o 1 -suid 1,0 -) -) -) -*28 (CptPort -uid 309,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 310,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "36000,32625,36750,33375" -) -tg (CPTG -uid 311,0 -ps "CptPortTextPlaceStrategy" -stg "RightVerticalLayoutStrategy" -f (Text -uid 312,0 -va (VaSet -font "Verdana,12,0" -) -xt "28400,32300,35000,33700" -st "countOut" -ju 2 -blo "35000,33500" -) -) -thePort (LogicalPort -m 1 -decl (Decl -n "countOut" -t "unsigned" -b "(bitNb-1 DOWNTO 0)" -o 6 -suid 2,0 -) -) -) -*29 (CptPort -uid 313,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 314,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "19250,38625,20000,39375" -) -tg (CPTG -uid 315,0 -ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 316,0 -va (VaSet -font "Verdana,12,0" -) -xt "21000,38300,25100,39700" -st "reset" -blo "21000,39500" -) -) -thePort (LogicalPort -decl (Decl -n "reset" -t "std_uLogic" -o 4 -suid 3,0 -) -) -) -*30 (CptPort -uid 317,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 318,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "19250,30625,20000,31375" -) -tg (CPTG -uid 319,0 -ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 320,0 -va (VaSet -font "Verdana,12,0" -) -xt "21000,30300,25300,31700" -st "down" -blo "21000,31500" -) -) -thePort (LogicalPort -decl (Decl -n "down" -t "std_uLogic" -o 2 -suid 4,0 -) -) -) -*31 (CptPort -uid 321,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 322,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "19250,28625,20000,29375" -) -tg (CPTG -uid 323,0 -ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 324,0 -va (VaSet -font "Verdana,12,0" -) -xt "21000,28300,23400,29700" -st "up" -blo "21000,29500" -) -) -thePort (LogicalPort -decl (Decl -n "up" -t "std_uLogic" -o 5 -suid 5,0 -) -) -) -*32 (CptPort -uid 325,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 326,0 -ro 90 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "19250,34625,20000,35375" -) -tg (CPTG -uid 327,0 -ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 328,0 -va (VaSet -font "Verdana,12,0" -) -xt "21000,34300,26100,35700" -st "enable" -blo "21000,35500" -) -) -thePort (LogicalPort -decl (Decl -n "enable" -t "std_uLogic" -o 3 -suid 6,0 -) -) -) -] -shape (Rectangle -uid 330,0 -va (VaSet -vasetType 1 -fg "0,65535,0" -lineColor "0,32896,0" -lineWidth 2 -) -xt "20000,25000,36000,41000" -) -oxt "26000,0,42000,16000" -ttg (MlTextGroup -uid 331,0 -ps "CenterOffsetStrategy" -stg "VerticalLayoutStrategy" -textVec [ -*33 (Text -uid 332,0 -va (VaSet -) -xt "20300,41400,26900,42600" -st "sequential" -blo "20300,42400" -tm "BdLibraryNameMgr" -) -*34 (Text -uid 333,0 -va (VaSet -) -xt "20300,42600,33000,43800" -st "counterUpDownEnable" -blo "20300,43600" -tm "CptNameMgr" -) -*35 (Text -uid 334,0 -va (VaSet -) -xt "20300,43800,23100,45000" -st "U_1" -blo "20300,44800" -tm "InstanceNameMgr" -) -] -) -ga (GenericAssociation -uid 335,0 -ps "EdgeToEdgeStrategy" -matrix (Matrix -uid 336,0 -text (MLText -uid 337,0 -va (VaSet -) -xt "20000,45400,37800,47800" -st "delay = gateDelay ( time ) -bitNb = 8 ( positive ) " -) -header "" -) -elements [ -(GiElement -name "delay" -type "time" -value "gateDelay" -) -(GiElement -name "bitNb" -type "positive" -value "8" -) -] -) -viewicon (ZoomableIcon -uid 338,0 -sl 0 -va (VaSet -vasetType 1 -fg "49152,49152,49152" -) -xt "20250,39250,21750,40750" -iconName "VhdlFileViewIcon.png" -iconMaskName "VhdlFileViewIcon.msk" -ftype 10 -) -viewiconposition 0 -portVis (PortSigDisplay -sTC 0 -sT 1 -sIVOD 1 -) -archFileType "UNKNOWN" -) -*36 (SaComponent -uid 343,0 -optionalChildren [ -*37 (CptPort -uid 339,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 340,0 -ro 180 -va (VaSet -vasetType 1 -isHidden 1 -fg "0,65535,0" -) -xt "3625,32000,4375,32750" -) -tg (CPTG -uid 341,0 -ps "CptPortTextPlaceStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 342,0 -va (VaSet -isHidden 1 -) -xt "5000,31000,9400,32200" -st "logic_1" -blo "5000,32000" -) -s (Text -uid 353,0 -va (VaSet -) -xt "5000,32200,5000,32200" -blo "5000,32200" -) -) -thePort (LogicalPort -m 1 -decl (Decl -n "logic_1" -t "std_uLogic" -o 1 -suid 2,0 -) -) -) -] -shape (Pu -uid 344,0 -va (VaSet -vasetType 1 -fg "0,65535,0" -bg "0,65535,0" -lineColor "0,32896,0" -lineWidth 2 -) -xt "1000,26000,6000,32000" -) -showPorts 0 -oxt "34000,15000,39000,21000" -ttg (MlTextGroup -uid 345,0 -ps "CenterOffsetStrategy" -stg "VerticalLayoutStrategy" -textVec [ -*38 (Text -uid 346,0 -va (VaSet -font "Verdana,8,1" -) -xt "910,29700,4010,30700" -st "gates" -blo "910,30500" -tm "BdLibraryNameMgr" -) -*39 (Text -uid 347,0 -va (VaSet -font "Verdana,8,1" -) -xt "910,30700,4410,31700" -st "logic1" -blo "910,31500" -tm "CptNameMgr" -) -*40 (Text -uid 348,0 -va (VaSet -font "Verdana,8,1" -) -xt "910,31700,3410,32700" -st "U_2" -blo "910,32500" -tm "InstanceNameMgr" -) -] -) -ga (GenericAssociation -uid 349,0 -ps "EdgeToEdgeStrategy" -matrix (Matrix -uid 350,0 -text (MLText -uid 351,0 -va (VaSet -font "Verdana,8,0" -) -xt "1000,34600,1000,34600" -) -header "" -) -elements [ -] -) -viewicon (ZoomableIcon -uid 352,0 -sl 0 -va (VaSet -vasetType 1 -fg "49152,49152,49152" -) -xt "1250,30250,2750,31750" -iconName "VhdlFileViewIcon.png" -iconMaskName "VhdlFileViewIcon.msk" -ftype 10 -) -viewiconposition 0 -portVis (PortSigDisplay -disp 1 -sN 0 -sTC 0 -sT 1 -) -archFileType "UNKNOWN" -) -*41 (Net -uid 354,0 -decl (Decl -n "logic_1" -t "std_uLogic" -o 8 -suid 8,0 -) -declText (MLText -uid 355,0 -va (VaSet -isHidden 1 -font "Courier New,8,0" -) -) -) -*42 (Blk +*26 (Blk uid 376,0 shape (Rectangle uid 377,0 @@ -1566,7 +1104,7 @@ uid 378,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*43 (Text +*27 (Text uid 379,0 va (VaSet font "Verdana,9,1" @@ -1576,7 +1114,7 @@ st "Cursor" blo "71600,38200" tm "BdLibraryNameMgr" ) -*44 (Text +*28 (Text uid 380,0 va (VaSet font "Verdana,9,1" @@ -1586,7 +1124,7 @@ st "Motor_side" blo "71600,39400" tm "BlkNameMgr" ) -*45 (Text +*29 (Text uid 381,0 va (VaSet font "Verdana,9,1" @@ -1623,12 +1161,13 @@ vasetType 1 fg "49152,49152,49152" ) xt "70250,42250,71750,43750" -iconName "UnknownFile.png" -iconMaskName "UnknownFile.msk" +iconName "StateMachineViewIcon.png" +iconMaskName "StateMachineViewIcon.msk" +ftype 3 ) viewiconposition 0 ) -*46 (Net +*30 (Net uid 414,0 lang 11 decl (Decl @@ -1645,7 +1184,7 @@ font "Courier New,8,0" ) ) ) -*47 (Blk +*31 (Blk uid 426,0 shape (Rectangle uid 427,0 @@ -1655,7 +1194,7 @@ fg "39936,56832,65280" lineColor "0,0,32768" lineWidth 2 ) -xt "24000,12000,32000,22000" +xt "24000,12000,37000,22000" ) oxt "0,0,8000,10000" ttg (MlTextGroup @@ -1663,7 +1202,7 @@ uid 428,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*48 (Text +*32 (Text uid 429,0 va (VaSet font "Verdana,9,1" @@ -1673,17 +1212,17 @@ st "Cursor" blo "25600,16200" tm "BdLibraryNameMgr" ) -*49 (Text +*33 (Text uid 430,0 va (VaSet font "Verdana,9,1" ) -xt "25600,16400,36300,17600" -st "Triangle_Controller" +xt "25600,16400,36200,17600" +st "Counter_Controller" blo "25600,17400" tm "BlkNameMgr" ) -*50 (Text +*34 (Text uid 431,0 va (VaSet font "Verdana,9,1" @@ -1720,44 +1259,13 @@ vasetType 1 fg "49152,49152,49152" ) xt "24250,20250,25750,21750" -iconName "UnknownFile.png" -iconMaskName "UnknownFile.msk" +iconName "StateMachineViewIcon.png" +iconMaskName "StateMachineViewIcon.msk" +ftype 3 ) viewiconposition 0 ) -*51 (Net -uid 444,0 -decl (Decl -n "down" -t "std_uLogic" -o 11 -suid 15,0 -) -declText (MLText -uid 445,0 -va (VaSet -isHidden 1 -font "Courier New,8,0" -) -) -) -*52 (Net -uid 452,0 -decl (Decl -n "up" -t "std_uLogic" -o 12 -suid 16,0 -) -declText (MLText -uid 453,0 -va (VaSet -isHidden 1 -font "Courier New,8,0" -) -) -) -*53 (Blk +*35 (Blk uid 548,0 shape (Rectangle uid 549,0 @@ -1775,7 +1283,7 @@ uid 550,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*54 (Text +*36 (Text uid 551,0 va (VaSet font "Verdana,9,1" @@ -1785,7 +1293,7 @@ st "Cursor" blo "49600,18200" tm "BdLibraryNameMgr" ) -*55 (Text +*37 (Text uid 552,0 va (VaSet font "Verdana,9,1" @@ -1795,7 +1303,7 @@ st "PWM" blo "49600,19400" tm "BlkNameMgr" ) -*56 (Text +*38 (Text uid 553,0 va (VaSet font "Verdana,9,1" @@ -1832,17 +1340,18 @@ vasetType 1 fg "49152,49152,49152" ) xt "48250,22250,49750,23750" -iconName "UnknownFile.png" -iconMaskName "UnknownFile.msk" +iconName "StateMachineViewIcon.png" +iconMaskName "StateMachineViewIcon.msk" +ftype 3 ) viewiconposition 0 ) -*57 (Net +*39 (Net uid 574,0 decl (Decl n "countOut" -t "unsigned" -b "(bitNb-1 DOWNTO 0)" +t "std_ulogic_vector" +b "(7 DOWNTO 0)" o 12 suid 19,0 ) @@ -1854,10 +1363,452 @@ font "Courier New,8,0" ) ) ) -*58 (Wire +*40 (SaComponent +uid 744,0 +optionalChildren [ +*41 (CptPort +uid 724,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 725,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "21250,36625,22000,37375" +) +tg (CPTG +uid 726,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 727,0 +va (VaSet +font "Verdana,12,0" +) +xt "23000,36300,26800,37700" +st "clock" +blo "23000,37500" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*42 (CptPort +uid 728,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 729,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38000,32625,38750,33375" +) +tg (CPTG +uid 730,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 731,0 +va (VaSet +font "Verdana,12,0" +) +xt "30400,32300,37000,33700" +st "countOut" +ju 2 +blo "37000,33500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*43 (CptPort +uid 732,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 733,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "21250,38625,22000,39375" +) +tg (CPTG +uid 734,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 735,0 +va (VaSet +font "Verdana,12,0" +) +xt "23000,38300,27100,39700" +st "reset" +blo "23000,39500" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*44 (CptPort +uid 736,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 737,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "21250,32625,22000,33375" +) +tg (CPTG +uid 738,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 739,0 +va (VaSet +font "Verdana,12,0" +) +xt "23000,32300,28100,33700" +st "enable" +blo "23000,33500" +) +) +thePort (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*45 (CptPort +uid 740,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 741,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "21250,30625,22000,31375" +) +tg (CPTG +uid 742,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 743,0 +va (VaSet +font "Verdana,12,0" +) +xt "23000,30300,30000,31700" +st "resetSync" +blo "23000,31500" +) +) +thePort (LogicalPort +decl (Decl +n "resetSync" +t "std_ulogic" +o 5 +suid 2005,0 +) +) +) +] +shape (Rectangle +uid 745,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "22000,27000,38000,41000" +) +oxt "30000,9000,46000,23000" +ttg (MlTextGroup +uid 746,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*46 (Text +uid 747,0 +va (VaSet +) +xt "22300,41400,28900,42600" +st "sequential" +blo "22300,42400" +tm "BdLibraryNameMgr" +) +*47 (Text +uid 748,0 +va (VaSet +) +xt "22300,42600,37000,43800" +st "counterEnableResetSync" +blo "22300,43600" +tm "CptNameMgr" +) +*48 (Text +uid 749,0 +va (VaSet +) +xt "22300,43800,25100,45000" +st "U_1" +blo "22300,44800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 750,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 751,0 +text (MLText +uid 752,0 +va (VaSet +) +xt "22000,45400,39800,47800" +st "bitNb = 8 ( positive ) +delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +viewicon (ZoomableIcon +uid 753,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "22250,39250,23750,40750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*49 (Net +uid 764,0 +decl (Decl +n "enable" +t "std_ulogic" +o 10 +suid 25,0 +) +declText (MLText +uid 765,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +) +) +*50 (Net +uid 772,0 +decl (Decl +n "resetSync" +t "std_ulogic" +o 11 +suid 26,0 +) +declText (MLText +uid 773,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +) +) +*51 (SaComponent +uid 840,0 +optionalChildren [ +*52 (CptPort +uid 836,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 837,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "82625,13000,83375,13750" +) +tg (CPTG +uid 838,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 839,0 +va (VaSet +isHidden 1 +) +xt "84000,12000,88400,13200" +st "logic_1" +blo "84000,13000" +) +s (Text +uid 850,0 +va (VaSet +) +xt "84000,13200,84000,13200" +blo "84000,13200" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "logic_1" +t "std_uLogic" +o 1 +suid 2,0 +) +) +) +] +shape (Pu +uid 841,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "80000,7000,85000,13000" +) +showPorts 0 +oxt "34000,15000,39000,21000" +ttg (MlTextGroup +uid 842,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*53 (Text +uid 843,0 +va (VaSet +font "Verdana,8,1" +) +xt "79910,10700,83010,11700" +st "gates" +blo "79910,11500" +tm "BdLibraryNameMgr" +) +*54 (Text +uid 844,0 +va (VaSet +font "Verdana,8,1" +) +xt "79910,11700,83410,12700" +st "logic1" +blo "79910,12500" +tm "CptNameMgr" +) +*55 (Text +uid 845,0 +va (VaSet +font "Verdana,8,1" +) +xt "79910,12700,82410,13700" +st "U_2" +blo "79910,13500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 846,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 847,0 +text (MLText +uid 848,0 +va (VaSet +font "Verdana,8,0" +) +xt "80000,15600,80000,15600" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 849,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "80250,11250,81750,12750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*56 (Wire uid 15,0 optionalChildren [ -*59 (BdJunction +*57 (BdJunction uid 564,0 ps "OnConnectorStrategy" shape (Circle @@ -1875,14 +1826,14 @@ uid 16,0 va (VaSet vasetType 3 ) -xt "5000,37000,19250,37000" +xt "5000,37000,21250,37000" pts [ "5000,37000" -"19250,37000" +"21250,37000" ] ) start &1 -end &27 +end &41 es 0 sat 32 eat 32 @@ -1906,23 +1857,24 @@ tm "WireNameMgr" ) on &2 ) -*60 (Wire +*58 (Wire uid 29,0 shape (OrthoPolyLine uid 30,0 va (VaSet vasetType 3 ) -xt "56000,18000,93000,18000" +xt "83000,13000,97000,21000" pts [ -"93000,18000" -"56000,18000" +"97000,21000" +"83000,21000" +"83000,13000" ] ) start &3 -end &53 +end &52 sat 32 -eat 2 +eat 32 stc 0 st 0 sf 1 @@ -1936,16 +1888,30 @@ uid 34,0 va (VaSet isHidden 1 ) -xt "92000,16800,97100,18000" +xt "96000,19800,101100,21000" st "motorOn" -blo "92000,17800" +blo "96000,20800" tm "WireNameMgr" ) ) on &4 ) -*61 (Wire +*59 (Wire uid 43,0 +optionalChildren [ +*60 (BdJunction +uid 857,0 +ps "OnConnectorStrategy" +shape (Circle +uid 858,0 +va (VaSet +vasetType 1 +) +xt "44600,7600,45400,8400" +radius 400 +) +) +] shape (OrthoPolyLine uid 44,0 va (VaSet @@ -1961,7 +1927,7 @@ pts [ ] ) start &5 -end &53 +end &35 sat 32 eat 1 sty 1 @@ -1978,18 +1944,18 @@ uid 48,0 va (VaSet isHidden 1 ) -xt "30000,5800,38000,7000" -st "Power : (7:0)" +xt "30000,5800,33700,7000" +st "Power" blo "30000,6800" tm "WireNameMgr" ) ) on &6 ) -*62 (Wire +*61 (Wire uid 57,0 optionalChildren [ -*63 (BdJunction +*62 (BdJunction uid 572,0 ps "OnConnectorStrategy" shape (Circle @@ -2007,14 +1973,14 @@ uid 58,0 va (VaSet vasetType 3 ) -xt "5000,39000,19250,39000" +xt "5000,39000,21250,39000" pts [ "5000,39000" -"19250,39000" +"21250,39000" ] ) start &7 -end &29 +end &43 es 0 sat 32 eat 32 @@ -2038,7 +2004,7 @@ tm "WireNameMgr" ) on &8 ) -*64 (Wire +*63 (Wire uid 71,0 shape (OrthoPolyLine uid 72,0 @@ -2052,7 +2018,7 @@ pts [ ] ) start &9 -end &42 +end &26 sat 32 eat 2 st 0 @@ -2075,7 +2041,7 @@ tm "WireNameMgr" ) on &10 ) -*65 (Wire +*64 (Wire uid 85,0 shape (OrthoPolyLine uid 86,0 @@ -2089,7 +2055,7 @@ pts [ ] ) start &11 -end &42 +end &26 sat 32 eat 2 st 0 @@ -2112,7 +2078,7 @@ tm "WireNameMgr" ) on &12 ) -*66 (Wire +*65 (Wire uid 99,0 shape (OrthoPolyLine uid 100,0 @@ -2126,7 +2092,7 @@ pts [ ] ) start &13 -end &42 +end &26 sat 32 eat 1 st 0 @@ -2149,55 +2115,7 @@ tm "WireNameMgr" ) on &14 ) -*67 (Wire -uid 356,0 -shape (OrthoPolyLine -uid 357,0 -va (VaSet -vasetType 3 -) -xt "4000,32000,19250,35000" -pts [ -"4000,32000" -"4000,35000" -"19250,35000" -] -) -start &37 -end &32 -sat 32 -eat 32 -stc 0 -sf 1 -si 0 -tg (WTG -uid 358,0 -ps "ConnStartEndStrategy" -stg "VerticalLayoutStrategy" -f (Text -uid 359,0 -ro 270 -va (VaSet -isHidden 1 -) -xt "2800,29600,4000,34000" -st "logic_1" -blo "3800,34000" -tm "WireNameMgr" -) -s (Text -ro 270 -va (VaSet -isHidden 1 -) -xt "4000,34000,4000,34000" -blo "4000,34000" -tm "SignalTypeMgr" -) -) -on &41 -) -*68 (Wire +*66 (Wire uid 406,0 shape (OrthoPolyLine uid 407,0 @@ -2212,8 +2130,8 @@ pts [ "70000,36000" ] ) -start &53 -end &42 +start &35 +end &26 sat 2 eat 1 st 0 @@ -2233,83 +2151,9 @@ blo "58000,21800" tm "WireNameMgr" ) ) -on &46 +on &30 ) -*69 (Wire -uid 446,0 -shape (OrthoPolyLine -uid 447,0 -va (VaSet -vasetType 3 -) -xt "15000,17000,24000,31000" -pts [ -"24000,17000" -"15000,17000" -"15000,31000" -"19250,31000" -] -) -start &47 -end &30 -sat 2 -eat 32 -stc 0 -sf 1 -tg (WTG -uid 450,0 -ps "ConnStartEndStrategy" -stg "STSignalDisplayStrategy" -f (Text -uid 451,0 -va (VaSet -) -xt "20000,15800,23200,17000" -st "down" -blo "20000,16800" -tm "WireNameMgr" -) -) -on &51 -) -*70 (Wire -uid 454,0 -shape (OrthoPolyLine -uid 455,0 -va (VaSet -vasetType 3 -) -xt "17000,19000,24000,29000" -pts [ -"24000,19000" -"17000,19000" -"17000,29000" -"19250,29000" -] -) -start &47 -end &31 -sat 2 -eat 32 -stc 0 -sf 1 -tg (WTG -uid 458,0 -ps "ConnStartEndStrategy" -stg "STSignalDisplayStrategy" -f (Text -uid 459,0 -va (VaSet -) -xt "21000,17800,22900,19000" -st "up" -blo "21000,18800" -tm "WireNameMgr" -) -) -on &52 -) -*71 (Wire +*67 (Wire uid 558,0 shape (OrthoPolyLine uid 559,0 @@ -2323,8 +2167,8 @@ pts [ "24000,15000" ] ) -start &59 -end &47 +start &57 +end &31 sat 32 eat 1 stc 0 @@ -2347,7 +2191,7 @@ tm "WireNameMgr" ) on &2 ) -*72 (Wire +*68 (Wire uid 566,0 shape (OrthoPolyLine uid 567,0 @@ -2361,8 +2205,8 @@ pts [ "24000,13000" ] ) -start &63 -end &47 +start &62 +end &31 sat 32 eat 1 stc 0 @@ -2385,60 +2229,7 @@ tm "WireNameMgr" ) on &8 ) -*73 (Wire -uid 576,0 -optionalChildren [ -*74 (BdJunction -uid 588,0 -ps "OnConnectorStrategy" -shape (Circle -uid 589,0 -va (VaSet -vasetType 1 -) -xt "41600,21600,42400,22400" -radius 400 -) -) -] -shape (OrthoPolyLine -uid 577,0 -va (VaSet -vasetType 3 -lineWidth 2 -) -xt "36750,22000,48000,33000" -pts [ -"36750,33000" -"42000,33000" -"42000,22000" -"48000,22000" -] -) -start &28 -end &53 -sat 32 -eat 1 -sty 1 -stc 0 -sf 1 -tg (WTG -uid 580,0 -ps "ConnStartEndStrategy" -stg "STSignalDisplayStrategy" -f (Text -uid 581,0 -va (VaSet -) -xt "38750,31800,44150,33000" -st "countOut" -blo "38750,32800" -tm "WireNameMgr" -) -) -on &57 -) -*75 (Wire +*69 (Wire uid 582,0 shape (OrthoPolyLine uid 583,0 @@ -2446,15 +2237,27 @@ va (VaSet vasetType 3 lineWidth 2 ) -xt "32000,17000,42000,22000" +xt "37000,17000,44000,22000" pts [ +"44000,22000" "42000,22000" "42000,17000" -"32000,17000" +"37000,17000" ] ) -start &74 -end &47 +start *70 (BdJunction +uid 762,0 +ps "OnConnectorStrategy" +shape (Circle +uid 763,0 +va (VaSet +vasetType 1 +) +xt "43600,21600,44400,22400" +radius 400 +) +) +end &31 sat 32 eat 1 sty 1 @@ -2470,15 +2273,15 @@ f (Text uid 587,0 va (VaSet ) -xt "34000,15800,39400,17000" +xt "39000,15800,44400,17000" st "countOut" -blo "34000,16800" +blo "39000,16800" tm "WireNameMgr" ) ) -on &57 +on &39 ) -*76 (Wire +*71 (Wire uid 592,0 shape (OrthoPolyLine uid 593,0 @@ -2491,7 +2294,7 @@ pts [ "51000,14000" ] ) -end &53 +end &35 sat 16 eat 1 st 0 @@ -2514,7 +2317,7 @@ tm "WireNameMgr" ) on &2 ) -*77 (Wire +*72 (Wire uid 602,0 shape (OrthoPolyLine uid 603,0 @@ -2527,7 +2330,7 @@ pts [ "53000,14000" ] ) -end &53 +end &35 sat 16 eat 1 st 0 @@ -2550,7 +2353,7 @@ tm "WireNameMgr" ) on &8 ) -*78 (Wire +*73 (Wire uid 612,0 shape (OrthoPolyLine uid 613,0 @@ -2563,7 +2366,7 @@ pts [ "73000,34000" ] ) -end &42 +end &26 sat 16 eat 1 st 0 @@ -2586,7 +2389,7 @@ tm "WireNameMgr" ) on &2 ) -*79 (Wire +*74 (Wire uid 622,0 shape (OrthoPolyLine uid 623,0 @@ -2599,7 +2402,7 @@ pts [ "76000,34000" ] ) -end &42 +end &26 sat 16 eat 1 st 0 @@ -2622,6 +2425,166 @@ tm "WireNameMgr" ) on &8 ) +*75 (Wire +uid 756,0 +optionalChildren [ +&70 +] +shape (OrthoPolyLine +uid 757,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "38750,22000,48000,33000" +pts [ +"38750,33000" +"44000,33000" +"44000,22000" +"48000,22000" +] +) +start &42 +end &35 +sat 32 +eat 1 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 760,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 761,0 +va (VaSet +) +xt "40750,31800,46150,33000" +st "countOut" +blo "40750,32800" +tm "WireNameMgr" +) +) +on &39 +) +*76 (Wire +uid 766,0 +shape (OrthoPolyLine +uid 767,0 +va (VaSet +vasetType 3 +) +xt "11000,17000,24000,33000" +pts [ +"21250,33000" +"11000,33000" +"11000,17000" +"24000,17000" +] +) +start &44 +end &31 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 770,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 771,0 +va (VaSet +) +xt "16250,31800,20250,33000" +st "enable" +blo "16250,32800" +tm "WireNameMgr" +) +) +on &49 +) +*77 (Wire +uid 774,0 +shape (OrthoPolyLine +uid 775,0 +va (VaSet +vasetType 3 +) +xt "13000,20000,24000,31000" +pts [ +"21250,31000" +"13000,31000" +"13000,20000" +"24000,20000" +] +) +start &45 +end &31 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 778,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 779,0 +va (VaSet +) +xt "14250,29800,20050,31000" +st "resetSync" +blo "14250,30800" +tm "WireNameMgr" +) +) +on &50 +) +*78 (Wire +uid 851,0 +shape (OrthoPolyLine +uid 852,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "45000,8000,70000,42000" +pts [ +"45000,8000" +"67000,8000" +"67000,42000" +"70000,42000" +] +) +start &60 +end &26 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 855,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 856,0 +va (VaSet +) +xt "65000,40800,68700,42000" +st "Power" +blo "65000,41800" +tm "WireNameMgr" +) +) +on &6 +) ] bg "65535,65535,65535" grid (Grid @@ -2634,11 +2597,11 @@ xShown 1 yShown 1 color "26368,26368,26368" ) -packageList *80 (PackageList +packageList *79 (PackageList uid 153,0 stg "VerticalLayoutStrategy" textVec [ -*81 (Text +*80 (Text uid 154,0 va (VaSet isHidden 1 @@ -2648,7 +2611,7 @@ xt "0,0,7600,1200" st "Package List" blo "0,1000" ) -*82 (MLText +*81 (MLText uid 155,0 va (VaSet isHidden 1 @@ -2667,7 +2630,7 @@ compDirBlock (MlTextGroup uid 156,0 stg "VerticalLayoutStrategy" textVec [ -*83 (Text +*82 (Text uid 157,0 va (VaSet isHidden 1 @@ -2677,7 +2640,7 @@ xt "20000,0,30800,1200" st "Compiler Directives" blo "20000,1000" ) -*84 (Text +*83 (Text uid 158,0 va (VaSet isHidden 1 @@ -2687,7 +2650,7 @@ xt "20000,1200,33100,2400" st "Pre-module directives:" blo "20000,2200" ) -*85 (MLText +*84 (MLText uid 159,0 va (VaSet isHidden 1 @@ -2697,7 +2660,7 @@ st "`resetall `timescale 1ns/10ps" tm "BdCompilerDirectivesTextMgr" ) -*86 (Text +*85 (Text uid 160,0 va (VaSet isHidden 1 @@ -2707,7 +2670,7 @@ xt "20000,4800,33700,6000" st "Post-module directives:" blo "20000,5800" ) -*87 (MLText +*86 (MLText uid 161,0 va (VaSet isHidden 1 @@ -2715,7 +2678,7 @@ isHidden 1 xt "20000,0,20000,0" tm "BdCompilerDirectivesTextMgr" ) -*88 (Text +*87 (Text uid 162,0 va (VaSet isHidden 1 @@ -2725,7 +2688,7 @@ xt "20000,6000,33200,7200" st "End-module directives:" blo "20000,7000" ) -*89 (MLText +*88 (MLText uid 163,0 va (VaSet isHidden 1 @@ -2737,11 +2700,11 @@ tm "BdCompilerDirectivesTextMgr" associable 1 ) windowSize "0,0,1715,1119" -viewArea "-6700,-9296,136052,85840" -cachedDiagramExtent "-1400,0,101100,49000" +viewArea "-6700,-18804,136052,73644" +cachedDiagramExtent "-1400,0,105100,49000" hasePageBreakOrigin 1 pageBreakOrigin "-7000,0" -lastUid 631,0 +lastUid 1245,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -2831,7 +2794,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*90 (Text +*89 (Text va (VaSet font "Verdana,9,1" ) @@ -2840,7 +2803,7 @@ st "" blo "1300,4200" tm "BdLibraryNameMgr" ) -*91 (Text +*90 (Text va (VaSet font "Verdana,9,1" ) @@ -2849,7 +2812,7 @@ st "" blo "1300,5400" tm "BlkNameMgr" ) -*92 (Text +*91 (Text va (VaSet font "Verdana,9,1" ) @@ -2900,7 +2863,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*93 (Text +*92 (Text va (VaSet font "Verdana,9,1" ) @@ -2908,7 +2871,7 @@ xt "-350,3200,3750,4400" st "Library" blo "-350,4200" ) -*94 (Text +*93 (Text va (VaSet font "Verdana,9,1" ) @@ -2916,7 +2879,7 @@ xt "-350,4400,8350,5600" st "MWComponent" blo "-350,5400" ) -*95 (Text +*94 (Text va (VaSet font "Verdana,9,1" ) @@ -2965,7 +2928,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*96 (Text +*95 (Text va (VaSet font "Verdana,9,1" ) @@ -2974,7 +2937,7 @@ st "Library" blo "0,4200" tm "BdLibraryNameMgr" ) -*97 (Text +*96 (Text va (VaSet font "Verdana,9,1" ) @@ -2983,7 +2946,7 @@ st "SaComponent" blo "0,5400" tm "CptNameMgr" ) -*98 (Text +*97 (Text va (VaSet font "Verdana,9,1" ) @@ -3037,7 +3000,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*99 (Text +*98 (Text va (VaSet font "Verdana,9,1" ) @@ -3045,7 +3008,7 @@ xt "-500,3200,3600,4400" st "Library" blo "-500,4200" ) -*100 (Text +*99 (Text va (VaSet font "Verdana,9,1" ) @@ -3053,7 +3016,7 @@ xt "-500,4400,8500,5600" st "VhdlComponent" blo "-500,5400" ) -*101 (Text +*100 (Text va (VaSet font "Verdana,9,1" ) @@ -3098,7 +3061,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*102 (Text +*101 (Text va (VaSet font "Verdana,9,1" ) @@ -3106,7 +3069,7 @@ xt "-1150,3200,2950,4400" st "Library" blo "-1150,4200" ) -*103 (Text +*102 (Text va (VaSet font "Verdana,9,1" ) @@ -3114,7 +3077,7 @@ xt "-1150,4400,9150,5600" st "VerilogComponent" blo "-1150,5400" ) -*104 (Text +*103 (Text va (VaSet font "Verdana,9,1" ) @@ -3155,7 +3118,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*105 (Text +*104 (Text va (VaSet font "Verdana,9,1" ) @@ -3164,7 +3127,7 @@ st "eb1" blo "2800,4800" tm "HdlTextNameMgr" ) -*106 (Text +*105 (Text va (VaSet font "Verdana,9,1" ) @@ -3567,7 +3530,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*107 (Text +*106 (Text va (VaSet font "Verdana,9,1" ) @@ -3575,7 +3538,7 @@ xt "11200,20000,22000,21200" st "Frame Declarations" blo "11200,21000" ) -*108 (MLText +*107 (MLText va (VaSet ) xt "11200,21200,11200,21200" @@ -3627,7 +3590,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*109 (Text +*108 (Text va (VaSet font "Verdana,9,1" ) @@ -3635,7 +3598,7 @@ xt "11200,20000,22000,21200" st "Frame Declarations" blo "11200,21000" ) -*110 (MLText +*109 (MLText va (VaSet ) xt "11200,21200,11200,21200" @@ -3784,51 +3747,51 @@ tm "BdDeclarativeTextMgr" ) commonDM (CommonDM ldm (LogicalDM -suid 23,0 +suid 44,0 usingSuid 1 -emptyRow *111 (LEmptyRow +emptyRow *110 (LEmptyRow ) uid 166,0 optionalChildren [ -*112 (RefLabelRowHdr +*111 (RefLabelRowHdr ) -*113 (TitleRowHdr +*112 (TitleRowHdr ) -*114 (FilterRowHdr +*113 (FilterRowHdr ) -*115 (RefLabelColHdr +*114 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*116 (RowExpandColHdr +*115 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*117 (GroupColHdr +*116 (GroupColHdr tm "GroupColHdrMgr" ) -*118 (NameColHdr +*117 (NameColHdr tm "BlockDiagramNameColHdrMgr" ) -*119 (ModeColHdr +*118 (ModeColHdr tm "BlockDiagramModeColHdrMgr" ) -*120 (TypeColHdr +*119 (TypeColHdr tm "BlockDiagramTypeColHdrMgr" ) -*121 (BoundsColHdr +*120 (BoundsColHdr tm "BlockDiagramBoundsColHdrMgr" ) -*122 (InitColHdr +*121 (InitColHdr tm "BlockDiagramInitColHdrMgr" ) -*123 (EolColHdr +*122 (EolColHdr tm "BlockDiagramEolColHdrMgr" ) -*124 (LeafLogPort +*123 (LeafLogPort port (LogicalPort lang 11 decl (Decl n "Power" -t "unsigned" +t "std_ulogic_vector" b "(7 DOWNTO 0)" o 1 suid 3,0 @@ -3836,7 +3799,7 @@ suid 3,0 ) uid 107,0 ) -*125 (LeafLogPort +*124 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -3848,7 +3811,7 @@ suid 7,0 ) uid 109,0 ) -*126 (LeafLogPort +*125 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -3860,7 +3823,7 @@ suid 1,0 ) uid 111,0 ) -*127 (LeafLogPort +*126 (LeafLogPort port (LogicalPort lang 11 m 1 @@ -3873,7 +3836,7 @@ suid 2,0 ) uid 113,0 ) -*128 (LeafLogPort +*127 (LeafLogPort port (LogicalPort lang 11 decl (Decl @@ -3885,7 +3848,7 @@ suid 4,0 ) uid 115,0 ) -*129 (LeafLogPort +*128 (LeafLogPort port (LogicalPort lang 11 m 1 @@ -3898,7 +3861,7 @@ suid 5,0 ) uid 117,0 ) -*130 (LeafLogPort +*129 (LeafLogPort port (LogicalPort lang 11 m 1 @@ -3911,19 +3874,7 @@ suid 6,0 ) uid 119,0 ) -*131 (LeafLogPort -port (LogicalPort -m 4 -decl (Decl -n "logic_1" -t "std_uLogic" -o 8 -suid 8,0 -) -) -uid 416,0 -) -*132 (LeafLogPort +*130 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -3936,43 +3887,43 @@ suid 13,0 ) uid 424,0 ) -*133 (LeafLogPort -port (LogicalPort -m 4 -decl (Decl -n "down" -t "std_uLogic" -o 11 -suid 15,0 -) -) -uid 462,0 -) -*134 (LeafLogPort -port (LogicalPort -m 4 -decl (Decl -n "up" -t "std_uLogic" -o 12 -suid 16,0 -) -) -uid 464,0 -) -*135 (LeafLogPort +*131 (LeafLogPort port (LogicalPort m 4 decl (Decl n "countOut" -t "unsigned" -b "(bitNb-1 DOWNTO 0)" +t "std_ulogic_vector" +b "(7 DOWNTO 0)" o 12 suid 19,0 ) ) uid 630,0 ) +*132 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "enable" +t "std_ulogic" +o 10 +suid 25,0 +) +) +uid 780,0 +) +*133 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSync" +t "std_ulogic" +o 11 +suid 26,0 +) +) +uid 782,0 +) ] ) pdm (PhysicalDM @@ -3980,7 +3931,7 @@ displayShortBounds 1 editShortBounds 1 uid 179,0 optionalChildren [ -*136 (Sheet +*134 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -3997,104 +3948,98 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *137 (MRCItem -litem &111 -pos 12 +emptyMRCItem *135 (MRCItem +litem &110 +pos 11 dimension 20 ) uid 181,0 optionalChildren [ -*138 (MRCItem -litem &112 +*136 (MRCItem +litem &111 pos 0 dimension 20 uid 182,0 ) -*139 (MRCItem -litem &113 +*137 (MRCItem +litem &112 pos 1 dimension 23 uid 183,0 ) -*140 (MRCItem -litem &114 +*138 (MRCItem +litem &113 pos 2 hidden 1 dimension 20 uid 184,0 ) -*141 (MRCItem -litem &124 +*139 (MRCItem +litem &123 pos 0 dimension 20 uid 108,0 ) -*142 (MRCItem -litem &125 +*140 (MRCItem +litem &124 pos 1 dimension 20 uid 110,0 ) -*143 (MRCItem -litem &126 +*141 (MRCItem +litem &125 pos 2 dimension 20 uid 112,0 ) -*144 (MRCItem -litem &127 +*142 (MRCItem +litem &126 pos 3 dimension 20 uid 114,0 ) -*145 (MRCItem -litem &128 +*143 (MRCItem +litem &127 pos 4 dimension 20 uid 116,0 ) -*146 (MRCItem -litem &129 +*144 (MRCItem +litem &128 pos 5 dimension 20 uid 118,0 ) -*147 (MRCItem -litem &130 +*145 (MRCItem +litem &129 pos 6 dimension 20 uid 120,0 ) -*148 (MRCItem -litem &131 +*146 (MRCItem +litem &130 pos 7 dimension 20 -uid 417,0 -) -*149 (MRCItem -litem &132 -pos 8 -dimension 20 uid 425,0 ) -*150 (MRCItem -litem &133 -pos 9 -dimension 20 -uid 463,0 -) -*151 (MRCItem -litem &134 -pos 10 -dimension 20 -uid 465,0 -) -*152 (MRCItem -litem &135 -pos 11 +*147 (MRCItem +litem &131 +pos 8 dimension 20 uid 631,0 ) +*148 (MRCItem +litem &132 +pos 9 +dimension 20 +uid 781,0 +) +*149 (MRCItem +litem &133 +pos 10 +dimension 20 +uid 783,0 +) ] ) sheetCol (SheetCol @@ -4106,50 +4051,50 @@ textAngle 90 ) uid 185,0 optionalChildren [ -*153 (MRCItem -litem &115 +*150 (MRCItem +litem &114 pos 0 dimension 20 uid 186,0 ) -*154 (MRCItem -litem &117 +*151 (MRCItem +litem &116 pos 1 dimension 50 uid 187,0 ) -*155 (MRCItem -litem &118 +*152 (MRCItem +litem &117 pos 2 dimension 100 uid 188,0 ) -*156 (MRCItem -litem &119 +*153 (MRCItem +litem &118 pos 3 dimension 50 uid 189,0 ) -*157 (MRCItem -litem &120 +*154 (MRCItem +litem &119 pos 4 dimension 100 uid 190,0 ) -*158 (MRCItem -litem &121 +*155 (MRCItem +litem &120 pos 5 dimension 100 uid 191,0 ) -*159 (MRCItem -litem &122 +*156 (MRCItem +litem &121 pos 6 dimension 50 uid 192,0 ) -*160 (MRCItem -litem &123 +*157 (MRCItem +litem &122 pos 7 dimension 80 uid 193,0 @@ -4169,38 +4114,38 @@ uid 165,0 ) genericsCommonDM (CommonDM ldm (LogicalDM -emptyRow *161 (LEmptyRow +emptyRow *158 (LEmptyRow ) uid 195,0 optionalChildren [ -*162 (RefLabelRowHdr +*159 (RefLabelRowHdr ) -*163 (TitleRowHdr +*160 (TitleRowHdr ) -*164 (FilterRowHdr +*161 (FilterRowHdr ) -*165 (RefLabelColHdr +*162 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*166 (RowExpandColHdr +*163 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*167 (GroupColHdr +*164 (GroupColHdr tm "GroupColHdrMgr" ) -*168 (NameColHdr +*165 (NameColHdr tm "GenericNameColHdrMgr" ) -*169 (TypeColHdr +*166 (TypeColHdr tm "GenericTypeColHdrMgr" ) -*170 (InitColHdr +*167 (InitColHdr tm "GenericValueColHdrMgr" ) -*171 (PragmaColHdr +*168 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) -*172 (EolColHdr +*169 (EolColHdr tm "GenericEolColHdrMgr" ) ] @@ -4210,7 +4155,7 @@ displayShortBounds 1 editShortBounds 1 uid 207,0 optionalChildren [ -*173 (Sheet +*170 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -4227,27 +4172,27 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *174 (MRCItem -litem &161 +emptyMRCItem *171 (MRCItem +litem &158 pos 0 dimension 20 ) uid 209,0 optionalChildren [ -*175 (MRCItem -litem &162 +*172 (MRCItem +litem &159 pos 0 dimension 20 uid 210,0 ) -*176 (MRCItem -litem &163 +*173 (MRCItem +litem &160 pos 1 dimension 23 uid 211,0 ) -*177 (MRCItem -litem &164 +*174 (MRCItem +litem &161 pos 2 hidden 1 dimension 20 @@ -4264,44 +4209,44 @@ textAngle 90 ) uid 213,0 optionalChildren [ -*178 (MRCItem -litem &165 +*175 (MRCItem +litem &162 pos 0 dimension 20 uid 214,0 ) -*179 (MRCItem -litem &167 +*176 (MRCItem +litem &164 pos 1 dimension 50 uid 215,0 ) -*180 (MRCItem -litem &168 +*177 (MRCItem +litem &165 pos 2 dimension 100 uid 216,0 ) -*181 (MRCItem -litem &169 +*178 (MRCItem +litem &166 pos 3 dimension 100 uid 217,0 ) -*182 (MRCItem -litem &170 +*179 (MRCItem +litem &167 pos 4 dimension 50 uid 218,0 ) -*183 (MRCItem -litem &171 +*180 (MRCItem +litem &168 pos 5 dimension 50 uid 219,0 ) -*184 (MRCItem -litem &172 +*181 (MRCItem +litem &169 pos 6 dimension 80 uid 220,0 @@ -4320,5 +4265,5 @@ vaOverrides [ uid 194,0 type 1 ) -activeModelName "BlockDiag" +activeModelName "BlockDiag:CDM" ) diff --git a/Cursor/hds/@driver/interface b/Cursor/hds/@driver/symbol.sb similarity index 96% rename from Cursor/hds/@driver/interface rename to Cursor/hds/@driver/symbol.sb index 616e0c4..84e46e2 100644 --- a/Cursor/hds/@driver/interface +++ b/Cursor/hds/@driver/symbol.sb @@ -90,7 +90,7 @@ port (LogicalPort lang 11 decl (Decl n "Power" -t "unsigned" +t "std_ulogic_vector" b "(7 DOWNTO 0)" o 1 suid 3,0 @@ -477,11 +477,11 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver\\interface.info" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver\\symbol.sb.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver\\interface.user" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver\\symbol.sb.user" ) (vvPair variable "SourceDir" @@ -493,7 +493,7 @@ value "HDL Designer" ) (vvPair variable "arch_name" -value "interface" +value "symbol" ) (vvPair variable "concat_file" @@ -513,19 +513,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\D ) (vvPair variable "date" -value "14.12.2021" +value "17.12.2021" ) (vvPair variable "day" -value "mar." +value "ven." ) (vvPair variable "day_long" -value "mardi" +value "vendredi" ) (vvPair variable "dd" -value "14" +value "17" ) (vvPair variable "entity_name" @@ -537,15 +537,15 @@ value "" ) (vvPair variable "f" -value "interface" +value "symbol.sb" ) (vvPair variable "f_logical" -value "interface" +value "symbol.sb" ) (vvPair variable "f_noext" -value "interface" +value "symbol" ) (vvPair variable "graphical_source_author" @@ -553,7 +553,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "17.12.2021" ) (vvPair variable "graphical_source_group" @@ -565,7 +565,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "16:07:46" +value "09:37:40" ) (vvPair variable "group" @@ -605,11 +605,11 @@ value "d ) (vvPair variable "p" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver\\interface" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@driver\\symbol.sb" ) (vvPair variable "p_logical" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Driver\\interface" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Driver\\symbol.sb" ) (vvPair variable "package_name" @@ -625,19 +625,19 @@ value "HDL Designer Series" ) (vvPair variable "this_ext" -value "" +value "sb" ) (vvPair variable "this_file" -value "interface" +value "symbol" ) (vvPair variable "this_file_logical" -value "interface" +value "symbol" ) (vvPair variable "time" -value "16:07:46" +value "09:37:40" ) (vvPair variable "unit" @@ -653,7 +653,7 @@ value "2019.2 (Build 5)" ) (vvPair variable "view" -value "interface" +value "symbol" ) (vvPair variable "year" @@ -704,7 +704,8 @@ va (VaSet font "Courier New,8,0" ) xt "44000,4000,60500,4800" -st "clock : IN std_ulogic ;" +st "clock : IN std_ulogic ; +" ) thePort (LogicalPort lang 11 @@ -750,7 +751,8 @@ va (VaSet font "Courier New,8,0" ) xt "44000,5600,60500,6400" -st "motorOn : OUT std_uLogic ;" +st "motorOn : OUT std_uLogic ; +" ) thePort (LogicalPort lang 11 @@ -795,14 +797,15 @@ uid 65,0 va (VaSet font "Courier New,8,0" ) -xt "44000,2400,66000,3200" -st "Power : IN unsigned (7 DOWNTO 0) ;" +xt "44000,2400,70500,3200" +st "Power : IN std_ulogic_vector (7 DOWNTO 0) ; +" ) thePort (LogicalPort lang 11 decl (Decl n "Power" -t "unsigned" +t "std_ulogic_vector" b "(7 DOWNTO 0)" o 1 suid 3,0 @@ -842,7 +845,8 @@ va (VaSet font "Courier New,8,0" ) xt "44000,4800,60500,5600" -st "reset : IN std_ulogic ;" +st "reset : IN std_ulogic ; +" ) thePort (LogicalPort lang 11 @@ -888,7 +892,8 @@ va (VaSet font "Courier New,8,0" ) xt "44000,6400,60500,7200" -st "side1 : OUT std_uLogic ;" +st "side1 : OUT std_uLogic ; +" ) thePort (LogicalPort lang 11 @@ -935,7 +940,8 @@ va (VaSet font "Courier New,8,0" ) xt "44000,7200,59500,8000" -st "side2 : OUT std_uLogic " +st "side2 : OUT std_uLogic +" ) thePort (LogicalPort lang 11 @@ -981,7 +987,8 @@ va (VaSet font "Courier New,8,0" ) xt "44000,3200,60500,4000" -st "SideL : IN std_ulogic ;" +st "SideL : IN std_ulogic ; +" ) thePort (LogicalPort lang 11 @@ -1364,7 +1371,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,47000,45300,48000" +xt "36200,47000,44900,48000" st " %library/%unit/%view " @@ -1700,6 +1707,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 409,0 +lastUid 639,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/@main/struct.bd b/Cursor/hds/@main/struct.bd index 83b85cc..1de6f3d 100644 --- a/Cursor/hds/@main/struct.bd +++ b/Cursor/hds/@main/struct.bd @@ -142,19 +142,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\M ) (vvPair variable "date" -value "14.12.2021" +value "17.12.2021" ) (vvPair variable "day" -value "mar." +value "ven." ) (vvPair variable "day_long" -value "mardi" +value "vendredi" ) (vvPair variable "dd" -value "14" +value "17" ) (vvPair variable "entity_name" @@ -182,7 +182,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "17.12.2021" ) (vvPair variable "graphical_source_group" @@ -194,7 +194,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "14:20:04" +value "09:37:10" ) (vvPair variable "group" @@ -266,7 +266,7 @@ value "struct" ) (vvPair variable "time" -value "14:20:04" +value "09:37:10" ) (vvPair variable "unit" @@ -392,7 +392,7 @@ uid 21,0 lang 11 decl (Decl n "button" -t "unsigned" +t "std_uLogic_vector" b "(3 DOWNTO 0)" o 2 suid 1,0 @@ -501,7 +501,7 @@ uid 49,0 lang 11 decl (Decl n "Position" -t "unsigned" +t "std_uLogic_vector" b "(15 DOWNTO 0)" o 1 suid 3,0 @@ -521,7 +521,7 @@ uid 63,0 lang 11 decl (Decl n "Power" -t "unsigned" +t "std_uLogic_vector" b "(7 DOWNTO 0)" o 8 suid 4,0 @@ -1787,7 +1787,7 @@ lang 11 decl (Decl n "sideL_acceleration" t "std_ulogic" -o 23 +o 26 suid 23,0 ) declText (MLText @@ -1803,9 +1803,9 @@ uid 535,0 lang 11 decl (Decl n "power_acceleration" -t "unsigned" +t "std_ulogic_vector" b "(7 DOWNTO 0)" -o 19 +o 22 suid 24,0 ) declText (MLText @@ -1822,7 +1822,7 @@ lang 11 decl (Decl n "sideL_cruse" t "std_ulogic" -o 24 +o 27 suid 25,0 ) declText (MLText @@ -1838,9 +1838,8 @@ uid 539,0 lang 11 decl (Decl n "power_cruse" -t "unsigned" -b "(7 DOWNTO 0)" -o 20 +t "std_ulogic" +o 23 suid 26,0 ) declText (MLText @@ -1856,9 +1855,8 @@ uid 541,0 lang 11 decl (Decl n "power_deceleration" -t "unsigned" -b "(7 DOWNTO 0)" -o 21 +t "std_ulogic" +o 24 suid 27,0 ) declText (MLText @@ -1875,7 +1873,7 @@ lang 11 decl (Decl n "sideL_deceleration" t "std_ulogic" -o 25 +o 28 suid 28,0 ) declText (MLText @@ -1891,9 +1889,9 @@ uid 555,0 lang 11 decl (Decl n "sensor_bus" -t "unsigned" +t "std_ulogic_vector" b "(1 DOWNTO 0)" -o 22 +o 25 suid 30,0 ) declText (MLText @@ -1909,7 +1907,7 @@ uid 627,0 lang 11 decl (Decl n "info_acceleration" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 16 suid 34,0 @@ -1927,7 +1925,7 @@ uid 629,0 lang 11 decl (Decl n "info_cruse" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 17 suid 35,0 @@ -1945,7 +1943,7 @@ uid 631,0 lang 11 decl (Decl n "info_deceleration" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 18 suid 36,0 @@ -2095,7 +2093,7 @@ uid 892,0 lang 11 decl (Decl n "clk" -t "unsigned" +t "std_ulogic" o 3 suid 43,0 ) @@ -2112,7 +2110,7 @@ uid 902,0 lang 11 decl (Decl n "rst" -t "unsigned" +t "std_ulogic" o 4 suid 44,0 ) @@ -2210,8 +2208,8 @@ uid 1131,0 lang 11 decl (Decl n "skip_acceleration" -t "unsigned" -o 26 +t "std_ulogic" +o 29 suid 46,0 ) declText (MLText @@ -2308,9 +2306,9 @@ uid 1348,0 lang 11 decl (Decl n "pos1" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 27 +o 19 suid 51,0 ) declText (MLText @@ -2326,9 +2324,9 @@ uid 1350,0 lang 11 decl (Decl n "pos2" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 28 +o 20 suid 52,0 ) declText (MLText @@ -2344,9 +2342,9 @@ uid 1352,0 lang 11 decl (Decl n "pos_init" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 29 +o 21 suid 53,0 ) declText (MLText @@ -2433,8 +2431,8 @@ uid 20,0 va (VaSet isHidden 1 ) -xt "2000,-1200,10200,0" -st "button : (3:0)" +xt "2000,-1200,5900,0" +st "button" blo "2000,-200" tm "WireNameMgr" ) @@ -2642,8 +2640,8 @@ uid 48,0 va (VaSet isHidden 1 ) -xt "113000,41800,121000,43000" -st "Power : (7:0)" +xt "113000,41800,116700,43000" +st "Power" blo "113000,42800" tm "WireNameMgr" ) @@ -2657,43 +2655,6 @@ uid 72,0 va (VaSet vasetType 3 ) -xt "95000,22000,115000,22000" -pts [ -"115000,22000" -"95000,22000" -] -) -start &9 -end &38 -sat 32 -eat 2 -st 0 -sf 1 -si 0 -tg (WTG -uid 75,0 -ps "ConnStartEndStrategy" -stg "STSignalDisplayStrategy" -f (Text -uid 76,0 -va (VaSet -isHidden 1 -) -xt "114000,20800,116700,22000" -st "RaZ" -blo "114000,21800" -tm "WireNameMgr" -) -) -on &10 -) -*100 (Wire -uid 71,0 -shape (OrthoPolyLine -uid 72,0 -va (VaSet -vasetType 3 -) xt "35000,40000,114000,45000" pts [ "114000,45000" @@ -2726,6 +2687,43 @@ tm "WireNameMgr" ) on &16 ) +*100 (Wire +uid 71,0 +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +) +xt "95000,22000,115000,22000" +pts [ +"115000,22000" +"95000,22000" +] +) +start &9 +end &38 +sat 32 +eat 2 +st 0 +sf 1 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +isHidden 1 +) +xt "114000,20800,116700,22000" +st "RaZ" +blo "114000,21800" +tm "WireNameMgr" +) +) +on &10 +) *101 (Wire uid 85,0 optionalChildren [ @@ -3157,7 +3155,6 @@ shape (OrthoPolyLine uid 496,0 va (VaSet vasetType 3 -lineWidth 2 ) xt "64000,28000,64000,34000" pts [ @@ -3169,7 +3166,6 @@ start &34 end &67 sat 2 eat 1 -sty 1 st 0 sf 1 si 0 @@ -3182,8 +3178,8 @@ uid 502,0 ro 270 va (VaSet ) -xt "62800,21700,64000,33000" -st "power_cruse : (7:0)" +xt "62800,25300,64000,33000" +st "power_cruse" blo "63800,33000" tm "WireNameMgr" ) @@ -3270,7 +3266,6 @@ shape (OrthoPolyLine uid 526,0 va (VaSet vasetType 3 -lineWidth 2 ) xt "91000,28000,91000,34000" pts [ @@ -3282,7 +3277,6 @@ start &38 end &67 sat 2 eat 1 -sty 1 st 0 sf 1 si 0 @@ -3295,8 +3289,8 @@ uid 532,0 ro 270 va (VaSet ) -xt "89800,17600,91000,33000" -st "power_deceleration : (7:0)" +xt "89800,21900,91000,33000" +st "power_deceleration" blo "90800,33000" tm "WireNameMgr" ) @@ -5151,12 +5145,12 @@ tm "BdCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "0,0,1715,1119" -viewArea "-7960,-26360,111000,52920" +windowSize "0,24,1715,1143" +viewArea "-8000,-26400,110960,50640" cachedDiagramExtent "-10000,-20200,128400,50000" hasePageBreakOrigin 1 pageBreakOrigin "-82000,-49000" -lastUid 1644,0 +lastUid 1831,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -6243,7 +6237,7 @@ port (LogicalPort lang 11 decl (Decl n "Position" -t "unsigned" +t "std_uLogic_vector" b "(15 DOWNTO 0)" o 1 suid 3,0 @@ -6256,7 +6250,7 @@ port (LogicalPort lang 11 decl (Decl n "button" -t "unsigned" +t "std_uLogic_vector" b "(3 DOWNTO 0)" o 2 suid 1,0 @@ -6303,7 +6297,7 @@ lang 11 m 1 decl (Decl n "Power" -t "unsigned" +t "std_uLogic_vector" b "(7 DOWNTO 0)" o 8 suid 4,0 @@ -6370,7 +6364,7 @@ m 4 decl (Decl n "sideL_acceleration" t "std_ulogic" -o 23 +o 26 suid 23,0 ) ) @@ -6382,9 +6376,9 @@ lang 11 m 4 decl (Decl n "power_acceleration" -t "unsigned" +t "std_ulogic_vector" b "(7 DOWNTO 0)" -o 19 +o 22 suid 24,0 ) ) @@ -6397,7 +6391,7 @@ m 4 decl (Decl n "sideL_cruse" t "std_ulogic" -o 24 +o 27 suid 25,0 ) ) @@ -6409,9 +6403,8 @@ lang 11 m 4 decl (Decl n "power_cruse" -t "unsigned" -b "(7 DOWNTO 0)" -o 20 +t "std_ulogic" +o 23 suid 26,0 ) ) @@ -6423,9 +6416,8 @@ lang 11 m 4 decl (Decl n "power_deceleration" -t "unsigned" -b "(7 DOWNTO 0)" -o 21 +t "std_ulogic" +o 24 suid 27,0 ) ) @@ -6438,7 +6430,7 @@ m 4 decl (Decl n "sideL_deceleration" t "std_ulogic" -o 25 +o 28 suid 28,0 ) ) @@ -6450,9 +6442,9 @@ lang 11 m 4 decl (Decl n "sensor_bus" -t "unsigned" +t "std_ulogic_vector" b "(1 DOWNTO 0)" -o 22 +o 25 suid 30,0 ) ) @@ -6464,7 +6456,7 @@ lang 11 m 4 decl (Decl n "info_acceleration" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 16 suid 34,0 @@ -6478,7 +6470,7 @@ lang 11 m 4 decl (Decl n "info_cruse" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 17 suid 35,0 @@ -6492,7 +6484,7 @@ lang 11 m 4 decl (Decl n "info_deceleration" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 18 suid 36,0 @@ -6544,7 +6536,7 @@ port (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" +t "std_ulogic" o 3 suid 43,0 ) @@ -6556,7 +6548,7 @@ port (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" +t "std_ulogic" o 4 suid 44,0 ) @@ -6569,8 +6561,8 @@ lang 11 m 4 decl (Decl n "skip_acceleration" -t "unsigned" -o 26 +t "std_ulogic" +o 29 suid 46,0 ) ) @@ -6582,9 +6574,9 @@ lang 11 m 4 decl (Decl n "pos1" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 27 +o 19 suid 51,0 ) ) @@ -6596,9 +6588,9 @@ lang 11 m 4 decl (Decl n "pos2" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 28 +o 20 suid 52,0 ) ) @@ -6610,9 +6602,9 @@ lang 11 m 4 decl (Decl n "pos_init" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 29 +o 21 suid 53,0 ) ) @@ -7086,5 +7078,5 @@ vaOverrides [ uid 274,0 type 1 ) -activeModelName "BlockDiag" +activeModelName "BlockDiag:CDM" ) diff --git a/Cursor/hds/@main/interface b/Cursor/hds/@main/symbol.sb similarity index 95% rename from Cursor/hds/@main/interface rename to Cursor/hds/@main/symbol.sb index ac5b0b5..59759ce 100644 --- a/Cursor/hds/@main/interface +++ b/Cursor/hds/@main/symbol.sb @@ -65,9 +65,9 @@ port (LogicalPort lang 11 decl (Decl n "button" -t "unsigned" +t "std_uLogic_vector" b "(3 DOWNTO 0)" -o 25 +o 2 suid 75,0 ) ) @@ -78,8 +78,8 @@ port (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" -o 28 +t "std_ulogic" +o 3 suid 76,0 ) ) @@ -90,9 +90,9 @@ port (LogicalPort lang 11 decl (Decl n "Position" -t "unsigned" +t "std_uLogic_vector" b "(15 DOWNTO 0)" -o 23 +o 1 suid 77,0 ) ) @@ -104,9 +104,9 @@ lang 11 m 1 decl (Decl n "Power" -t "unsigned" +t "std_uLogic_vector" b "(7 DOWNTO 0)" -o 26 +o 8 suid 78,0 ) ) @@ -119,7 +119,7 @@ m 1 decl (Decl n "RaZ" t "std_ulogic" -o 24 +o 9 suid 79,0 ) ) @@ -130,8 +130,8 @@ port (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" -o 29 +t "std_ulogic" +o 4 suid 80,0 ) ) @@ -142,7 +142,7 @@ port (LogicalPort decl (Decl n "sensor1" t "std_uLogic" -o 10 +o 5 suid 81,0 ) ) @@ -153,7 +153,7 @@ port (LogicalPort decl (Decl n "sensor2" t "std_uLogic" -o 11 +o 6 suid 82,0 ) ) @@ -166,7 +166,7 @@ m 1 decl (Decl n "SideL" t "std_ulogic" -o 27 +o 10 suid 83,0 ) ) @@ -177,7 +177,7 @@ port (LogicalPort decl (Decl n "testMode" t "std_uLogic" -o 12 +o 7 suid 84,0 ) ) @@ -190,7 +190,7 @@ decl (Decl n "testOut" t "std_uLogic_vector" b "(1 TO testLineNb)" -o 21 +o 11 suid 85,0 ) ) @@ -203,7 +203,7 @@ m 1 decl (Decl n "unlock" t "std_ulogic" -o 26 +o 12 suid 86,0 ) ) @@ -568,11 +568,11 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@main\\interface.info" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@main\\symbol.sb.info" ) (vvPair variable "SideDataUserDir" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@main\\interface.user" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@main\\symbol.sb.user" ) (vvPair variable "SourceDir" @@ -584,7 +584,7 @@ value "HDL Designer" ) (vvPair variable "arch_name" -value "interface" +value "symbol" ) (vvPair variable "concat_file" @@ -604,19 +604,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\M ) (vvPair variable "date" -value "14.12.2021" +value "17.12.2021" ) (vvPair variable "day" -value "mar." +value "ven." ) (vvPair variable "day_long" -value "mardi" +value "vendredi" ) (vvPair variable "dd" -value "14" +value "17" ) (vvPair variable "entity_name" @@ -628,15 +628,15 @@ value "" ) (vvPair variable "f" -value "interface" +value "symbol.sb" ) (vvPair variable "f_logical" -value "interface" +value "symbol.sb" ) (vvPair variable "f_noext" -value "interface" +value "symbol" ) (vvPair variable "graphical_source_author" @@ -644,7 +644,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "17.12.2021" ) (vvPair variable "graphical_source_group" @@ -656,7 +656,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "16:07:53" +value "09:37:10" ) (vvPair variable "group" @@ -696,11 +696,11 @@ value "d ) (vvPair variable "p" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@main\\interface" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@main\\symbol.sb" ) (vvPair variable "p_logical" -value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Main\\interface" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Main\\symbol.sb" ) (vvPair variable "package_name" @@ -716,19 +716,19 @@ value "HDL Designer Series" ) (vvPair variable "this_ext" -value "" +value "sb" ) (vvPair variable "this_file" -value "interface" +value "symbol" ) (vvPair variable "this_file_logical" -value "interface" +value "symbol" ) (vvPair variable "time" -value "16:07:53" +value "09:37:10" ) (vvPair variable "unit" @@ -744,7 +744,7 @@ value "2019.2 (Build 5)" ) (vvPair variable "view" -value "interface" +value "symbol" ) (vvPair variable "year" @@ -794,17 +794,17 @@ uid 883,0 va (VaSet font "Courier New,8,0" ) -xt "44000,3200,66500,4000" -st "button : IN unsigned (3 DOWNTO 0) ; +xt "44000,3200,71000,4000" +st "button : IN std_uLogic_vector (3 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "button" -t "unsigned" +t "std_uLogic_vector" b "(3 DOWNTO 0)" -o 25 +o 2 suid 75,0 ) ) @@ -841,16 +841,16 @@ uid 888,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4000,60000,4800" -st "clk : IN unsigned ; +xt "44000,4000,61000,4800" +st "clk : IN std_ulogic ; " ) thePort (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" -o 28 +t "std_ulogic" +o 3 suid 76,0 ) ) @@ -887,17 +887,17 @@ uid 893,0 va (VaSet font "Courier New,8,0" ) -xt "44000,2400,67000,3200" -st "Position : IN unsigned (15 DOWNTO 0) ; +xt "44000,2400,71500,3200" +st "Position : IN std_uLogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "Position" -t "unsigned" +t "std_uLogic_vector" b "(15 DOWNTO 0)" -o 23 +o 1 suid 77,0 ) ) @@ -935,8 +935,8 @@ uid 898,0 va (VaSet font "Courier New,8,0" ) -xt "44000,8000,66500,8800" -st "Power : OUT unsigned (7 DOWNTO 0) ; +xt "44000,8000,71000,8800" +st "Power : OUT std_uLogic_vector (7 DOWNTO 0) ; " ) thePort (LogicalPort @@ -944,9 +944,9 @@ lang 11 m 1 decl (Decl n "Power" -t "unsigned" +t "std_uLogic_vector" b "(7 DOWNTO 0)" -o 26 +o 8 suid 78,0 ) ) @@ -993,7 +993,7 @@ m 1 decl (Decl n "RaZ" t "std_ulogic" -o 24 +o 9 suid 79,0 ) ) @@ -1030,16 +1030,16 @@ uid 908,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4800,60000,5600" -st "rst : IN unsigned ; +xt "44000,4800,61000,5600" +st "rst : IN std_ulogic ; " ) thePort (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" -o 29 +t "std_ulogic" +o 4 suid 80,0 ) ) @@ -1084,7 +1084,7 @@ thePort (LogicalPort decl (Decl n "sensor1" t "std_uLogic" -o 10 +o 5 suid 81,0 ) ) @@ -1129,7 +1129,7 @@ thePort (LogicalPort decl (Decl n "sensor2" t "std_uLogic" -o 11 +o 6 suid 82,0 ) ) @@ -1177,7 +1177,7 @@ m 1 decl (Decl n "SideL" t "std_ulogic" -o 27 +o 10 suid 83,0 ) ) @@ -1222,7 +1222,7 @@ thePort (LogicalPort decl (Decl n "testMode" t "std_uLogic" -o 12 +o 7 suid 84,0 ) ) @@ -1270,7 +1270,7 @@ decl (Decl n "testOut" t "std_uLogic_vector" b "(1 TO testLineNb)" -o 21 +o 11 suid 85,0 ) ) @@ -1317,7 +1317,7 @@ m 1 decl (Decl n "unlock" t "std_ulogic" -o 26 +o 12 suid 86,0 ) ) @@ -1693,7 +1693,7 @@ fg "0,0,32768" bg "0,0,32768" font "Arial,8,0" ) -xt "36200,47000,44800,48000" +xt "36200,47000,44000,48000" st " %library/%unit/%view " @@ -2029,6 +2029,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 962,0 +lastUid 985,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/@motor_side/fsm.sm b/Cursor/hds/@motor_side/fsm.sm new file mode 100644 index 0000000..fa58b3c --- /dev/null +++ b/Cursor/hds/@motor_side/fsm.sm @@ -0,0 +1,3799 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +machine (Machine +name "csm" +children [ +(Machine +name "csm" +children [ +] +stateSignalName "current_state" +) +] +) +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (StateMachine +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@motor_side\\fsm.sm.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@motor_side\\fsm.sm.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "fsm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@motor_side" +) +(vvPair +variable "d_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Motor_side" +) +(vvPair +variable "date" +value "17.12.2021" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "17" +) +(vvPair +variable "entity_name" +value "Motor_side" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "fsm.sm" +) +(vvPair +variable "f_logical" +value "fsm.sm" +) +(vvPair +variable "f_noext" +value "fsm" +) +(vvPair +variable "graphical_source_author" +value "Simon" +) +(vvPair +variable "graphical_source_date" +value "17.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "PC-SDM" +) +(vvPair +variable "graphical_source_time" +value "09:12:09" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "PC-SDM" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "Motor_side" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@motor_side\\fsm.sm" +) +(vvPair +variable "p_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Motor_side\\fsm.sm" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sm" +) +(vvPair +variable "this_file" +value "fsm" +) +(vvPair +variable "this_file_logical" +value "fsm" +) +(vvPair +variable "time" +value "09:12:09" +) +(vvPair +variable "unit" +value "Motor_side" +) +(vvPair +variable "user" +value "Simon" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "fsm" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +uid 202,0 +optionalChildren [ +*1 (ConcurrentSM +uid 1,0 +topDiagram (StateDiagram +LanguageMgr "None" +uid 2,0 +optionalChildren [ +*2 (State +uid 43,0 +shape (Circle +uid 44,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "30459,22275,37561,29377" +radius 3551 +) +name (Text +uid 45,0 +va (VaSet +font "Verdana,12,1" +) +xt "32360,25126,35660,26526" +st "goL" +ju 0 +blo "34010,26326" +tm "ONodeName" +) +wait (TextAssociate +uid 46,0 +ps "CenterOffsetStrategy" +text (Text +uid 47,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "33760,26026,38860,27426" +st "wait 2" +blo "33760,27226" +tm "SmWaitText" +) +) +encoding (Text +uid 48,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "34010,26926,34010,26926" +blo "34010,26926" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 51,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 52,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "33960,27926,42060,30526" +) +autoResize 1 +tline (Line +uid 53,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "34060,27826,41960,27826" +pts [ +"34060,27826" +"41960,27826" +] +) +bline (Line +uid 54,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "34060,28126,41960,28126" +pts [ +"34060,28126" +"41960,28126" +] +) +ttri (Triangle +uid 55,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "33610,27451,33960,27801" +) +btri (Triangle +uid 56,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "33610,25651,33960,26001" +) +entryActions (MLText +uid 57,0 +va (VaSet +) +xt "34060,27626,34060,27626" +tm "Actions" +) +inActions (MLText +uid 58,0 +va (VaSet +) +xt "34060,28026,41960,30426" +st "side1 <= '1'; +side2 <= '0';" +tm "Actions" +) +exitActions (MLText +uid 59,0 +va (VaSet +) +xt "34010,25826,34010,25826" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 49,0 +ps "CenterOffsetStrategy" +text (MLText +uid 50,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "31910,27026,38610,28226" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*3 (SmClockPoint +uid 60,0 +shape (CompositeShape +uid 61,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 62,0 +sl 0 +ro 270 +xt "3250,10500,5500,11500" +) +(OrthoPolyLine +uid 63,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,10700,4749,11300" +pts [ +"4150,11300" +"4449,11300" +"4449,10700" +"4749,10700" +] +) +(Arc2D +pts [ +"3759,11153" +"3504,10847" +"3759,10847" +] +uid 64,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "3431,10800,3759,11199" +) +] +) +name (TextAssociate +uid 65,0 +ps "CenterOffsetStrategy" +text (Text +uid 66,0 +va (VaSet +) +xt "-650,10500,2750,11700" +st "clock" +ju 2 +blo "2750,11500" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +uid 67,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 68,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "5500,10300,22800,11700" +) +autoResize 1 +cond (MLText +uid 69,0 +va (VaSet +) +xt "5600,10400,22700,11600" +st "clock'EVENT AND clock = '1'" +tm "SmControlConditionMgr" +) +) +) +*4 (SmResetPoint +uid 70,0 +shape (CompositeShape +uid 71,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 72,0 +sl 0 +ro 270 +xt "3250,19500,5500,20500" +) +(OrthoPolyLine +uid 73,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,19700,4749,20300" +pts [ +"4749,20300" +"4449,20300" +"4449,19700" +"4150,19700" +] +) +(Line +uid 74,0 +sl 0 +ro 270 +xt "3499,19825,3599,19875" +pts [ +"3499,19875" +"3599,19825" +] +) +(Line +uid 75,0 +sl 0 +ro 270 +xt "3499,19825,3499,20175" +pts [ +"3499,20175" +"3499,19825" +] +) +(Circle +uid 76,0 +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "4299,19850,4599,20150" +radius 150 +) +] +) +cond (SmControlCondition +uid 82,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 83,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "2300,17700,9100,19100" +) +autoResize 1 +cond (MLText +uid 84,0 +va (VaSet +isHidden 1 +) +xt "2400,17800,9000,19000" +st "reset = '0'" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +uid 79,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 80,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5500,18937,7626,21063" +radius 1063 +) +pr (Text +uid 81,0 +va (VaSet +isHidden 1 +) +xt "5863,19400,7263,20600" +st "1" +ju 0 +blo "6563,20400" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +uid 77,0 +ps "CenterOffsetStrategy" +text (Text +uid 78,0 +va (VaSet +) +xt "-50,19400,3250,20600" +st "reset" +ju 2 +blo "3250,20400" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +uid 85,0 +ps "CenterOffsetStrategy" +text (MLText +uid 86,0 +va (VaSet +isHidden 1 +) +xt "11125,24125,19725,25325" +st "< Automatic >" +tm "Actions" +) +) +) +*5 (Link +uid 87,0 +shape (CompositeShape +uid 88,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 89,0 +sl 0 +ro 270 +xt "17000,19500,19250,20500" +) +(Line +uid 90,0 +sl 0 +ro 270 +xt "16500,20000,17000,20000" +pts [ +"16500,20000" +"17000,20000" +] +) +] +) +name (TextAssociate +uid 91,0 +ps "CenterOffsetStrategy" +text (Text +uid 92,0 +va (VaSet +font "Verdana,9,1" +) +xt "19750,19500,24350,20700" +st "Waiting" +blo "19750,20500" +tm "LinkName" +) +) +) +*6 (SmRecoveryStatePoint +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +uid 95,0 +sl 0 +xt "3250,14100,5050,15900" +radius 900 +) +(Line +uid 96,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"3724,15426" +"4576,14574" +] +) +(Line +uid 97,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"4576,15426" +"3724,14574" +] +) +] +) +) +*7 (Link +uid 98,0 +shape (CompositeShape +uid 99,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 100,0 +sl 0 +ro 270 +xt "17000,14500,19250,15500" +) +(Line +uid 101,0 +sl 0 +ro 270 +xt "16500,15000,17000,15000" +pts [ +"16500,15000" +"17000,15000" +] +) +] +) +name (TextAssociate +uid 102,0 +ps "CenterOffsetStrategy" +text (Text +uid 103,0 +va (VaSet +font "Verdana,9,1" +) +xt "19750,14500,24350,15700" +st "Waiting" +blo "19750,15500" +tm "LinkName" +) +) +) +*8 (Grouping +uid 124,0 +optionalChildren [ +*9 (CommentText +uid 126,0 +shape (Rectangle +uid 127,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 128,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46000,47000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 129,0 +shape (Rectangle +uid 130,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,57000,43000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 131,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,42000,56200,43000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 132,0 +shape (Rectangle +uid 133,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 134,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,44000,46200,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*12 (CommentText +uid 135,0 +shape (Rectangle +uid 136,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 137,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,44000,34300,45000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*13 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,43000,73000,47000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 140,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,43200,62600,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*14 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,42000,73000,43000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 143,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,42000,58800,43000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*15 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,42000,53000,44000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 146,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,42400,47650,43600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*16 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 149,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,45000,34300,46000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*17 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 152,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34900,47000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*18 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 155,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,45000,45300,46000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 125,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,42000,73000,47000" +) +oxt "14000,66000,55000,71000" +) +*19 (State +uid 168,0 +shape (Circle +uid 169,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "61739,21689,68841,28791" +radius 3551 +) +name (Text +uid 170,0 +va (VaSet +font "Verdana,12,1" +) +xt "63590,24540,66990,25940" +st "goR" +ju 0 +blo "65290,25740" +tm "ONodeName" +) +wait (TextAssociate +uid 171,0 +ps "CenterOffsetStrategy" +text (Text +uid 172,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "65040,25440,70140,26840" +st "wait 2" +blo "65040,26640" +tm "SmWaitText" +) +) +encoding (Text +uid 173,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "65290,26340,65290,26340" +blo "65290,26340" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 176,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 177,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "65240,27340,73340,29940" +) +autoResize 1 +tline (Line +uid 178,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "65340,27240,73240,27240" +pts [ +"65340,27240" +"73240,27240" +] +) +bline (Line +uid 179,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "65340,27540,73240,27540" +pts [ +"65340,27540" +"73240,27540" +] +) +ttri (Triangle +uid 180,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "64890,26865,65240,27215" +) +btri (Triangle +uid 181,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "64890,25065,65240,25415" +) +entryActions (MLText +uid 182,0 +va (VaSet +) +xt "65340,27040,65340,27040" +tm "Actions" +) +inActions (MLText +uid 183,0 +va (VaSet +) +xt "65340,27440,73240,29840" +st "side1 <= '0'; +side2 <= '1';" +tm "Actions" +) +exitActions (MLText +uid 184,0 +va (VaSet +) +xt "65290,25240,65290,25240" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 174,0 +ps "CenterOffsetStrategy" +text (MLText +uid 175,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "63190,26440,69890,27640" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*20 (State +uid 185,0 +shape (Circle +uid 186,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "45390,8310,52790,15710" +radius 3700 +) +name (Text +uid 187,0 +va (VaSet +font "Verdana,12,1" +) +xt "46090,11310,52090,12710" +st "Waiting" +ju 0 +blo "49090,12510" +tm "ONodeName" +) +wait (TextAssociate +uid 188,0 +ps "CenterOffsetStrategy" +text (Text +uid 189,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "48840,12210,53940,13610" +st "wait 2" +blo "48840,13410" +tm "SmWaitText" +) +) +encoding (Text +uid 190,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "49090,13110,49090,13110" +blo "49090,13110" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 193,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 194,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "48890,14110,57290,16710" +) +autoResize 1 +tline (Line +uid 195,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "48990,14010,57190,14010" +pts [ +"48990,14010" +"57190,14010" +] +) +bline (Line +uid 196,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "48990,14310,57190,14310" +pts [ +"48990,14310" +"57190,14310" +] +) +ttri (Triangle +uid 197,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "48540,13635,48890,13985" +) +btri (Triangle +uid 198,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "48540,11835,48890,12185" +) +entryActions (MLText +uid 199,0 +va (VaSet +) +xt "48990,13810,48990,13810" +tm "Actions" +) +inActions (MLText +uid 200,0 +va (VaSet +) +xt "48990,14210,57190,16610" +st "side1 <= '0' ; +side2 <= '0' ;" +tm "Actions" +) +exitActions (MLText +uid 201,0 +va (VaSet +) +xt "49090,12010,49090,12010" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 191,0 +ps "CenterOffsetStrategy" +text (MLText +uid 192,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "46990,13210,53690,14410" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*21 (InterruptPoint +uid 302,0 +shape (CompositeShape +uid 303,0 +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +uid 304,0 +sl 0 +ro 270 +xt "2406,23308,4656,24308" +) +(Line +uid 305,0 +sl 0 +ro 270 +xt "4656,23808,5156,23808" +pts [ +"4656,23808" +"5156,23808" +] +) +(CustomPolygon +pts [ +"2656,24033" +"2656,23733" +"3306,23858" +"3206,23583" +"4306,23783" +"3481,23783" +"3656,24033" +] +uid 306,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "2656,23583,4306,24033" +) +] +) +) +*22 (Link +uid 307,0 +shape (CompositeShape +uid 308,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 309,0 +sl 0 +ro 270 +xt "18184,23250,20434,24250" +) +(Line +uid 310,0 +sl 0 +ro 270 +xt "17684,23750,18184,23750" +pts [ +"17684,23750" +"18184,23750" +] +) +] +) +name (TextAssociate +uid 311,0 +ps "CenterOffsetStrategy" +text (Text +uid 312,0 +va (VaSet +font "Verdana,9,1" +) +xt "20934,23250,25534,24450" +st "Waiting" +blo "20934,24250" +tm "LinkName" +) +) +) +*23 (Transition +uid 104,0 +shape (Spline +uid 105,0 +va (VaSet +vasetType 3 +) +xt "5500,20000,16500,20000" +pts [ +"5500,20000" +"16500,20000" +] +) +start &4 +end &5 +ss 0 +es 0 +cond "reset = '0'" +tb (TransitionBlock +uid 106,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 107,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "8150,18900,15750,21100" +) +autoResize 1 +lineShape (Line +uid 108,0 +va (VaSet +vasetType 3 +) +xt "8650,20500,15250,20500" +pts [ +"8650,20500" +"15250,20500" +] +) +condition (MLText +uid 109,0 +va (VaSet +) +xt "8650,18900,15250,20100" +st "reset = '0'" +tm "Condition" +) +actions (MLText +uid 110,0 +va (VaSet +isHidden 1 +) +xt "7650,20900,16250,22100" +st "< Automatic >" +tm "Actions" +) +) +tp (TransitionPriority +uid 111,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 112,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5537,18937,7663,21063" +radius 1063 +) +pr (Text +uid 113,0 +va (VaSet +isHidden 1 +) +xt "5900,19400,7300,20600" +st "1" +ju 0 +blo "6600,20400" +tm "TransitionPriority" +) +padding "100,100" +) +) +*24 (Transition +uid 114,0 +shape (Spline +uid 115,0 +va (VaSet +vasetType 3 +) +xt "5050,15000,16500,15000" +pts [ +"5050,15000" +"16500,15000" +] +) +start &6 +end &7 +ss 0 +es 0 +tb (TransitionBlock +uid 116,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 117,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "7625,14495,13925,15505" +) +autoResize 1 +lineShape (Line +uid 118,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "10775,16000,10775,16000" +pts [ +"10775,16000" +"10775,16000" +] +) +condition (MLText +uid 119,0 +va (VaSet +) +xt "8125,14400,13425,15600" +tm "Condition" +) +actions (MLText +uid 120,0 +va (VaSet +) +xt "10775,16000,10775,16000" +tm "Actions" +) +) +tp (TransitionPriority +uid 121,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 122,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5132,13937,7258,16063" +radius 1063 +) +pr (Text +uid 123,0 +va (VaSet +isHidden 1 +) +xt "5495,14400,6895,15600" +st "1" +ju 0 +blo "6195,15400" +tm "TransitionPriority" +) +padding "100,100" +) +) +*25 (Transition +uid 313,0 +shape (Spline +uid 314,0 +va (VaSet +vasetType 3 +) +xt "5156,23750,17684,23808" +pts [ +"5156,23808" +"17684,23750" +] +) +start &21 +end &22 +ss 0 +es 0 +cond "Power = \"00000000\" OR PWM_out = '0'" +tb (TransitionBlock +uid 315,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 316,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "2162,24845,25662,27045" +) +autoResize 1 +lineShape (Line +uid 317,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "5312,26945,5312,26945" +pts [ +"5312,26945" +"5312,26945" +] +) +condition (MLText +uid 318,0 +va (VaSet +) +xt "2662,25345,25162,26545" +st "Power = \"00000000\" OR PWM_out = '0'" +tm "Condition" +) +actions (MLText +uid 319,0 +va (VaSet +) +xt "13912,26945,13912,26945" +tm "Actions" +) +) +tp (TransitionPriority +uid 320,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 321,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5345,22739,7471,24865" +radius 1063 +) +pr (Text +uid 322,0 +va (VaSet +isHidden 1 +) +xt "5708,23202,7108,24402" +st "1" +ju 0 +blo "6408,24202" +tm "TransitionPriority" +) +padding "100,100" +) +) +*26 (Transition +uid 323,0 +shape (Spline +uid 324,0 +va (VaSet +vasetType 3 +) +xt "51769,14562,62504,23040" +pts [ +"51769,14562" +"62504,23040" +] +arrow 1 +) +start &20 +end &19 +es 0 +cond "PWM_out = '1' AND SideL = '0'" +tb (TransitionBlock +uid 325,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 326,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "56057,18533,74857,20733" +) +autoResize 1 +lineShape (Line +uid 327,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "59207,20633,59207,20633" +pts [ +"59207,20633" +"59207,20633" +] +) +condition (MLText +uid 328,0 +va (VaSet +) +xt "56557,19033,74357,20233" +st "PWM_out = '1' AND SideL = '0'" +tm "Condition" +) +actions (MLText +uid 329,0 +va (VaSet +) +xt "65457,20633,65457,20633" +tm "Actions" +) +) +tp (TransitionPriority +uid 330,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 331,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "51778,14346,53904,16472" +radius 1063 +) +pr (Text +uid 332,0 +va (VaSet +) +xt "52141,14809,53541,16009" +st "1" +ju 0 +blo "52841,15809" +tm "TransitionPriority" +) +padding "100,100" +) +) +*27 (Transition +uid 333,0 +shape (Spline +uid 334,0 +va (VaSet +vasetType 3 +) +xt "36644,14591,46439,23445" +pts [ +"46439,14591" +"36644,23445" +] +arrow 1 +) +start &20 +end &2 +es 0 +cond "PWM_out = '1' AND SideL = '1'" +tb (TransitionBlock +uid 335,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 336,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "34023,18344,52823,20544" +) +autoResize 1 +lineShape (Line +uid 337,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "37173,20444,37173,20444" +pts [ +"37173,20444" +"37173,20444" +] +) +condition (MLText +uid 338,0 +va (VaSet +) +xt "34523,18844,52323,20044" +st "PWM_out = '1' AND SideL = '1'" +tm "Condition" +) +actions (MLText +uid 339,0 +va (VaSet +) +xt "43423,20444,43423,20444" +tm "Actions" +) +) +tp (TransitionPriority +uid 340,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 341,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "44396,14413,46522,16539" +radius 1063 +) +pr (Text +uid 342,0 +va (VaSet +) +xt "44759,14876,46159,16076" +st "2" +ju 0 +blo "45459,15876" +tm "TransitionPriority" +) +padding "100,100" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 0 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *28 (PackageList +uid 31,0 +stg "VerticalLayoutStrategy" +textVec [ +*29 (Text +uid 32,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,3000,7600,4200" +st "Package List" +blo "0,4000" +) +*30 (MLText +uid 33,0 +va (VaSet +) +xt "0,4200,17500,10200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "SmPackageListTextMgr" +) +] +) +compDirBlock (MlTextGroup +uid 34,0 +stg "VerticalLayoutStrategy" +textVec [ +*31 (Text +uid 35,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*32 (Text +uid 36,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*33 (MLText +uid 37,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "SmCompilerDirectivesTextMgr" +) +*34 (Text +uid 38,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*35 (MLText +uid 39,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "SmCompilerDirectivesTextMgr" +) +*36 (Text +uid 40,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*37 (MLText +uid 41,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "SmCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,0,1715,1119" +viewArea "-3254,-6700,82992,50778" +cachedDiagramExtent "-650,-1000,86600,47000" +hasePageBreakOrigin 1 +pageBreakOrigin "-1000,-2000" +isTopLevel 1 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +localDecl *38 (SmLocalDecl +uid 3,0 +stg "VerticalLayoutStrategy" +textVec [ +*39 (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,-1000,42400,200" +st "Architecture Declarations" +blo "27800,0" +) +*40 (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*41 (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,200,33000,1400" +st "Pre Decls" +blo "27800,1200" +) +*42 (MLText +uid 7,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*43 (Text +uid 8,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,1400,34200,2600" +st "Post Decls" +blo "27800,2400" +) +*44 (MLText +uid 9,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,2600,27800,2600" +tm "LocalDeclTextMgr" +) +] +) +processDecl *45 (SmProcessDecl +uid 10,0 +stg "VerticalLayoutStrategy" +textVec [ +*46 (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,-1000,86600,200" +st "Process Declarations" +blo "74500,0" +) +*47 (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,200,84000,1400" +st "Clocked Process:" +blo "74500,1200" +) +*48 (MLText +uid 13,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,-1000,74500,-1000" +tm "ProcessDeclTextMgr" +) +*49 (Text +uid 14,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,1400,83800,2600" +st "Output Process:" +blo "74500,2400" +) +*50 (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,2600,74500,2600" +tm "ProcessDeclTextMgr" +) +] +associable 1 +) +defaultActions *51 (MlTextGroup +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*52 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-1000,8400,200" +st "Global Actions" +blo "0,0" +) +*53 (Text +uid 18,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,200,7400,1400" +st "Pre Actions:" +blo "0,1200" +) +*54 (MLText +uid 19,0 +va (VaSet +) +xt "0,-1000,0,-1000" +tm "Actions" +) +*55 (Text +uid 20,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,1400,8000,2600" +st "Post Actions:" +blo "0,2400" +) +*56 (MLText +uid 21,0 +va (VaSet +) +xt "0,2600,0,2600" +tm "Actions" +) +] +associable 1 +) +archConcurrentStatementBlock *57 (BiTextGroup +uid 22,0 +stg "VerticalLayoutStrategy" +first (Text +uid 23,0 +va (VaSet +font "Verdana,9,1" +) +xt "11400,-1000,24800,200" +st "Concurrent Statements" +blo "11400,0" +) +second (MLText +uid 24,0 +va (VaSet +) +xt "11400,200,11400,200" +tm "ArchConcStmtTextMgr" +) +associable 1 +) +signalsGenStatus *58 (SmSignalGenStatus +uid 28,0 +stg "VerticalLayoutStrategy" +first (Text +uid 29,0 +va (VaSet +font "Verdana,9,1" +) +xt "45400,-1000,53200,200" +st "Signal Status" +blo "45400,0" +) +second (MLText +uid 30,0 +va (VaSet +font "Courier New,8,0" +) +xt "45400,200,71400,2600" +st "SIGNAL MODE DEFAULT RESET SCHEME COMMENT +side1 OUT COMB +side2 OUT COMB +" +tm "SmSignalsGenStatusTextMgr" +) +) +stateRegBlock *59 (BiTextGroup +uid 25,0 +stg "VerticalLayoutStrategy" +first (Text +uid 26,0 +va (VaSet +font "Verdana,9,1" +) +xt "56200,-1000,71500,200" +st "State Register Statements" +blo "56200,0" +) +second (MLText +uid 27,0 +va (VaSet +) +xt "56200,200,56200,200" +tm "Actions" +) +associable 1 +) +) +genChar (SmGenChar +uid 42,0 +nextStateClocking 0 +) +encoding (Encoding +scheme 3 +encodingStyles [ +(pair +scheme 0 +style 0 +) +(pair +scheme 1 +style 1 +) +(pair +scheme 2 +style 0 +) +(pair +scheme 3 +style 0 +) +(pair +scheme 4 +style 0 +) +(pair +scheme 5 +style 0 +) +] +otherValues [ +(pair +scheme 0 +otherValue "" +) +(pair +scheme 1 +otherValue "" +) +(pair +scheme 2 +otherValue "" +) +(pair +scheme 3 +otherValue "" +) +(pair +scheme 4 +otherValue "" +) +(pair +scheme 5 +otherValue "" +) +] +attribute 0 +synSafe 0 +outputEncodedLocals 0 +useVerilogParameterRange 0 +radix 2 +) +stateOrder [ +&2 +&19 +&20 +] +name "csm" +) +] +lastUid 362,0 +commonDM (CommonDM +ldm (LogicalDM +emptyRow *60 (LEmptyRow +) +uid 204,0 +optionalChildren [ +*61 (RefLabelRowHdr +) +*62 (TitleRowHdr +) +*63 (FilterRowHdr +) +*64 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*65 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*66 (GroupColHdr +tm "GroupColHdrMgr" +) +*67 (NameColHdr +tm "SmNameColHdrMgr" +) +*68 (ModeColHdr +tm "SmModeColHdrMgr" +) +*69 (TypeColHdr +tm "SmTypeColHdrMgr" +) +*70 (BoundsColHdr +tm "SmBoundsColHdrMgr" +) +*71 (InitColHdr +tm "SmInitColHdrMgr" +) +*72 (ColumnHdr +tm "SmCategoryColHdrMgr" +) +*73 (ColumnHdr +tm "SmAssignColHdrMgr" +) +*74 (ColumnHdr +tm "SmExprColHdrMgr" +) +*75 (ColumnHdr +tm "SmSchemeColHdrMgr" +) +*76 (ColumnHdr +tm "SmDefValColHdrMgr" +) +*77 (ColumnHdr +tm "SmRstValColHdrMgr" +) +*78 (EolColHdr +tm "SmEolColHdrMgr" +) +*79 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "PWM_out" +t "std_ulogic" +o 1 +) +) +uid 156,0 +) +*80 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "SideL" +t "std_ulogic" +o 3 +) +) +uid 158,0 +) +*81 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 4 +) +) +uid 160,0 +cat 1 +expr "clock'EVENT AND clock = '1'" +) +*82 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 5 +) +) +uid 162,0 +cat 8 +expr "reset = '0'" +) +*83 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "side1" +t "std_uLogic" +o 6 +) +) +uid 164,0 +scheme 0 +) +*84 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "side2" +t "std_uLogic" +o 7 +) +) +uid 166,0 +scheme 0 +) +*85 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 2 +) +) +uid 272,0 +ass "" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 223,0 +optionalChildren [ +*86 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *87 (MRCItem +litem &60 +pos 7 +dimension 20 +) +uid 225,0 +optionalChildren [ +*88 (MRCItem +litem &61 +pos 0 +dimension 20 +uid 226,0 +) +*89 (MRCItem +litem &62 +pos 1 +dimension 23 +uid 227,0 +) +*90 (MRCItem +litem &63 +pos 2 +hidden 1 +dimension 20 +uid 228,0 +) +*91 (MRCItem +litem &79 +pos 0 +dimension 20 +uid 157,0 +) +*92 (MRCItem +litem &80 +pos 1 +dimension 20 +uid 159,0 +) +*93 (MRCItem +litem &81 +pos 2 +dimension 20 +uid 161,0 +) +*94 (MRCItem +litem &82 +pos 3 +dimension 20 +uid 163,0 +) +*95 (MRCItem +litem &83 +pos 4 +dimension 20 +uid 165,0 +) +*96 (MRCItem +litem &84 +pos 5 +dimension 20 +uid 167,0 +) +*97 (MRCItem +litem &85 +pos 6 +dimension 20 +uid 271,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 229,0 +optionalChildren [ +*98 (MRCItem +litem &64 +pos 0 +dimension 20 +uid 230,0 +) +*99 (MRCItem +litem &66 +pos 1 +dimension 50 +uid 231,0 +) +*100 (MRCItem +litem &67 +pos 2 +dimension 70 +uid 232,0 +) +*101 (MRCItem +litem &68 +pos 3 +dimension 50 +uid 233,0 +) +*102 (MRCItem +litem &69 +pos 4 +dimension 80 +uid 234,0 +) +*103 (MRCItem +litem &70 +pos 5 +dimension 80 +uid 235,0 +) +*104 (MRCItem +litem &71 +pos 6 +dimension 40 +uid 236,0 +) +*105 (MRCItem +litem &72 +pos 7 +dimension 100 +uid 237,0 +) +*106 (MRCItem +litem &73 +pos 8 +dimension 60 +uid 238,0 +) +*107 (MRCItem +litem &74 +pos 9 +dimension 130 +uid 239,0 +) +*108 (MRCItem +litem &75 +pos 10 +dimension 56 +uid 240,0 +) +*109 (MRCItem +litem &76 +pos 11 +dimension 50 +uid 241,0 +) +*110 (MRCItem +litem &77 +pos 12 +dimension 50 +uid 242,0 +) +*111 (MRCItem +litem &78 +pos 13 +dimension 80 +uid 243,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 224,0 +vaOverrides [ +] +) +] +) +uid 203,0 +) +cdmCsm &1 +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *112 (LEmptyRow +) +uid 245,0 +optionalChildren [ +*113 (RefLabelRowHdr +) +*114 (TitleRowHdr +) +*115 (FilterRowHdr +) +*116 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*117 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*118 (GroupColHdr +tm "GroupColHdrMgr" +) +*119 (NameColHdr +tm "GenericNameColHdrMgr" +) +*120 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*121 (InitColHdr +tm "GenericValueColHdrMgr" +) +*122 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*123 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 257,0 +optionalChildren [ +*124 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *125 (MRCItem +litem &112 +pos 0 +dimension 20 +) +uid 259,0 +optionalChildren [ +*126 (MRCItem +litem &113 +pos 0 +dimension 20 +uid 260,0 +) +*127 (MRCItem +litem &114 +pos 1 +dimension 23 +uid 261,0 +) +*128 (MRCItem +litem &115 +pos 2 +hidden 1 +dimension 20 +uid 262,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 263,0 +optionalChildren [ +*129 (MRCItem +litem &116 +pos 0 +dimension 20 +uid 264,0 +) +*130 (MRCItem +litem &118 +pos 1 +dimension 50 +uid 265,0 +) +*131 (MRCItem +litem &119 +pos 2 +dimension 100 +uid 266,0 +) +*132 (MRCItem +litem &120 +pos 3 +dimension 100 +uid 267,0 +) +*133 (MRCItem +litem &121 +pos 4 +dimension 50 +uid 268,0 +) +*134 (MRCItem +litem &122 +pos 5 +dimension 50 +uid 269,0 +) +*135 (MRCItem +litem &123 +pos 6 +dimension 80 +uid 270,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 258,0 +vaOverrides [ +] +) +] +) +uid 244,0 +type 1 +) +signalSuffix "_int" +clockSuffix "_cld" +defaultState (State +shape (Circle +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-3551,-3551,3551,3551" +radius 3551 +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3900,3300,4100,3500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +entryActions (MLText +va (VaSet +) +tm "Actions" +) +inActions (MLText +va (VaSet +) +tm "Actions" +) +exitActions (MLText +va (VaSet +) +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultWaitState (State +shape (CircleInOctagon +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +xt "-529,-529,6529,6529" +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8900,6300,9100,6500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +entryActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +inActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +exitActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +isWait 1 +) +defaultCompositeState (CompositeState +shape (TripleCircle +va (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "Verdana,10,1" +) +xt "-1000,-600,1000,600" +st "s0" +ju 0 +blo "0,400" +tm "ONodeName" +) +childDiagram &0 +) +defaultJunction (Junction +shape (Diamond +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "-1300,-1300,2300,2300" +) +symbol (Text +va (VaSet +font "Verdana,10,1" +) +xt "-300,-100,1300,1100" +st "&" +ju 0 +blo "500,900" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2000,1000,2000,1000" +blo "2000,1000" +tm "JunctionName" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2550,2000,4150,3200" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultEntryPoint (EntryPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +] +) +) +defaultInterruptPoint (InterruptPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +(CustomPolygon +pts [ +"-625,1600" +"-625,1300" +"25,1425" +"-75,1150" +"1025,1350" +"200,1350" +"375,1600" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "-625,1150,1025,1600" +) +] +) +) +defaultLink (Link +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2375,875,5075,2075" +st "Link" +blo "2375,1875" +tm "LinkName" +) +) +) +defaultExitPoint (ExitPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +) +defaultTransition (Transition +shape (Spline +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +arrow 1 +) +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-500,-500,5800,1700" +) +autoResize 1 +lineShape (Line +va (VaSet +vasetType 3 +isHidden 1 +) +xt "2650,1600,2650,1600" +pts [ +"2650,1600" +"2650,1600" +] +) +condition (MLText +va (VaSet +) +xt "0,0,5300,1200" +st "condition" +tm "Condition" +) +actions (MLText +va (VaSet +) +xt "2650,2000,2650,2000" +tm "Actions" +) +) +tp (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-1063,-1063,1063,1063" +radius 1063 +) +pr (Text +va (VaSet +) +xt "-700,-600,700,600" +st "1" +ju 0 +blo "0,400" +tm "TransitionPriority" +) +padding "100,100" +) +) +defaultClk (SmClockPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"275,1425" +"574,1425" +"574,825" +"874,825" +] +) +(Arc2D +pts [ +"-116,1278" +"-371,972" +"-116,972" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-441,926,-116,1323" +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-3325,625,-1125,1825" +st "clk" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultEnable (SmEnablePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Arc2D +pts [ +"-130,1263" +"-415,1064" +"-76,1064" +] +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-425,943,-76,1304" +) +(Line +sl 0 +ro 270 +xt "-415,1064,-106,1064" +pts [ +"-415,1064" +"-106,1064" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-5125,625,-1125,1825" +st "enable" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultRst (SmResetPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-276,1000" +pts [ +"-376,1000" +"-276,950" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-376,1300" +pts [ +"-376,1300" +"-376,950" +] +) +(Circle +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "424,975,724,1275" +radius 150 +) +] +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-625,-1175,2675,225" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "-525,-1075,2575,125" +st "cond" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "1625,62,3751,2188" +radius 1063 +) +pr (Text +va (VaSet +) +xt "1988,525,3388,1725" +st "1" +ju 0 +blo "2688,1525" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-2725,525,-625,1725" +st "rst" +ju 2 +blo "-625,1525" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +) +xt "4750,2625,13350,3825" +st "< Automatic >" +tm "Actions" +) +) +) +defaultRecStatePt (SmRecoveryStatePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +sl 0 +xt "-900,-900,900,900" +radius 900 +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"-426,426" +"426,-426" +] +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"426,426" +"-426,-426" +] +) +] +) +) +activeModelName "StateMachine" +LanguageMgr "Vhdl2008LangMgr" +) diff --git a/Cursor/hds/@motor_side/interface b/Cursor/hds/@motor_side/interface new file mode 100644 index 0000000..6a6bdd1 --- /dev/null +++ b/Cursor/hds/@motor_side/interface @@ -0,0 +1,1720 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 21,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 95,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 15,0 +) +) +uid 402,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "Power" +t "std_ulogic_vector" +b "(7 DOWNTO 0)" +o 1 +suid 16,0 +) +) +uid 404,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "PWM_out" +t "std_ulogic" +o 12 +suid 17,0 +) +) +uid 406,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 18,0 +) +) +uid 408,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "side1" +t "std_uLogic" +o 6 +suid 19,0 +) +) +uid 410,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "side2" +t "std_uLogic" +o 7 +suid 20,0 +) +) +uid 412,0 +) +*20 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "SideL" +t "std_ulogic" +o 2 +suid 21,0 +) +) +uid 414,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 110,0 +optionalChildren [ +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 111,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 112,0 +) +*25 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 113,0 +) +*26 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 403,0 +) +*27 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 405,0 +) +*28 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 407,0 +) +*29 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 409,0 +) +*30 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 411,0 +) +*31 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 413,0 +) +*32 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 415,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 114,0 +optionalChildren [ +*33 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 115,0 +) +*34 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 116,0 +) +*35 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 117,0 +) +*36 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 118,0 +) +*37 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 119,0 +) +*38 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 120,0 +) +*39 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 121,0 +) +*40 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 122,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 109,0 +vaOverrides [ +] +) +] +) +uid 94,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 124,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 136,0 +optionalChildren [ +*53 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *54 (MRCItem +litem &41 +pos 3 +dimension 20 +) +uid 138,0 +optionalChildren [ +*55 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 139,0 +) +*56 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 140,0 +) +*57 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 141,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 142,0 +optionalChildren [ +*58 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 143,0 +) +*59 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 144,0 +) +*60 (MRCItem +litem &48 +pos 2 +dimension 100 +uid 145,0 +) +*61 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 146,0 +) +*62 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 147,0 +) +*63 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 148,0 +) +*64 (MRCItem +litem &52 +pos 6 +dimension 80 +uid 149,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 137,0 +vaOverrides [ +] +) +] +) +uid 123,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@motor_side\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@motor_side\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@motor_side" +) +(vvPair +variable "d_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Motor_side" +) +(vvPair +variable "date" +value "17.12.2021" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "17" +) +(vvPair +variable "entity_name" +value "Motor_side" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "Simon" +) +(vvPair +variable "graphical_source_date" +value "17.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "PC-SDM" +) +(vvPair +variable "graphical_source_time" +value "09:37:40" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "PC-SDM" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "Motor_side" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@motor_side\\interface" +) +(vvPair +variable "p_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\Motor_side\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "09:37:40" +) +(vvPair +variable "unit" +value "Motor_side" +) +(vvPair +variable "user" +value "Simon" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 93,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 367,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 368,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17625,5250,18375,6000" +) +tg (CPTG +uid 369,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 370,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "17300,7000,18700,10800" +st "clock" +ju 2 +blo "18500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 371,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,60500,5600" +st "clock : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 15,0 +) +) +) +*67 (CptPort +uid 372,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 373,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,13625,15000,14375" +) +tg (CPTG +uid 374,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 375,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,13300,20800,14700" +st "Power" +blo "16000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 376,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,70500,4000" +st "Power : IN std_ulogic_vector (7 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Power" +t "std_ulogic_vector" +b "(7 DOWNTO 0)" +o 1 +suid 16,0 +) +) +) +*68 (CptPort +uid 377,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 378,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,7625,15000,8375" +) +tg (CPTG +uid 379,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 380,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,7300,22800,8700" +st "PWM_out" +blo "16000,8500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 381,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,60500,3200" +st "PWM_out : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "PWM_out" +t "std_ulogic" +o 12 +suid 17,0 +) +) +) +*69 (CptPort +uid 382,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 383,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "20625,5250,21375,6000" +) +tg (CPTG +uid 384,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 385,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "20300,7000,21700,11100" +st "reset" +ju 2 +blo "21500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 386,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,60500,6400" +st "reset : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 18,0 +) +) +) +*70 (CptPort +uid 387,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 388,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,8625,23750,9375" +) +tg (CPTG +uid 389,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 390,0 +va (VaSet +font "Verdana,12,0" +) +xt "17800,8300,22000,9700" +st "side1" +ju 2 +blo "22000,9500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 391,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,60500,7200" +st "side1 : OUT std_uLogic ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "side1" +t "std_uLogic" +o 6 +suid 19,0 +) +) +) +*71 (CptPort +uid 392,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 393,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,12625,23750,13375" +) +tg (CPTG +uid 394,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 395,0 +va (VaSet +font "Verdana,12,0" +) +xt "17800,12300,22000,13700" +st "side2" +ju 2 +blo "22000,13500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 396,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7200,59500,8000" +st "side2 : OUT std_uLogic +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "side2" +t "std_uLogic" +o 7 +suid 20,0 +) +) +) +*72 (CptPort +uid 397,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 398,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,9625,15000,10375" +) +tg (CPTG +uid 399,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 400,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,9300,20200,10700" +st "SideL" +blo "16000,10500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 401,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,60500,4800" +st "SideL : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "SideL" +t "std_ulogic" +o 2 +suid 21,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,23000,16000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "15650,9800,19350,11000" +st "Cursor" +blo "15650,10800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "15650,11000,22350,12200" +st "Motor_side" +blo "15650,12000" +) +) +gi *73 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "-15000,7000,-3500,7800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*74 (Grouping +uid 16,0 +optionalChildren [ +*75 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,46000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,46900,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *85 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*86 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*87 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor" +entityName "Driver" +viewName "drivert.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *88 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *89 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,8000,45200,9200" +st "User:" +blo "42000,9000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9200,44000,9200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 415,0 +activeModelName "Symbol:CDM" +) diff --git a/Cursor/hds/@p@w@m/fsm.sm b/Cursor/hds/@p@w@m/fsm.sm new file mode 100644 index 0000000..b956fa5 --- /dev/null +++ b/Cursor/hds/@p@w@m/fsm.sm @@ -0,0 +1,3420 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +machine (Machine +name "csm" +children [ +(Machine +name "csm" +children [ +] +stateSignalName "current_state" +) +] +) +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (StateMachine +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@p@w@m\\fsm.sm.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@p@w@m\\fsm.sm.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "fsm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@p@w@m" +) +(vvPair +variable "d_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\PWM" +) +(vvPair +variable "date" +value "17.12.2021" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "17" +) +(vvPair +variable "entity_name" +value "PWM" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "fsm.sm" +) +(vvPair +variable "f_logical" +value "fsm.sm" +) +(vvPair +variable "f_noext" +value "fsm" +) +(vvPair +variable "graphical_source_author" +value "Simon" +) +(vvPair +variable "graphical_source_date" +value "17.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "PC-SDM" +) +(vvPair +variable "graphical_source_time" +value "08:45:08" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "PC-SDM" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "PWM" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@p@w@m\\fsm.sm" +) +(vvPair +variable "p_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\PWM\\fsm.sm" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sm" +) +(vvPair +variable "this_file" +value "fsm" +) +(vvPair +variable "this_file_logical" +value "fsm" +) +(vvPair +variable "time" +value "08:45:08" +) +(vvPair +variable "unit" +value "PWM" +) +(vvPair +variable "user" +value "Simon" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "fsm" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +uid 168,0 +optionalChildren [ +*1 (ConcurrentSM +uid 1,0 +topDiagram (StateDiagram +LanguageMgr "None" +uid 2,0 +optionalChildren [ +*2 (State +uid 43,0 +shape (Circle +uid 44,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "28587,9587,41413,22413" +radius 6413 +) +name (Text +uid 45,0 +va (VaSet +font "Verdana,12,1" +) +xt "29200,15300,40800,16700" +st "PWM_disabled" +ju 0 +blo "35000,16500" +tm "ONodeName" +) +wait (TextAssociate +uid 46,0 +ps "CenterOffsetStrategy" +text (Text +uid 47,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "34750,16200,39850,17600" +st "wait 2" +blo "34750,17400" +tm "SmWaitText" +) +) +encoding (Text +uid 48,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "35000,17100,35000,17100" +blo "35000,17100" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 51,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 52,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "33850,18700,44150,20100" +) +autoResize 1 +tline (Line +uid 53,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "33950,18600,44050,18600" +pts [ +"33950,18600" +"44050,18600" +] +) +bline (Line +uid 54,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "33950,18000,44050,18000" +pts [ +"33950,18000" +"44050,18000" +] +) +ttri (Triangle +uid 55,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "33500,18225,33850,18575" +) +btri (Triangle +uid 56,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "33500,15825,33850,16175" +) +entryActions (MLText +uid 57,0 +va (VaSet +) +xt "33950,18400,33950,18400" +tm "Actions" +) +inActions (MLText +uid 58,0 +va (VaSet +) +xt "33950,18800,44050,20000" +st "PWM_out <= '0' ;" +tm "Actions" +) +exitActions (MLText +uid 59,0 +va (VaSet +) +xt "35000,16000,35000,16000" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 49,0 +ps "CenterOffsetStrategy" +text (MLText +uid 50,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "32900,17200,39600,18400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*3 (SmClockPoint +uid 60,0 +shape (CompositeShape +uid 61,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 62,0 +sl 0 +ro 270 +xt "3250,10500,5500,11500" +) +(OrthoPolyLine +uid 63,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,10700,4749,11300" +pts [ +"4150,11300" +"4449,11300" +"4449,10700" +"4749,10700" +] +) +(Arc2D +pts [ +"3759,11153" +"3504,10847" +"3759,10847" +] +uid 64,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "3431,10800,3759,11199" +) +] +) +name (TextAssociate +uid 65,0 +ps "CenterOffsetStrategy" +text (Text +uid 66,0 +va (VaSet +) +xt "-650,10500,2750,11700" +st "clock" +ju 2 +blo "2750,11500" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +uid 67,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 68,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "5500,10300,22800,11700" +) +autoResize 1 +cond (MLText +uid 69,0 +va (VaSet +) +xt "5600,10400,22700,11600" +st "clock'EVENT AND clock = '1'" +tm "SmControlConditionMgr" +) +) +) +*4 (SmResetPoint +uid 70,0 +shape (CompositeShape +uid 71,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 72,0 +sl 0 +ro 270 +xt "3250,19500,5500,20500" +) +(OrthoPolyLine +uid 73,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,19700,4749,20300" +pts [ +"4749,20300" +"4449,20300" +"4449,19700" +"4150,19700" +] +) +(Line +uid 74,0 +sl 0 +ro 270 +xt "3499,19825,3599,19875" +pts [ +"3499,19875" +"3599,19825" +] +) +(Line +uid 75,0 +sl 0 +ro 270 +xt "3499,19825,3499,20175" +pts [ +"3499,20175" +"3499,19825" +] +) +(Circle +uid 76,0 +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "4299,19850,4599,20150" +radius 150 +) +] +) +cond (SmControlCondition +uid 82,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 83,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "2300,17700,9100,19100" +) +autoResize 1 +cond (MLText +uid 84,0 +va (VaSet +isHidden 1 +) +xt "2400,17800,9000,19000" +st "reset = '0'" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +uid 79,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 80,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5500,18937,7626,21063" +radius 1063 +) +pr (Text +uid 81,0 +va (VaSet +isHidden 1 +) +xt "5863,19400,7263,20600" +st "1" +ju 0 +blo "6563,20400" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +uid 77,0 +ps "CenterOffsetStrategy" +text (Text +uid 78,0 +va (VaSet +) +xt "-50,19400,3250,20600" +st "reset" +ju 2 +blo "3250,20400" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +uid 85,0 +ps "CenterOffsetStrategy" +text (MLText +uid 86,0 +va (VaSet +isHidden 1 +) +xt "11125,24125,19725,25325" +st "< Automatic >" +tm "Actions" +) +) +) +*5 (Link +uid 87,0 +shape (CompositeShape +uid 88,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 89,0 +sl 0 +ro 270 +xt "17000,19500,19250,20500" +) +(Line +uid 90,0 +sl 0 +ro 270 +xt "16500,20000,17000,20000" +pts [ +"16500,20000" +"17000,20000" +] +) +] +) +name (TextAssociate +uid 91,0 +ps "CenterOffsetStrategy" +text (Text +uid 92,0 +va (VaSet +font "Verdana,9,1" +) +xt "19750,19500,28250,20700" +st "PWM_disabled" +blo "19750,20500" +tm "LinkName" +) +) +) +*6 (SmRecoveryStatePoint +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +uid 95,0 +sl 0 +xt "3250,14100,5050,15900" +radius 900 +) +(Line +uid 96,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"3724,15426" +"4576,14574" +] +) +(Line +uid 97,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"4576,15426" +"3724,14574" +] +) +] +) +) +*7 (Link +uid 98,0 +shape (CompositeShape +uid 99,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 100,0 +sl 0 +ro 270 +xt "17000,14500,19250,15500" +) +(Line +uid 101,0 +sl 0 +ro 270 +xt "16500,15000,17000,15000" +pts [ +"16500,15000" +"17000,15000" +] +) +] +) +name (TextAssociate +uid 102,0 +ps "CenterOffsetStrategy" +text (Text +uid 103,0 +va (VaSet +font "Verdana,9,1" +) +xt "19750,14500,28250,15700" +st "PWM_disabled" +blo "19750,15500" +tm "LinkName" +) +) +) +*8 (Grouping +uid 124,0 +optionalChildren [ +*9 (CommentText +uid 126,0 +shape (Rectangle +uid 127,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 128,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46000,47000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 129,0 +shape (Rectangle +uid 130,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,57000,43000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 131,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,42000,56200,43000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 132,0 +shape (Rectangle +uid 133,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 134,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,44000,46200,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*12 (CommentText +uid 135,0 +shape (Rectangle +uid 136,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 137,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,44000,34300,45000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*13 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,43000,73000,47000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 140,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,43200,62600,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*14 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,42000,73000,43000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 143,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,42000,58800,43000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*15 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,42000,53000,44000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 146,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,42400,47650,43600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*16 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 149,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,45000,34300,46000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*17 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 152,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34900,47000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*18 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 155,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,45000,43100,46000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 125,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,42000,73000,47000" +) +oxt "14000,66000,55000,71000" +) +*19 (State +uid 266,0 +shape (Circle +uid 267,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "50275,9210,62805,21740" +radius 6265 +) +name (Text +uid 268,0 +va (VaSet +font "Verdana,12,1" +) +xt "50890,14775,62190,16175" +st "PWM_enabled" +ju 0 +blo "56540,15975" +tm "ONodeName" +) +wait (TextAssociate +uid 269,0 +ps "CenterOffsetStrategy" +text (Text +uid 270,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "56290,15675,61390,17075" +st "wait 2" +blo "56290,16875" +tm "SmWaitText" +) +) +encoding (Text +uid 271,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "56540,16575,56540,16575" +blo "56540,16575" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 274,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 275,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "55390,18175,65690,19575" +) +autoResize 1 +tline (Line +uid 276,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "55490,18075,65590,18075" +pts [ +"55490,18075" +"65590,18075" +] +) +bline (Line +uid 277,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "55490,17475,65590,17475" +pts [ +"55490,17475" +"65590,17475" +] +) +ttri (Triangle +uid 278,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "55040,17700,55390,18050" +) +btri (Triangle +uid 279,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "55040,15300,55390,15650" +) +entryActions (MLText +uid 280,0 +va (VaSet +) +xt "55490,17875,55490,17875" +tm "Actions" +) +inActions (MLText +uid 281,0 +va (VaSet +) +xt "55490,18275,65590,19475" +st "PWM_out <= '1' ;" +tm "Actions" +) +exitActions (MLText +uid 282,0 +va (VaSet +) +xt "56540,15475,56540,15475" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 272,0 +ps "CenterOffsetStrategy" +text (MLText +uid 273,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "54440,16675,61140,17875" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*20 (Transition +uid 104,0 +shape (Spline +uid 105,0 +va (VaSet +vasetType 3 +) +xt "5500,20000,16500,20000" +pts [ +"5500,20000" +"16500,20000" +] +) +start &4 +end &5 +ss 0 +es 0 +cond "reset = '0'" +tb (TransitionBlock +uid 106,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 107,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "8150,18900,15750,21100" +) +autoResize 1 +lineShape (Line +uid 108,0 +va (VaSet +vasetType 3 +) +xt "8650,20500,15250,20500" +pts [ +"8650,20500" +"15250,20500" +] +) +condition (MLText +uid 109,0 +va (VaSet +) +xt "8650,18900,15250,20100" +st "reset = '0'" +tm "Condition" +) +actions (MLText +uid 110,0 +va (VaSet +isHidden 1 +) +xt "7650,20900,16250,22100" +st "< Automatic >" +tm "Actions" +) +) +tp (TransitionPriority +uid 111,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 112,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5537,18937,7663,21063" +radius 1063 +) +pr (Text +uid 113,0 +va (VaSet +isHidden 1 +) +xt "5900,19400,7300,20600" +st "1" +ju 0 +blo "6600,20400" +tm "TransitionPriority" +) +padding "100,100" +) +) +*21 (Transition +uid 114,0 +shape (Spline +uid 115,0 +va (VaSet +vasetType 3 +) +xt "5050,15000,16500,15000" +pts [ +"5050,15000" +"16500,15000" +] +) +start &6 +end &7 +ss 0 +es 0 +tb (TransitionBlock +uid 116,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 117,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "7625,14495,13925,15505" +) +autoResize 1 +lineShape (Line +uid 118,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "10775,16000,10775,16000" +pts [ +"10775,16000" +"10775,16000" +] +) +condition (MLText +uid 119,0 +va (VaSet +) +xt "8125,14400,13425,15600" +tm "Condition" +) +actions (MLText +uid 120,0 +va (VaSet +) +xt "10775,16000,10775,16000" +tm "Actions" +) +) +tp (TransitionPriority +uid 121,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 122,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5132,13937,7258,16063" +radius 1063 +) +pr (Text +uid 123,0 +va (VaSet +isHidden 1 +) +xt "5495,14400,6895,15600" +st "1" +ju 0 +blo "6195,15400" +tm "TransitionPriority" +) +padding "100,100" +) +) +*22 (Transition +uid 283,0 +shape (Spline +uid 284,0 +va (VaSet +vasetType 3 +) +xt "40848,13342,50649,13368" +pts [ +"40848,13368" +"50649,13342" +] +arrow 1 +) +start &2 +end &19 +cond "countOut <= Power" +tb (TransitionBlock +uid 285,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 286,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "39834,8420,51934,10620" +) +autoResize 1 +lineShape (Line +uid 287,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "42984,10520,42984,10520" +pts [ +"42984,10520" +"42984,10520" +] +) +condition (MLText +uid 288,0 +va (VaSet +) +xt "40334,8920,51434,10120" +st "countOut <= Power" +tm "Condition" +) +actions (MLText +uid 289,0 +va (VaSet +) +xt "45884,10520,45884,10520" +tm "Actions" +) +) +tp (TransitionPriority +uid 290,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 291,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "40765,12302,42891,14428" +radius 1063 +) +pr (Text +uid 292,0 +va (VaSet +isHidden 1 +) +xt "41128,12765,42528,13965" +st "1" +ju 0 +blo "41828,13765" +tm "TransitionPriority" +) +padding "100,100" +) +) +*23 (Transition +uid 293,0 +shape (Spline +uid 294,0 +va (VaSet +vasetType 3 +) +xt "41088,18018,50915,18232" +pts [ +"50915,18232" +"41088,18018" +] +arrow 1 +) +start &19 +end &2 +cond "countOut < Power" +tb (TransitionBlock +uid 295,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 296,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "41526,21311,52926,23511" +) +autoResize 1 +lineShape (Line +uid 297,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "44676,23411,44676,23411" +pts [ +"44676,23411" +"44676,23411" +] +) +condition (MLText +uid 298,0 +va (VaSet +) +xt "42026,21811,52426,23011" +st "countOut < Power" +tm "Condition" +) +actions (MLText +uid 299,0 +va (VaSet +) +xt "47226,23411,47226,23411" +tm "Actions" +) +) +tp (TransitionPriority +uid 300,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 301,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "48870,17147,50996,19273" +radius 1063 +) +pr (Text +uid 302,0 +va (VaSet +isHidden 1 +) +xt "49233,17610,50633,18810" +st "1" +ju 0 +blo "49933,18610" +tm "TransitionPriority" +) +padding "100,100" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 0 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *24 (PackageList +uid 31,0 +stg "VerticalLayoutStrategy" +textVec [ +*25 (Text +uid 32,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,3000,7600,4200" +st "Package List" +blo "0,4000" +) +*26 (MLText +uid 33,0 +va (VaSet +) +xt "0,4200,17500,10200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "SmPackageListTextMgr" +) +] +) +compDirBlock (MlTextGroup +uid 34,0 +stg "VerticalLayoutStrategy" +textVec [ +*27 (Text +uid 35,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*28 (Text +uid 36,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*29 (MLText +uid 37,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "SmCompilerDirectivesTextMgr" +) +*30 (Text +uid 38,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*31 (MLText +uid 39,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "SmCompilerDirectivesTextMgr" +) +*32 (Text +uid 40,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*33 (MLText +uid 41,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "SmCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1722,1111" +viewArea "-4286,-4742,82229,52339" +cachedDiagramExtent "-650,-1000,86600,47000" +hasePageBreakOrigin 1 +pageBreakOrigin "-1000,-2000" +isTopLevel 1 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +localDecl *34 (SmLocalDecl +uid 3,0 +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,-1000,42400,200" +st "Architecture Declarations" +blo "27800,0" +) +*36 (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*37 (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,200,33000,1400" +st "Pre Decls" +blo "27800,1200" +) +*38 (MLText +uid 7,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*39 (Text +uid 8,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,1400,34200,2600" +st "Post Decls" +blo "27800,2400" +) +*40 (MLText +uid 9,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,2600,27800,2600" +tm "LocalDeclTextMgr" +) +] +) +processDecl *41 (SmProcessDecl +uid 10,0 +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,-1000,86600,200" +st "Process Declarations" +blo "74500,0" +) +*43 (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,200,84000,1400" +st "Clocked Process:" +blo "74500,1200" +) +*44 (MLText +uid 13,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,-1000,74500,-1000" +tm "ProcessDeclTextMgr" +) +*45 (Text +uid 14,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,1400,83800,2600" +st "Output Process:" +blo "74500,2400" +) +*46 (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,2600,74500,2600" +tm "ProcessDeclTextMgr" +) +] +associable 1 +) +defaultActions *47 (MlTextGroup +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-1000,8400,200" +st "Global Actions" +blo "0,0" +) +*49 (Text +uid 18,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,200,7400,1400" +st "Pre Actions:" +blo "0,1200" +) +*50 (MLText +uid 19,0 +va (VaSet +) +xt "0,-1000,0,-1000" +tm "Actions" +) +*51 (Text +uid 20,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,1400,8000,2600" +st "Post Actions:" +blo "0,2400" +) +*52 (MLText +uid 21,0 +va (VaSet +) +xt "0,2600,0,2600" +tm "Actions" +) +] +associable 1 +) +archConcurrentStatementBlock *53 (BiTextGroup +uid 22,0 +stg "VerticalLayoutStrategy" +first (Text +uid 23,0 +va (VaSet +font "Verdana,9,1" +) +xt "11400,-1000,24800,200" +st "Concurrent Statements" +blo "11400,0" +) +second (MLText +uid 24,0 +va (VaSet +) +xt "11400,200,11400,200" +tm "ArchConcStmtTextMgr" +) +associable 1 +) +signalsGenStatus *54 (SmSignalGenStatus +uid 28,0 +stg "VerticalLayoutStrategy" +first (Text +uid 29,0 +va (VaSet +font "Verdana,9,1" +) +xt "45400,-1000,53200,200" +st "Signal Status" +blo "45400,0" +) +second (MLText +uid 30,0 +va (VaSet +font "Courier New,8,0" +) +xt "45400,200,71900,1800" +st "SIGNAL MODE DEFAULT RESET SCHEME COMMENT +PWM_out OUT COMB +" +tm "SmSignalsGenStatusTextMgr" +) +) +stateRegBlock *55 (BiTextGroup +uid 25,0 +stg "VerticalLayoutStrategy" +first (Text +uid 26,0 +va (VaSet +font "Verdana,9,1" +) +xt "56200,-1000,71500,200" +st "State Register Statements" +blo "56200,0" +) +second (MLText +uid 27,0 +va (VaSet +) +xt "56200,200,56200,200" +tm "Actions" +) +associable 1 +) +) +genChar (SmGenChar +uid 42,0 +nextStateClocking 0 +) +encoding (Encoding +scheme 3 +encodingStyles [ +(pair +scheme 0 +style 0 +) +(pair +scheme 1 +style 1 +) +(pair +scheme 2 +style 0 +) +(pair +scheme 3 +style 0 +) +(pair +scheme 4 +style 0 +) +(pair +scheme 5 +style 0 +) +] +otherValues [ +(pair +scheme 0 +otherValue "" +) +(pair +scheme 1 +otherValue "" +) +(pair +scheme 2 +otherValue "" +) +(pair +scheme 3 +otherValue "" +) +(pair +scheme 4 +otherValue "" +) +(pair +scheme 5 +otherValue "" +) +] +attribute 0 +synSafe 0 +outputEncodedLocals 0 +useVerilogParameterRange 0 +radix 2 +) +stateOrder [ +&2 +&19 +] +name "csm" +) +] +lastUid 302,0 +commonDM (CommonDM +ldm (LogicalDM +emptyRow *56 (LEmptyRow +) +uid 170,0 +optionalChildren [ +*57 (RefLabelRowHdr +) +*58 (TitleRowHdr +) +*59 (FilterRowHdr +) +*60 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*61 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*62 (GroupColHdr +tm "GroupColHdrMgr" +) +*63 (NameColHdr +tm "SmNameColHdrMgr" +) +*64 (ModeColHdr +tm "SmModeColHdrMgr" +) +*65 (TypeColHdr +tm "SmTypeColHdrMgr" +) +*66 (BoundsColHdr +tm "SmBoundsColHdrMgr" +) +*67 (InitColHdr +tm "SmInitColHdrMgr" +) +*68 (ColumnHdr +tm "SmCategoryColHdrMgr" +) +*69 (ColumnHdr +tm "SmAssignColHdrMgr" +) +*70 (ColumnHdr +tm "SmExprColHdrMgr" +) +*71 (ColumnHdr +tm "SmSchemeColHdrMgr" +) +*72 (ColumnHdr +tm "SmDefValColHdrMgr" +) +*73 (ColumnHdr +tm "SmRstValColHdrMgr" +) +*74 (EolColHdr +tm "SmEolColHdrMgr" +) +*75 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 1 +) +) +uid 156,0 +) +*76 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +uid 158,0 +cat 1 +expr "clock'EVENT AND clock = '1'" +) +*77 (LeafLogPort +port (LogicalPort +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 3 +) +) +uid 160,0 +) +*78 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +) +) +uid 162,0 +cat 8 +expr "reset = '0'" +) +*79 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "PWM_out" +t "std_ulogic" +o 5 +) +) +uid 164,0 +scheme 0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 189,0 +optionalChildren [ +*80 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *81 (MRCItem +litem &56 +pos 5 +dimension 20 +) +uid 191,0 +optionalChildren [ +*82 (MRCItem +litem &57 +pos 0 +dimension 20 +uid 192,0 +) +*83 (MRCItem +litem &58 +pos 1 +dimension 23 +uid 193,0 +) +*84 (MRCItem +litem &59 +pos 2 +hidden 1 +dimension 20 +uid 194,0 +) +*85 (MRCItem +litem &75 +pos 0 +dimension 20 +uid 157,0 +) +*86 (MRCItem +litem &76 +pos 1 +dimension 20 +uid 159,0 +) +*87 (MRCItem +litem &77 +pos 2 +dimension 20 +uid 161,0 +) +*88 (MRCItem +litem &78 +pos 3 +dimension 20 +uid 163,0 +) +*89 (MRCItem +litem &79 +pos 4 +dimension 20 +uid 165,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 195,0 +optionalChildren [ +*90 (MRCItem +litem &60 +pos 0 +dimension 20 +uid 196,0 +) +*91 (MRCItem +litem &62 +pos 1 +dimension 50 +uid 197,0 +) +*92 (MRCItem +litem &63 +pos 2 +dimension 70 +uid 198,0 +) +*93 (MRCItem +litem &64 +pos 3 +dimension 50 +uid 199,0 +) +*94 (MRCItem +litem &65 +pos 4 +dimension 80 +uid 200,0 +) +*95 (MRCItem +litem &66 +pos 5 +dimension 80 +uid 201,0 +) +*96 (MRCItem +litem &67 +pos 6 +dimension 40 +uid 202,0 +) +*97 (MRCItem +litem &68 +pos 7 +dimension 100 +uid 203,0 +) +*98 (MRCItem +litem &69 +pos 8 +dimension 60 +uid 204,0 +) +*99 (MRCItem +litem &70 +pos 9 +dimension 130 +uid 205,0 +) +*100 (MRCItem +litem &71 +pos 10 +dimension 56 +uid 206,0 +) +*101 (MRCItem +litem &72 +pos 11 +dimension 50 +uid 207,0 +) +*102 (MRCItem +litem &73 +pos 12 +dimension 50 +uid 208,0 +) +*103 (MRCItem +litem &74 +pos 13 +dimension 80 +uid 209,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 190,0 +vaOverrides [ +] +) +] +) +uid 169,0 +) +cdmCsm &1 +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *104 (LEmptyRow +) +uid 211,0 +optionalChildren [ +*105 (RefLabelRowHdr +) +*106 (TitleRowHdr +) +*107 (FilterRowHdr +) +*108 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*109 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*110 (GroupColHdr +tm "GroupColHdrMgr" +) +*111 (NameColHdr +tm "GenericNameColHdrMgr" +) +*112 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*113 (InitColHdr +tm "GenericValueColHdrMgr" +) +*114 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*115 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 223,0 +optionalChildren [ +*116 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *117 (MRCItem +litem &104 +pos 0 +dimension 20 +) +uid 225,0 +optionalChildren [ +*118 (MRCItem +litem &105 +pos 0 +dimension 20 +uid 226,0 +) +*119 (MRCItem +litem &106 +pos 1 +dimension 23 +uid 227,0 +) +*120 (MRCItem +litem &107 +pos 2 +hidden 1 +dimension 20 +uid 228,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 229,0 +optionalChildren [ +*121 (MRCItem +litem &108 +pos 0 +dimension 20 +uid 230,0 +) +*122 (MRCItem +litem &110 +pos 1 +dimension 50 +uid 231,0 +) +*123 (MRCItem +litem &111 +pos 2 +dimension 100 +uid 232,0 +) +*124 (MRCItem +litem &112 +pos 3 +dimension 100 +uid 233,0 +) +*125 (MRCItem +litem &113 +pos 4 +dimension 50 +uid 234,0 +) +*126 (MRCItem +litem &114 +pos 5 +dimension 50 +uid 235,0 +) +*127 (MRCItem +litem &115 +pos 6 +dimension 80 +uid 236,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 224,0 +vaOverrides [ +] +) +] +) +uid 210,0 +type 1 +) +signalSuffix "_int" +clockSuffix "_cld" +defaultState (State +shape (Circle +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-3551,-3551,3551,3551" +radius 3551 +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3900,3300,4100,3500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +entryActions (MLText +va (VaSet +) +tm "Actions" +) +inActions (MLText +va (VaSet +) +tm "Actions" +) +exitActions (MLText +va (VaSet +) +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultWaitState (State +shape (CircleInOctagon +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +xt "-529,-529,6529,6529" +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8900,6300,9100,6500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +entryActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +inActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +exitActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +isWait 1 +) +defaultCompositeState (CompositeState +shape (TripleCircle +va (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "Verdana,10,1" +) +xt "-1000,-600,1000,600" +st "s0" +ju 0 +blo "0,400" +tm "ONodeName" +) +childDiagram &0 +) +defaultJunction (Junction +shape (Diamond +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "-1300,-1300,2300,2300" +) +symbol (Text +va (VaSet +font "Verdana,10,1" +) +xt "-300,-100,1300,1100" +st "&" +ju 0 +blo "500,900" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2000,1000,2000,1000" +blo "2000,1000" +tm "JunctionName" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2550,2000,4150,3200" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultEntryPoint (EntryPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +] +) +) +defaultInterruptPoint (InterruptPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +(CustomPolygon +pts [ +"-625,1600" +"-625,1300" +"25,1425" +"-75,1150" +"1025,1350" +"200,1350" +"375,1600" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "-625,1150,1025,1600" +) +] +) +) +defaultLink (Link +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2375,875,5075,2075" +st "Link" +blo "2375,1875" +tm "LinkName" +) +) +) +defaultExitPoint (ExitPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +) +defaultTransition (Transition +shape (Spline +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +arrow 1 +) +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-500,-500,5800,1700" +) +autoResize 1 +lineShape (Line +va (VaSet +vasetType 3 +isHidden 1 +) +xt "2650,1600,2650,1600" +pts [ +"2650,1600" +"2650,1600" +] +) +condition (MLText +va (VaSet +) +xt "0,0,5300,1200" +st "condition" +tm "Condition" +) +actions (MLText +va (VaSet +) +xt "2650,2000,2650,2000" +tm "Actions" +) +) +tp (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-1063,-1063,1063,1063" +radius 1063 +) +pr (Text +va (VaSet +) +xt "-700,-600,700,600" +st "1" +ju 0 +blo "0,400" +tm "TransitionPriority" +) +padding "100,100" +) +) +defaultClk (SmClockPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"275,1425" +"574,1425" +"574,825" +"874,825" +] +) +(Arc2D +pts [ +"-116,1278" +"-371,972" +"-116,972" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-441,926,-116,1323" +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-3325,625,-1125,1825" +st "clk" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultEnable (SmEnablePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Arc2D +pts [ +"-130,1263" +"-415,1064" +"-76,1064" +] +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-425,943,-76,1304" +) +(Line +sl 0 +ro 270 +xt "-415,1064,-106,1064" +pts [ +"-415,1064" +"-106,1064" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-5125,625,-1125,1825" +st "enable" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultRst (SmResetPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-276,1000" +pts [ +"-376,1000" +"-276,950" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-376,1300" +pts [ +"-376,1300" +"-376,950" +] +) +(Circle +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "424,975,724,1275" +radius 150 +) +] +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-625,-1175,2675,225" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "-525,-1075,2575,125" +st "cond" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "1625,62,3751,2188" +radius 1063 +) +pr (Text +va (VaSet +) +xt "1988,525,3388,1725" +st "1" +ju 0 +blo "2688,1525" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-2725,525,-625,1725" +st "rst" +ju 2 +blo "-625,1525" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +) +xt "4750,2625,13350,3825" +st "< Automatic >" +tm "Actions" +) +) +) +defaultRecStatePt (SmRecoveryStatePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +sl 0 +xt "-900,-900,900,900" +radius 900 +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"-426,426" +"426,-426" +] +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"426,426" +"-426,-426" +] +) +] +) +) +activeModelName "StateMachine" +LanguageMgr "Vhdl2008LangMgr" +) diff --git a/Cursor/hds/@p@w@m/interface b/Cursor/hds/@p@w@m/interface new file mode 100644 index 0000000..3219990 --- /dev/null +++ b/Cursor/hds/@p@w@m/interface @@ -0,0 +1,1589 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 21,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 95,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 17,0 +) +) +uid 406,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "countOut" +t "std_ulogic_vector" +b "(7 DOWNTO 0)" +o 12 +suid 18,0 +) +) +uid 408,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "Power" +t "std_ulogic_vector" +b "(7 DOWNTO 0)" +o 1 +suid 19,0 +) +) +uid 410,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "PWM_out" +t "std_ulogic" +o 12 +suid 20,0 +) +) +uid 412,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 21,0 +) +) +uid 414,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 110,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 111,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 112,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 113,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 407,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 409,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 411,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 413,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 415,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 114,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 115,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 116,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 117,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 118,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 119,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 120,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 121,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 122,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 109,0 +vaOverrides [ +] +) +] +) +uid 94,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 124,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 136,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *50 (MRCItem +litem &37 +pos 3 +dimension 20 +) +uid 138,0 +optionalChildren [ +*51 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 139,0 +) +*52 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 140,0 +) +*53 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 141,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 142,0 +optionalChildren [ +*54 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 143,0 +) +*55 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 144,0 +) +*56 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 145,0 +) +*57 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 146,0 +) +*58 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 147,0 +) +*59 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 148,0 +) +*60 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 149,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 137,0 +vaOverrides [ +] +) +] +) +uid 123,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@p@w@m\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@p@w@m\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@p@w@m" +) +(vvPair +variable "d_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\PWM" +) +(vvPair +variable "date" +value "17.12.2021" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "17" +) +(vvPair +variable "entity_name" +value "PWM" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "Simon" +) +(vvPair +variable "graphical_source_date" +value "17.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "PC-SDM" +) +(vvPair +variable "graphical_source_time" +value "09:37:40" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "PC-SDM" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "PWM" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\@p@w@m\\interface" +) +(vvPair +variable "p_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\PWM\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "09:37:40" +) +(vvPair +variable "unit" +value "PWM" +) +(vvPair +variable "user" +value "Simon" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 93,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 381,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 382,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17625,5250,18375,6000" +) +tg (CPTG +uid 383,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 384,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "17300,7000,18700,10800" +st "clock" +ju 2 +blo "18500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 385,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,61000,4000" +st "clock : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 17,0 +) +) +) +*63 (CptPort +uid 386,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 387,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,13625,15000,14375" +) +tg (CPTG +uid 388,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 389,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,13300,22600,14700" +st "countOut" +blo "16000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 390,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,71000,4800" +st "countOut : IN std_ulogic_vector (7 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "countOut" +t "std_ulogic_vector" +b "(7 DOWNTO 0)" +o 12 +suid 18,0 +) +) +) +*64 (CptPort +uid 391,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 392,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,7625,15000,8375" +) +tg (CPTG +uid 393,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 394,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,7300,20800,8700" +st "Power" +blo "16000,8500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 395,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,71000,3200" +st "Power : IN std_ulogic_vector (7 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Power" +t "std_ulogic_vector" +b "(7 DOWNTO 0)" +o 1 +suid 19,0 +) +) +) +*65 (CptPort +uid 396,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 397,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,13625,23750,14375" +) +tg (CPTG +uid 398,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 399,0 +va (VaSet +font "Verdana,12,0" +) +xt "15200,13300,22000,14700" +st "PWM_out" +ju 2 +blo "22000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 400,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,60000,6400" +st "PWM_out : OUT std_ulogic +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "PWM_out" +t "std_ulogic" +o 12 +suid 20,0 +) +) +) +*66 (CptPort +uid 401,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 402,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "19625,5250,20375,6000" +) +tg (CPTG +uid 403,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 404,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "19300,7000,20700,11100" +st "reset" +ju 2 +blo "20500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 405,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,61000,5600" +st "reset : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 21,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,23000,16000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "17150,9800,20850,11000" +st "Cursor" +blo "17150,10800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "17150,11000,20350,12200" +st "PWM" +blo "17150,12000" +) +) +gi *67 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "-10500,7000,1000,7800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*68 (Grouping +uid 16,0 +optionalChildren [ +*69 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,46000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,45100,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *79 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*81 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor" +entityName "Driver" +viewName "drivert.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *82 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *83 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,6400,45200,7600" +st "User:" +blo "42000,7400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7600,44000,7600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 415,0 +activeModelName "Symbol:CDM" +) diff --git a/Cursor/hds/_counter_controller._epf b/Cursor/hds/_counter_controller._epf new file mode 100644 index 0000000..0cc8dd8 --- /dev/null +++ b/Cursor/hds/_counter_controller._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom fsm +DEFAULT_FILE atom @counter_@controller/fsm.sm diff --git a/Cursor/hds/_enable_motor._epf b/Cursor/hds/_enable_motor._epf new file mode 100644 index 0000000..f53be12 --- /dev/null +++ b/Cursor/hds/_enable_motor._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom fsm +DEFAULT_FILE atom enable_motor/fsm.sm diff --git a/Cursor/hds/_motor_side._epf b/Cursor/hds/_motor_side._epf new file mode 100644 index 0000000..b591886 --- /dev/null +++ b/Cursor/hds/_motor_side._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom fsm +DEFAULT_FILE atom @motor_side/fsm.sm diff --git a/Cursor/hds/_pwm._epf b/Cursor/hds/_pwm._epf new file mode 100644 index 0000000..b8423fb --- /dev/null +++ b/Cursor/hds/_pwm._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom fsm +DEFAULT_FILE atom @p@w@m/fsm.sm diff --git a/Cursor/hds/cursor@circuit/student@version.bd b/Cursor/hds/cursor@circuit/student@version.bd index 9340470..faf4704 100644 --- a/Cursor/hds/cursor@circuit/student@version.bd +++ b/Cursor/hds/cursor@circuit/student@version.bd @@ -32,22 +32,22 @@ mwi 0 uid 11442,0 ) (Instance -name "I2" -duLibraryName "Cursor" -duName "Main" -elements [ -] -mwi 0 -uid 11451,0 -) -(Instance name "I3" duLibraryName "Cursor" duName "Driver" elements [ ] mwi 0 -uid 11460,0 +uid 12354,0 +) +(Instance +name "I2" +duLibraryName "Cursor" +duName "Main" +elements [ +] +mwi 0 +uid 12411,0 ) ] libraryRefs [ @@ -110,19 +110,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\c ) (vvPair variable "date" -value "14.12.2021" +value "17.12.2021" ) (vvPair variable "day" -value "mar." +value "ven." ) (vvPair variable "day_long" -value "mardi" +value "vendredi" ) (vvPair variable "dd" -value "14" +value "17" ) (vvPair variable "designName" @@ -154,7 +154,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "17.12.2021" ) (vvPair variable "graphical_source_group" @@ -166,7 +166,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "16:07:53" +value "09:40:24" ) (vvPair variable "group" @@ -302,7 +302,7 @@ value "studentVersion" ) (vvPair variable "time" -value "16:07:53" +value "09:40:24" ) (vvPair variable "unit" @@ -347,7 +347,8 @@ va (VaSet isHidden 1 ) xt "26000,1000,37800,2200" -st "reset : std_ulogic" +st "reset : std_ulogic +" ) ) *2 (Net @@ -364,7 +365,8 @@ va (VaSet isHidden 1 ) xt "26000,-2600,37900,-1400" -st "clock : std_ulogic" +st "clock : std_ulogic +" ) ) *3 (Grouping @@ -752,7 +754,8 @@ isHidden 1 font "Verdana,8,0" ) xt "-17000,5800,-7400,6800" -st "restart : std_uLogic" +st "restart : std_uLogic +" ) ) *16 (PortIoIn @@ -825,7 +828,8 @@ isHidden 1 font "Verdana,8,0" ) xt "-17000,5800,-6600,6800" -st "testMode : std_uLogic" +st "testMode : std_uLogic +" ) ) *18 (PortIoOut @@ -866,7 +870,6 @@ isHidden 1 font "Verdana,12,0" ) xt "129000,9300,148600,10700" -st "testOut : (1 TO testLineNb)" blo "129000,10500" tm "WireNameMgr" ) @@ -881,26 +884,7 @@ tm "SignalTypeMgr" ) ) ) -*19 (Net -uid 2955,0 -decl (Decl -n "testOut" -t "std_uLogic_vector" -b "(1 TO testLineNb)" -o 21 -suid 5,0 -) -declText (MLText -uid 2956,0 -va (VaSet -isHidden 1 -font "Verdana,8,0" -) -xt "0,800,20600,1800" -st "testOut : std_uLogic_vector(1 TO testLineNb)" -) -) -*20 (PortIoIn +*19 (PortIoIn uid 3259,0 shape (CompositeShape uid 3260,0 @@ -955,7 +939,7 @@ tm "SignalTypeMgr" ) ) ) -*21 (Net +*20 (Net uid 3272,0 decl (Decl n "sensor1" @@ -970,10 +954,11 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,10100,1800" -st "sensor1 : std_uLogic" +st "sensor1 : std_uLogic +" ) ) -*22 (PortIoIn +*21 (PortIoIn uid 3274,0 shape (CompositeShape uid 3275,0 @@ -1028,7 +1013,7 @@ tm "SignalTypeMgr" ) ) ) -*23 (Net +*22 (Net uid 3287,0 decl (Decl n "sensor2" @@ -1043,10 +1028,11 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,10100,1800" -st "sensor2 : std_uLogic" +st "sensor2 : std_uLogic +" ) ) -*24 (PortIoOut +*23 (PortIoOut uid 3517,0 shape (CompositeShape uid 3518,0 @@ -1099,7 +1085,7 @@ tm "SignalTypeMgr" ) ) ) -*25 (Net +*24 (Net uid 3530,0 decl (Decl n "motorOn" @@ -1114,10 +1100,11 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,10500,1800" -st "motorOn : std_uLogic" +st "motorOn : std_uLogic +" ) ) -*26 (PortIoOut +*25 (PortIoOut uid 4255,0 shape (CompositeShape uid 4256,0 @@ -1170,7 +1157,7 @@ tm "SignalTypeMgr" ) ) ) -*27 (Net +*26 (Net uid 4268,0 decl (Decl n "side1" @@ -1185,10 +1172,11 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,9700,1800" -st "side1 : std_uLogic" +st "side1 : std_uLogic +" ) ) -*28 (PortIoOut +*27 (PortIoOut uid 4270,0 shape (CompositeShape uid 4271,0 @@ -1241,7 +1229,7 @@ tm "SignalTypeMgr" ) ) ) -*29 (Net +*28 (Net uid 4283,0 decl (Decl n "side2" @@ -1256,10 +1244,11 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,9700,1800" -st "side2 : std_uLogic" +st "side2 : std_uLogic +" ) ) -*30 (PortIoIn +*29 (PortIoIn uid 4528,0 shape (CompositeShape uid 4529,0 @@ -1314,7 +1303,7 @@ tm "SignalTypeMgr" ) ) ) -*31 (Net +*30 (Net uid 4541,0 decl (Decl n "encoderA" @@ -1329,10 +1318,11 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,10400,1800" -st "encoderA : std_uLogic" +st "encoderA : std_uLogic +" ) ) -*32 (PortIoIn +*31 (PortIoIn uid 4543,0 shape (CompositeShape uid 4544,0 @@ -1387,7 +1377,7 @@ tm "SignalTypeMgr" ) ) ) -*33 (Net +*32 (Net uid 4556,0 decl (Decl n "encoderB" @@ -1402,10 +1392,11 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,10400,1800" -st "encoderB : std_uLogic" +st "encoderB : std_uLogic +" ) ) -*34 (PortIoIn +*33 (PortIoIn uid 4558,0 shape (CompositeShape uid 4559,0 @@ -1460,7 +1451,7 @@ tm "SignalTypeMgr" ) ) ) -*35 (Net +*34 (Net uid 4571,0 decl (Decl n "encoderI" @@ -1475,10 +1466,11 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,10200,1800" -st "encoderI : std_uLogic" +st "encoderI : std_uLogic +" ) ) -*36 (PortIoIn +*35 (PortIoIn uid 4573,0 shape (CompositeShape uid 4574,0 @@ -1533,7 +1525,7 @@ tm "SignalTypeMgr" ) ) ) -*37 (Net +*36 (Net uid 4586,0 decl (Decl n "go1" @@ -1548,10 +1540,11 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,9700,1800" -st "go1 : std_uLogic" +st "go1 : std_uLogic +" ) ) -*38 (PortIoIn +*37 (PortIoIn uid 4588,0 shape (CompositeShape uid 4589,0 @@ -1606,7 +1599,7 @@ tm "SignalTypeMgr" ) ) ) -*39 (Net +*38 (Net uid 4601,0 decl (Decl n "go2" @@ -1621,10 +1614,11 @@ isHidden 1 font "Verdana,8,0" ) xt "0,800,9700,1800" -st "go2 : std_uLogic" +st "go2 : std_uLogic +" ) ) -*40 (PortIoIn +*39 (PortIoIn uid 7303,0 shape (CompositeShape uid 7304,0 @@ -1679,7 +1673,7 @@ tm "SignalTypeMgr" ) ) ) -*41 (Net +*40 (Net uid 7316,0 decl (Decl n "button4" @@ -1693,10 +1687,11 @@ va (VaSet isHidden 1 ) xt "0,-23800,12800,-22600" -st "button4 : std_uLogic" +st "button4 : std_uLogic +" ) ) -*42 (PortIoIn +*41 (PortIoIn uid 8032,0 shape (CompositeShape uid 8033,0 @@ -1751,7 +1746,7 @@ tm "SignalTypeMgr" ) ) ) -*43 (PortIoIn +*42 (PortIoIn uid 8039,0 shape (CompositeShape uid 8040,0 @@ -1806,7 +1801,7 @@ tm "SignalTypeMgr" ) ) ) -*44 (Net +*43 (Net uid 9949,0 decl (Decl n "CS1_n" @@ -1820,10 +1815,11 @@ va (VaSet isHidden 1 ) xt "0,400,12600,1600" -st "CS1_n : std_ulogic" +st "CS1_n : std_ulogic +" ) ) -*45 (Net +*44 (Net uid 9957,0 decl (Decl n "SCL" @@ -1837,10 +1833,11 @@ va (VaSet isHidden 1 ) xt "0,400,11900,1600" -st "SCL : std_ulogic" +st "SCL : std_ulogic +" ) ) -*46 (Net +*45 (Net uid 9965,0 decl (Decl n "SI" @@ -1854,10 +1851,11 @@ va (VaSet isHidden 1 ) xt "0,400,11300,1600" -st "SI : std_ulogic" +st "SI : std_ulogic +" ) ) -*47 (Net +*46 (Net uid 9973,0 decl (Decl n "A0" @@ -1871,10 +1869,11 @@ va (VaSet isHidden 1 ) xt "0,400,11600,1600" -st "A0 : std_ulogic" +st "A0 : std_ulogic +" ) ) -*48 (Net +*47 (Net uid 9981,0 decl (Decl n "RST_n" @@ -1888,10 +1887,11 @@ va (VaSet isHidden 1 ) xt "0,400,12500,1600" -st "RST_n : std_ulogic" +st "RST_n : std_ulogic +" ) ) -*49 (PortIoOut +*48 (PortIoOut uid 9989,0 shape (CompositeShape uid 9990,0 @@ -1904,16 +1904,16 @@ optionalChildren [ uid 9991,0 sl 0 ro 270 -xt "136500,72625,138000,73375" +xt "137500,77625,139000,78375" ) (Line uid 9992,0 sl 0 ro 270 -xt "136000,73000,136500,73000" +xt "137000,78000,137500,78000" pts [ -"136000,73000" -"136500,73000" +"137000,78000" +"137500,78000" ] ) ] @@ -1928,9 +1928,9 @@ va (VaSet isHidden 1 font "Verdana,12,0" ) -xt "139000,72300,143900,73700" +xt "140000,77300,144900,78700" st "CS1_n" -blo "139000,73500" +blo "140000,78500" tm "WireNameMgr" ) s (Text @@ -1938,13 +1938,13 @@ uid 9995,0 va (VaSet font "Verdana,12,0" ) -xt "139000,73700,139000,73700" -blo "139000,73700" +xt "140000,78700,140000,78700" +blo "140000,78700" tm "SignalTypeMgr" ) ) ) -*50 (PortIoOut +*49 (PortIoOut uid 9996,0 shape (CompositeShape uid 9997,0 @@ -1957,16 +1957,16 @@ optionalChildren [ uid 9998,0 sl 0 ro 270 -xt "136500,74625,138000,75375" +xt "137500,79625,139000,80375" ) (Line uid 9999,0 sl 0 ro 270 -xt "136000,75000,136500,75000" +xt "137000,80000,137500,80000" pts [ -"136000,75000" -"136500,75000" +"137000,80000" +"137500,80000" ] ) ] @@ -1981,9 +1981,9 @@ va (VaSet isHidden 1 font "Verdana,12,0" ) -xt "139000,74300,142200,75700" +xt "140000,79300,143200,80700" st "SCL" -blo "139000,75500" +blo "140000,80500" tm "WireNameMgr" ) s (Text @@ -1991,13 +1991,13 @@ uid 10002,0 va (VaSet font "Verdana,12,0" ) -xt "139000,75700,139000,75700" -blo "139000,75700" +xt "140000,80700,140000,80700" +blo "140000,80700" tm "SignalTypeMgr" ) ) ) -*51 (PortIoOut +*50 (PortIoOut uid 10003,0 shape (CompositeShape uid 10004,0 @@ -2010,16 +2010,16 @@ optionalChildren [ uid 10005,0 sl 0 ro 270 -xt "136500,76625,138000,77375" +xt "137500,81625,139000,82375" ) (Line uid 10006,0 sl 0 ro 270 -xt "136000,77000,136500,77000" +xt "137000,82000,137500,82000" pts [ -"136000,77000" -"136500,77000" +"137000,82000" +"137500,82000" ] ) ] @@ -2034,9 +2034,9 @@ va (VaSet isHidden 1 font "Verdana,12,0" ) -xt "139000,76300,141100,77700" +xt "140000,81300,142100,82700" st "SI" -blo "139000,77500" +blo "140000,82500" tm "WireNameMgr" ) s (Text @@ -2044,13 +2044,13 @@ uid 10009,0 va (VaSet font "Verdana,12,0" ) -xt "139000,77700,139000,77700" -blo "139000,77700" +xt "140000,82700,140000,82700" +blo "140000,82700" tm "SignalTypeMgr" ) ) ) -*52 (PortIoOut +*51 (PortIoOut uid 10010,0 shape (CompositeShape uid 10011,0 @@ -2063,16 +2063,16 @@ optionalChildren [ uid 10012,0 sl 0 ro 270 -xt "136500,78625,138000,79375" +xt "137500,83625,139000,84375" ) (Line uid 10013,0 sl 0 ro 270 -xt "136000,79000,136500,79000" +xt "137000,84000,137500,84000" pts [ -"136000,79000" -"136500,79000" +"137000,84000" +"137500,84000" ] ) ] @@ -2087,9 +2087,9 @@ va (VaSet isHidden 1 font "Verdana,12,0" ) -xt "139000,78300,141400,79700" +xt "140000,83300,142400,84700" st "A0" -blo "139000,79500" +blo "140000,84500" tm "WireNameMgr" ) s (Text @@ -2097,13 +2097,13 @@ uid 10016,0 va (VaSet font "Verdana,12,0" ) -xt "139000,79700,139000,79700" -blo "139000,79700" +xt "140000,84700,140000,84700" +blo "140000,84700" tm "SignalTypeMgr" ) ) ) -*53 (PortIoOut +*52 (PortIoOut uid 10017,0 shape (CompositeShape uid 10018,0 @@ -2116,16 +2116,16 @@ optionalChildren [ uid 10019,0 sl 0 ro 270 -xt "136500,80625,138000,81375" +xt "137500,85625,139000,86375" ) (Line uid 10020,0 sl 0 ro 270 -xt "136000,81000,136500,81000" +xt "137000,86000,137500,86000" pts [ -"136000,81000" -"136500,81000" +"137000,86000" +"137500,86000" ] ) ] @@ -2140,9 +2140,9 @@ va (VaSet isHidden 1 font "Verdana,12,0" ) -xt "139000,80300,143700,81700" +xt "140000,85300,144700,86700" st "RST_n" -blo "139000,81500" +blo "140000,86500" tm "WireNameMgr" ) s (Text @@ -2150,13 +2150,13 @@ uid 10023,0 va (VaSet font "Verdana,12,0" ) -xt "139000,81700,139000,81700" -blo "139000,81700" +xt "140000,86700,140000,86700" +blo "140000,86700" tm "SignalTypeMgr" ) ) ) -*54 (Blk +*53 (Blk uid 11433,0 shape (Rectangle uid 11434,0 @@ -2172,7 +2172,7 @@ uid 11435,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*55 (Text +*54 (Text uid 11436,0 va (VaSet ) @@ -2181,7 +2181,7 @@ st "Cursor" blo "62950,12200" tm "BdLibraryNameMgr" ) -*56 (Text +*55 (Text uid 11437,0 va (VaSet ) @@ -2190,7 +2190,7 @@ st "Position" blo "62950,13400" tm "BlkNameMgr" ) -*57 (Text +*56 (Text uid 11438,0 va (VaSet ) @@ -2219,7 +2219,7 @@ elements [ ] ) ) -*58 (Blk +*57 (Blk uid 11442,0 shape (Rectangle uid 11443,0 @@ -2235,7 +2235,7 @@ uid 11444,0 ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*59 (Text +*58 (Text uid 11445,0 va (VaSet ) @@ -2244,7 +2244,7 @@ st "Cursor" blo "57950,42200" tm "BdLibraryNameMgr" ) -*60 (Text +*59 (Text uid 11446,0 va (VaSet ) @@ -2253,7 +2253,7 @@ st "Button" blo "57950,43400" tm "BlkNameMgr" ) -*61 (Text +*60 (Text uid 11447,0 va (VaSet ) @@ -2282,133 +2282,7 @@ elements [ ] ) ) -*62 (Blk -uid 11451,0 -shape (Rectangle -uid 11452,0 -va (VaSet -vasetType 1 -fg "40000,56832,65535" -) -xt "80000,8000,110000,71000" -) -oxt "0,0,8000,10000" -ttg (MlTextGroup -uid 11453,0 -ps "CenterOffsetStrategy" -stg "VerticalLayoutStrategy" -textVec [ -*63 (Text -uid 11454,0 -va (VaSet -) -xt "87950,54200,92050,55400" -st "Cursor" -blo "87950,55200" -tm "BdLibraryNameMgr" -) -*64 (Text -uid 11455,0 -va (VaSet -) -xt "87950,55400,91050,56600" -st "Main" -blo "87950,56400" -tm "BlkNameMgr" -) -*65 (Text -uid 11456,0 -va (VaSet -) -xt "87950,56600,89850,57800" -st "I2" -blo "87950,57600" -tm "InstanceNameMgr" -) -] -) -ga (GenericAssociation -uid 11457,0 -ps "EdgeToEdgeStrategy" -matrix (Matrix -uid 11458,0 -text (MLText -uid 11459,0 -va (VaSet -isHidden 1 -) -xt "87950,64200,87950,64200" -) -header "" -) -elements [ -] -) -) -*66 (Blk -uid 11460,0 -shape (Rectangle -uid 11461,0 -va (VaSet -vasetType 1 -fg "40000,56832,65535" -) -xt "120000,59000,128000,73000" -) -oxt "0,0,8000,10000" -ttg (MlTextGroup -uid 11462,0 -ps "CenterOffsetStrategy" -stg "VerticalLayoutStrategy" -textVec [ -*67 (Text -uid 11463,0 -va (VaSet -) -xt "121950,62200,126050,63400" -st "Cursor" -blo "121950,63200" -tm "BdLibraryNameMgr" -) -*68 (Text -uid 11464,0 -va (VaSet -) -xt "121950,63400,125750,64600" -st "Driver" -blo "121950,64400" -tm "BlkNameMgr" -) -*69 (Text -uid 11465,0 -va (VaSet -) -xt "121950,64600,123850,65800" -st "I3" -blo "121950,65600" -tm "InstanceNameMgr" -) -] -) -ga (GenericAssociation -uid 11466,0 -ps "EdgeToEdgeStrategy" -matrix (Matrix -uid 11467,0 -text (MLText -uid 11468,0 -va (VaSet -isHidden 1 -) -xt "121950,72200,121950,72200" -) -header "" -) -elements [ -] -) -) -*70 (Net +*61 (Net uid 11537,0 lang 11 decl (Decl @@ -2423,10 +2297,11 @@ va (VaSet isHidden 1 ) xt "0,0,16300,1200" -st "SIGNAL RaZ : std_ulogic" +st "SIGNAL RaZ : std_ulogic +" ) ) -*71 (Net +*62 (Net uid 11539,0 lang 11 decl (Decl @@ -2442,10 +2317,11 @@ va (VaSet isHidden 1 ) xt "0,0,24700,1200" -st "SIGNAL Position : unsigned(15 DOWNTO 0)" +st "SIGNAL Position : unsigned(15 DOWNTO 0) +" ) ) -*72 (Net +*63 (Net uid 11567,0 lang 11 decl (Decl @@ -2461,10 +2337,11 @@ va (VaSet isHidden 1 ) xt "0,0,23700,1200" -st "SIGNAL button : unsigned(3 DOWNTO 0)" +st "SIGNAL button : unsigned(3 DOWNTO 0) +" ) ) -*73 (Net +*64 (Net uid 11579,0 lang 11 decl (Decl @@ -2479,10 +2356,11 @@ va (VaSet isHidden 1 ) xt "0,0,16700,1200" -st "SIGNAL unlock : std_ulogic" +st "SIGNAL unlock : std_ulogic +" ) ) -*74 (Net +*65 (Net uid 11649,0 lang 11 decl (Decl @@ -2497,15 +2375,16 @@ va (VaSet isHidden 1 ) xt "0,0,16500,1200" -st "SIGNAL SideL : std_ulogic" +st "SIGNAL SideL : std_ulogic +" ) ) -*75 (Net +*66 (Net uid 11651,0 lang 11 decl (Decl n "Power" -t "unsigned" +t "std_ulogic_vector" b "(7 DOWNTO 0)" o 26 suid 87,0 @@ -2515,16 +2394,17 @@ uid 11652,0 va (VaSet isHidden 1 ) -xt "0,0,23800,1200" -st "SIGNAL Power : unsigned(7 DOWNTO 0)" +xt "0,0,29000,1200" +st "SIGNAL Power : std_ulogic_vector(7 DOWNTO 0) +" ) ) -*76 (Net +*67 (Net uid 11923,0 lang 11 decl (Decl n "clk" -t "unsigned" +t "std_ulogic" o 28 suid 88,0 ) @@ -2533,16 +2413,17 @@ uid 11924,0 va (VaSet isHidden 1 ) -xt "0,0,15100,1200" -st "SIGNAL clk : unsigned" +xt "0,0,15800,1200" +st "SIGNAL clk : std_ulogic +" ) ) -*77 (Net +*68 (Net uid 11933,0 lang 11 decl (Decl n "rst" -t "unsigned" +t "std_ulogic" o 29 suid 89,0 ) @@ -2551,11 +2432,861 @@ uid 11934,0 va (VaSet isHidden 1 ) -xt "0,0,15000,1200" -st "SIGNAL rst : unsigned" +xt "0,0,15700,1200" +st "SIGNAL rst : std_ulogic +" ) ) -*78 (Wire +*69 (SaComponent +uid 12354,0 +optionalChildren [ +*70 (CptPort +uid 12326,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12327,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "119250,69625,120000,70375" +) +tg (CPTG +uid 12328,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12329,0 +va (VaSet +font "Verdana,12,0" +) +xt "121000,69300,124800,70700" +st "clock" +blo "121000,70500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 1,0 +) +) +) +*71 (CptPort +uid 12330,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12331,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,59625,128750,60375" +) +tg (CPTG +uid 12332,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12333,0 +va (VaSet +font "Verdana,12,0" +) +xt "120700,59300,127000,60700" +st "motorOn" +ju 2 +blo "127000,60500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 5 +suid 2,0 +) +) +) +*72 (CptPort +uid 12334,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12335,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "119250,61625,120000,62375" +) +tg (CPTG +uid 12336,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12337,0 +va (VaSet +font "Verdana,12,0" +) +xt "121000,61300,125800,62700" +st "Power" +blo "121000,62500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 1 +suid 3,0 +) +) +) +*73 (CptPort +uid 12338,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12339,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "119250,70625,120000,71375" +) +tg (CPTG +uid 12340,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12341,0 +va (VaSet +font "Verdana,12,0" +) +xt "121000,70300,125100,71700" +st "reset" +blo "121000,71500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*74 (CptPort +uid 12342,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12343,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,67625,128750,68375" +) +tg (CPTG +uid 12344,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12345,0 +va (VaSet +font "Verdana,12,0" +) +xt "122800,67300,127000,68700" +st "side1" +ju 2 +blo "127000,68500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "side1" +t "std_uLogic" +o 6 +suid 5,0 +) +) +) +*75 (CptPort +uid 12346,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12347,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "128000,65625,128750,66375" +) +tg (CPTG +uid 12348,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12349,0 +va (VaSet +font "Verdana,12,0" +) +xt "122800,65300,127000,66700" +st "side2" +ju 2 +blo "127000,66500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "side2" +t "std_uLogic" +o 7 +suid 6,0 +) +) +) +*76 (CptPort +uid 12350,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12351,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "119250,65625,120000,66375" +) +tg (CPTG +uid 12352,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12353,0 +va (VaSet +font "Verdana,12,0" +) +xt "121000,65300,125200,66700" +st "SideL" +blo "121000,66500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "SideL" +t "std_ulogic" +o 2 +suid 7,0 +) +) +) +] +shape (Rectangle +uid 12355,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "120000,59000,128000,73000" +) +oxt "15000,6000,23000,20000" +ttg (MlTextGroup +uid 12356,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +uid 12357,0 +va (VaSet +font "Verdana,9,1" +) +xt "122150,64800,125850,66000" +st "Cursor" +blo "122150,65800" +tm "BdLibraryNameMgr" +) +*78 (Text +uid 12358,0 +va (VaSet +font "Verdana,9,1" +) +xt "122150,66000,125750,67200" +st "Driver" +blo "122150,67000" +tm "CptNameMgr" +) +*79 (Text +uid 12359,0 +va (VaSet +font "Verdana,9,1" +) +xt "122150,67200,123850,68400" +st "I3" +blo "122150,68200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 12360,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 12361,0 +text (MLText +uid 12362,0 +va (VaSet +font "Courier New,8,0" +) +xt "94500,62000,94500,62000" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*80 (SaComponent +uid 12411,0 +optionalChildren [ +*81 (CptPort +uid 12363,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12364,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "79250,40625,80000,41375" +) +tg (CPTG +uid 12365,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12366,0 +va (VaSet +font "Verdana,12,0" +) +xt "81000,40300,86000,41700" +st "button" +blo "81000,41500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "button" +t "unsigned" +b "(3 DOWNTO 0)" +o 25 +suid 75,0 +) +) +) +*82 (CptPort +uid 12367,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12368,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "79250,60625,80000,61375" +) +tg (CPTG +uid 12369,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12370,0 +va (VaSet +font "Verdana,12,0" +) +xt "81000,60300,83400,61700" +st "clk" +blo "81000,61500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk" +t "unsigned" +o 28 +suid 76,0 +) +) +) +*83 (CptPort +uid 12371,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12372,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "79250,9625,80000,10375" +) +tg (CPTG +uid 12373,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12374,0 +va (VaSet +font "Verdana,12,0" +) +xt "81000,9300,86800,10700" +st "Position" +blo "81000,10500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Position" +t "unsigned" +b "(15 DOWNTO 0)" +o 23 +suid 77,0 +) +) +) +*84 (CptPort +uid 12375,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12376,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "110000,61625,110750,62375" +) +tg (CPTG +uid 12377,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12378,0 +va (VaSet +font "Verdana,12,0" +) +xt "104200,61300,109000,62700" +st "Power" +ju 2 +blo "109000,62500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 26 +suid 78,0 +) +) +) +*85 (CptPort +uid 12379,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12380,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "79250,16625,80000,17375" +) +tg (CPTG +uid 12381,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12382,0 +va (VaSet +font "Verdana,12,0" +) +xt "81000,16300,84200,17700" +st "RaZ" +blo "81000,17500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "RaZ" +t "std_ulogic" +o 24 +suid 79,0 +) +) +) +*86 (CptPort +uid 12383,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12384,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "79250,61625,80000,62375" +) +tg (CPTG +uid 12385,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12386,0 +va (VaSet +font "Verdana,12,0" +) +xt "81000,61300,83500,62700" +st "rst" +blo "81000,62500" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "rst" +t "unsigned" +o 29 +suid 80,0 +) +) +) +*87 (CptPort +uid 12387,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12388,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "79250,55625,80000,56375" +) +tg (CPTG +uid 12389,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12390,0 +va (VaSet +font "Verdana,12,0" +) +xt "81000,55300,86900,56700" +st "sensor1" +blo "81000,56500" +) +) +thePort (LogicalPort +decl (Decl +n "sensor1" +t "std_uLogic" +o 10 +suid 81,0 +) +) +) +*88 (CptPort +uid 12391,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12392,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "79250,53625,80000,54375" +) +tg (CPTG +uid 12393,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12394,0 +va (VaSet +font "Verdana,12,0" +) +xt "81000,53300,86900,54700" +st "sensor2" +blo "81000,54500" +) +) +thePort (LogicalPort +decl (Decl +n "sensor2" +t "std_uLogic" +o 11 +suid 82,0 +) +) +) +*89 (CptPort +uid 12395,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12396,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "110000,65625,110750,66375" +) +tg (CPTG +uid 12397,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12398,0 +va (VaSet +font "Verdana,12,0" +) +xt "104800,65300,109000,66700" +st "SideL" +ju 2 +blo "109000,66500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "SideL" +t "std_ulogic" +o 27 +suid 83,0 +) +) +) +*90 (CptPort +uid 12399,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12400,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "79250,59625,80000,60375" +) +tg (CPTG +uid 12401,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12402,0 +va (VaSet +font "Verdana,12,0" +) +xt "81000,59300,87700,60700" +st "testMode" +blo "81000,60500" +) +) +thePort (LogicalPort +decl (Decl +n "testMode" +t "std_uLogic" +o 12 +suid 84,0 +) +) +) +*91 (CptPort +uid 12403,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12404,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "110000,9625,110750,10375" +) +tg (CPTG +uid 12405,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12406,0 +va (VaSet +font "Verdana,12,0" +) +xt "103400,9300,109000,10700" +st "testOut" +ju 2 +blo "109000,10500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_uLogic_vector" +b "(1 TO testLineNb)" +o 21 +suid 85,0 +) +) +) +*92 (CptPort +uid 12407,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12408,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "79250,47625,80000,48375" +) +tg (CPTG +uid 12409,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12410,0 +va (VaSet +font "Verdana,12,0" +) +xt "81000,47300,85800,48700" +st "unlock" +blo "81000,48500" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "unlock" +t "std_ulogic" +o 26 +suid 86,0 +) +) +) +] +shape (Rectangle +uid 12412,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "80000,8000,110000,71000" +) +oxt "15000,6000,45000,69000" +ttg (MlTextGroup +uid 12413,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +uid 12414,0 +va (VaSet +font "Verdana,9,1" +) +xt "93150,38300,96850,39500" +st "Cursor" +blo "93150,39300" +tm "BdLibraryNameMgr" +) +*94 (Text +uid 12415,0 +va (VaSet +font "Verdana,9,1" +) +xt "93150,39500,96050,40700" +st "Main" +blo "93150,40500" +tm "CptNameMgr" +) +*95 (Text +uid 12416,0 +va (VaSet +font "Verdana,9,1" +) +xt "93150,40700,94850,41900" +st "I2" +blo "93150,41700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 12417,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 12418,0 +text (MLText +uid 12419,0 +va (VaSet +font "Courier New,8,0" +) +xt "65500,35500,65500,35500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*96 (Wire uid 2282,0 shape (OrthoPolyLine uid 2283,0 @@ -2569,7 +3300,7 @@ pts [ ] ) start &14 -end &58 +end &57 sat 32 eat 1 stc 0 @@ -2593,23 +3324,23 @@ tm "WireNameMgr" ) on &15 ) -*79 (Wire +*97 (Wire uid 2315,0 shape (OrthoPolyLine uid 2316,0 va (VaSet vasetType 3 ) -xt "44000,60000,80000,60000" +xt "44000,60000,79250,60000" pts [ "44000,60000" -"80000,60000" +"79250,60000" ] ) start &16 -end &62 +end &90 sat 32 -eat 1 +eat 32 stc 0 st 0 sf 1 @@ -2631,63 +3362,23 @@ tm "WireNameMgr" ) on &17 ) -*80 (Wire -uid 2949,0 -shape (OrthoPolyLine -uid 2950,0 -va (VaSet -vasetType 3 -lineWidth 2 -) -xt "110000,10000,126000,10000" -pts [ -"110000,10000" -"126000,10000" -] -) -start &62 -end &18 -sat 2 -eat 32 -sty 1 -stc 0 -st 0 -sf 1 -si 0 -tg (WTG -uid 2953,0 -ps "ConnStartEndStrategy" -stg "STSignalDisplayStrategy" -f (Text -uid 2954,0 -va (VaSet -font "Verdana,12,0" -) -xt "120000,8600,124900,9900" -st "testOut" -blo "120000,9600" -tm "WireNameMgr" -) -) -on &19 -) -*81 (Wire +*98 (Wire uid 3266,0 shape (OrthoPolyLine uid 3267,0 va (VaSet vasetType 3 ) -xt "44000,56000,80000,56000" +xt "44000,56000,79250,56000" pts [ "44000,56000" -"80000,56000" +"79250,56000" ] ) -start &20 -end &62 +start &19 +end &87 sat 32 -eat 1 +eat 32 stc 0 st 0 sf 1 @@ -2707,25 +3398,25 @@ blo "44000,55600" tm "WireNameMgr" ) ) -on &21 +on &20 ) -*82 (Wire +*99 (Wire uid 3281,0 shape (OrthoPolyLine uid 3282,0 va (VaSet vasetType 3 ) -xt "44000,54000,80000,54000" +xt "44000,54000,79250,54000" pts [ "44000,54000" -"80000,54000" +"79250,54000" ] ) -start &22 -end &62 +start &21 +end &88 sat 32 -eat 1 +eat 32 stc 0 st 0 sf 1 @@ -2745,24 +3436,24 @@ blo "44000,53600" tm "WireNameMgr" ) ) -on &23 +on &22 ) -*83 (Wire +*100 (Wire uid 4262,0 shape (OrthoPolyLine uid 4263,0 va (VaSet vasetType 3 ) -xt "128000,68000,140000,68000" +xt "128750,68000,140000,68000" pts [ -"128000,68000" +"128750,68000" "140000,68000" ] ) -start &66 -end &26 -sat 2 +start &74 +end &25 +sat 32 eat 32 stc 0 st 0 @@ -2783,24 +3474,24 @@ blo "136000,67600" tm "WireNameMgr" ) ) -on &27 +on &26 ) -*84 (Wire +*101 (Wire uid 4277,0 shape (OrthoPolyLine uid 4278,0 va (VaSet vasetType 3 ) -xt "128000,66000,140000,66000" +xt "128750,66000,140000,66000" pts [ -"128000,66000" +"128750,66000" "140000,66000" ] ) -start &66 -end &28 -sat 2 +start &75 +end &27 +sat 32 eat 32 stc 0 st 0 @@ -2821,9 +3512,9 @@ blo "136000,65600" tm "WireNameMgr" ) ) -on &29 +on &28 ) -*85 (Wire +*102 (Wire uid 4535,0 shape (OrthoPolyLine uid 4536,0 @@ -2836,8 +3527,8 @@ pts [ "61000,10000" ] ) -start &30 -end &54 +start &29 +end &53 sat 32 eat 1 stc 0 @@ -2859,9 +3550,9 @@ blo "44000,9600" tm "WireNameMgr" ) ) -on &31 +on &30 ) -*86 (Wire +*103 (Wire uid 4550,0 shape (OrthoPolyLine uid 4551,0 @@ -2874,8 +3565,8 @@ pts [ "61000,12000" ] ) -start &32 -end &54 +start &31 +end &53 sat 32 eat 1 stc 0 @@ -2897,9 +3588,9 @@ blo "44000,11600" tm "WireNameMgr" ) ) -on &33 +on &32 ) -*87 (Wire +*104 (Wire uid 4565,0 shape (OrthoPolyLine uid 4566,0 @@ -2912,8 +3603,8 @@ pts [ "61000,14000" ] ) -start &34 -end &54 +start &33 +end &53 sat 32 eat 1 stc 0 @@ -2935,9 +3626,9 @@ blo "46000,13800" tm "WireNameMgr" ) ) -on &35 +on &34 ) -*88 (Wire +*105 (Wire uid 4580,0 shape (OrthoPolyLine uid 4581,0 @@ -2950,8 +3641,8 @@ pts [ "56000,42000" ] ) -start &36 -end &58 +start &35 +end &57 sat 32 eat 1 stc 0 @@ -2973,9 +3664,9 @@ blo "44000,41600" tm "WireNameMgr" ) ) -on &37 +on &36 ) -*89 (Wire +*106 (Wire uid 4595,0 shape (OrthoPolyLine uid 4596,0 @@ -2988,8 +3679,8 @@ pts [ "56000,44000" ] ) -start &38 -end &58 +start &37 +end &57 sat 32 eat 1 stc 0 @@ -3011,9 +3702,9 @@ blo "44000,43600" tm "WireNameMgr" ) ) -on &39 +on &38 ) -*90 (Wire +*107 (Wire uid 4978,0 shape (OrthoPolyLine uid 4979,0 @@ -3026,7 +3717,7 @@ pts [ "51250,20000" ] ) -start &43 +start &42 sat 32 eat 16 stc 0 @@ -3050,7 +3741,7 @@ tm "WireNameMgr" ) on &1 ) -*91 (Wire +*108 (Wire uid 4986,0 shape (OrthoPolyLine uid 4987,0 @@ -3063,7 +3754,7 @@ pts [ "44000,18000" ] ) -end &42 +end &41 sat 16 eat 32 stc 0 @@ -3087,23 +3778,23 @@ tm "WireNameMgr" ) on &2 ) -*92 (Wire +*109 (Wire uid 6102,0 shape (OrthoPolyLine uid 6103,0 va (VaSet vasetType 3 ) -xt "128000,60000,140000,60000" +xt "128750,60000,140000,60000" pts [ -"128000,60000" +"128750,60000" "140000,60000" ] ) -start &66 -end &24 +start &71 +end &23 es 0 -sat 2 +sat 32 eat 32 stc 0 st 0 @@ -3118,15 +3809,15 @@ uid 6105,0 va (VaSet font "Verdana,12,0" ) -xt "130000,58600,136300,60000" +xt "131000,58600,137300,60000" st "motorOn" -blo "130000,59800" +blo "131000,59800" tm "WireNameMgr" ) ) -on &25 +on &24 ) -*93 (Wire +*110 (Wire uid 7310,0 shape (OrthoPolyLine uid 7311,0 @@ -3139,8 +3830,8 @@ pts [ "56000,46000" ] ) -start &40 -end &58 +start &39 +end &57 sat 32 eat 1 stc 0 @@ -3162,22 +3853,22 @@ blo "44000,45600" tm "WireNameMgr" ) ) -on &41 +on &40 ) -*94 (Wire +*111 (Wire uid 9951,0 shape (OrthoPolyLine uid 9952,0 va (VaSet vasetType 3 ) -xt "128750,73000,136000,73000" +xt "129750,78000,137000,78000" pts [ -"128750,73000" -"136000,73000" +"129750,78000" +"137000,78000" ] ) -end &49 +end &48 sat 16 eat 32 stc 0 @@ -3193,28 +3884,28 @@ uid 9956,0 va (VaSet font "Verdana,12,0" ) -xt "133000,71600,136500,72900" +xt "134000,76600,137500,77900" st "CS1_n" -blo "133000,72600" +blo "134000,77600" tm "WireNameMgr" ) ) -on &44 +on &43 ) -*95 (Wire +*112 (Wire uid 9959,0 shape (OrthoPolyLine uid 9960,0 va (VaSet vasetType 3 ) -xt "128750,75000,136000,75000" +xt "129750,80000,137000,80000" pts [ -"128750,75000" -"136000,75000" +"129750,80000" +"137000,80000" ] ) -end &50 +end &49 sat 16 eat 32 stc 0 @@ -3230,28 +3921,28 @@ uid 9964,0 va (VaSet font "Verdana,12,0" ) -xt "133000,73600,135100,74900" +xt "134000,78600,136100,79900" st "SCL" -blo "133000,74600" +blo "134000,79600" tm "WireNameMgr" ) ) -on &45 +on &44 ) -*96 (Wire +*113 (Wire uid 9967,0 shape (OrthoPolyLine uid 9968,0 va (VaSet vasetType 3 ) -xt "128750,77000,136000,77000" +xt "129750,82000,137000,82000" pts [ -"128750,77000" -"136000,77000" +"129750,82000" +"137000,82000" ] ) -end &51 +end &50 sat 16 eat 32 stc 0 @@ -3267,28 +3958,28 @@ uid 9972,0 va (VaSet font "Verdana,12,0" ) -xt "134000,75600,135400,76900" +xt "135000,80600,136400,81900" st "SI" -blo "134000,76600" +blo "135000,81600" tm "WireNameMgr" ) ) -on &46 +on &45 ) -*97 (Wire +*114 (Wire uid 9975,0 shape (OrthoPolyLine uid 9976,0 va (VaSet vasetType 3 ) -xt "128750,79000,136000,79000" +xt "129750,84000,137000,84000" pts [ -"128750,79000" -"136000,79000" +"129750,84000" +"137000,84000" ] ) -end &52 +end &51 sat 16 eat 32 stc 0 @@ -3304,28 +3995,28 @@ uid 9980,0 va (VaSet font "Verdana,12,0" ) -xt "134000,77600,135400,78900" +xt "135000,82600,136400,83900" st "A0" -blo "134000,78600" +blo "135000,83600" tm "WireNameMgr" ) ) -on &47 +on &46 ) -*98 (Wire +*115 (Wire uid 9983,0 shape (OrthoPolyLine uid 9984,0 va (VaSet vasetType 3 ) -xt "128750,81000,136000,81000" +xt "129750,86000,137000,86000" pts [ -"128750,81000" -"136000,81000" +"129750,86000" +"137000,86000" ] ) -end &53 +end &52 sat 16 eat 32 stc 0 @@ -3341,15 +4032,15 @@ uid 9988,0 va (VaSet font "Verdana,12,0" ) -xt "133000,79600,136500,80900" +xt "134000,84600,137500,85900" st "RST_n" -blo "133000,80600" +blo "134000,85600" tm "WireNameMgr" ) ) -on &48 +on &47 ) -*99 (Wire +*116 (Wire uid 11487,0 shape (OrthoPolyLine uid 11488,0 @@ -3362,7 +4053,7 @@ pts [ "56000,16000" ] ) -start &54 +start &53 sat 1 eat 16 stc 0 @@ -3386,7 +4077,7 @@ tm "WireNameMgr" ) on &2 ) -*100 (Wire +*117 (Wire uid 11497,0 shape (OrthoPolyLine uid 11498,0 @@ -3400,7 +4091,7 @@ pts [ "56000,17000" ] ) -start &54 +start &53 sat 1 eat 16 stc 0 @@ -3424,7 +4115,7 @@ tm "WireNameMgr" ) on &1 ) -*101 (Wire +*118 (Wire uid 11519,0 shape (OrthoPolyLine uid 11520,0 @@ -3432,16 +4123,16 @@ va (VaSet vasetType 3 lineWidth 2 ) -xt "69000,10000,80000,10000" +xt "69000,10000,79250,10000" pts [ "69000,10000" -"80000,10000" +"79250,10000" ] ) -start &54 -end &62 +start &53 +end &83 sat 2 -eat 1 +eat 32 sty 1 stc 0 st 0 @@ -3456,30 +4147,30 @@ uid 11526,0 va (VaSet font "Verdana,12,0" ) -xt "75000,8600,80800,10000" +xt "74000,8600,79800,10000" st "Position" -blo "75000,9800" +blo "74000,9800" tm "WireNameMgr" ) ) -on &71 +on &62 ) -*102 (Wire +*119 (Wire uid 11529,0 shape (OrthoPolyLine uid 11530,0 va (VaSet vasetType 3 ) -xt "69000,17000,80000,17000" +xt "69000,17000,79250,17000" pts [ -"80000,17000" +"79250,17000" "69000,17000" ] ) -start &62 -end &54 -sat 2 +start &85 +end &53 +sat 32 eat 1 stc 0 st 0 @@ -3494,15 +4185,15 @@ uid 11536,0 va (VaSet font "Verdana,12,0" ) -xt "76000,15600,79200,17000" +xt "75000,15600,78200,17000" st "RaZ" -blo "76000,16800" +blo "75000,16800" tm "WireNameMgr" ) ) -on &70 +on &61 ) -*103 (Wire +*120 (Wire uid 11541,0 shape (OrthoPolyLine uid 11542,0 @@ -3515,7 +4206,7 @@ pts [ "49000,49000" ] ) -start &58 +start &57 sat 1 eat 16 stc 0 @@ -3539,7 +4230,7 @@ tm "WireNameMgr" ) on &2 ) -*104 (Wire +*121 (Wire uid 11549,0 shape (OrthoPolyLine uid 11550,0 @@ -3553,7 +4244,7 @@ pts [ "49000,50000" ] ) -start &58 +start &57 sat 1 eat 16 stc 0 @@ -3577,7 +4268,7 @@ tm "WireNameMgr" ) on &1 ) -*105 (Wire +*122 (Wire uid 11559,0 shape (OrthoPolyLine uid 11560,0 @@ -3585,16 +4276,16 @@ va (VaSet vasetType 3 lineWidth 2 ) -xt "64000,41000,80000,41000" +xt "64000,41000,79250,41000" pts [ "64000,41000" -"80000,41000" +"79250,41000" ] ) -start &58 -end &62 +start &57 +end &81 sat 2 -eat 1 +eat 32 sty 1 stc 0 st 0 @@ -3609,30 +4300,30 @@ uid 11566,0 va (VaSet font "Verdana,12,0" ) -xt "74000,39600,79000,41000" +xt "73000,39600,78000,41000" st "button" -blo "74000,40800" +blo "73000,40800" tm "WireNameMgr" ) ) -on &72 +on &63 ) -*106 (Wire +*123 (Wire uid 11571,0 shape (OrthoPolyLine uid 11572,0 va (VaSet vasetType 3 ) -xt "64000,48000,80000,48000" +xt "64000,48000,79250,48000" pts [ -"80000,48000" +"79250,48000" "64000,48000" ] ) -start &62 -end &58 -sat 2 +start &92 +end &57 +sat 32 eat 1 stc 0 st 0 @@ -3647,15 +4338,15 @@ uid 11578,0 va (VaSet font "Verdana,12,0" ) -xt "76000,46600,80800,48000" +xt "75000,46600,79800,48000" st "unlock" -blo "76000,47800" +blo "75000,47800" tm "WireNameMgr" ) ) -on &73 +on &64 ) -*107 (Wire +*124 (Wire uid 11589,0 shape (OrthoPolyLine uid 11590,0 @@ -3668,7 +4359,7 @@ pts [ "63000,62000" ] ) -start *108 (BdJunction +start *125 (BdJunction uid 12199,0 ps "OnConnectorStrategy" shape (Circle @@ -3703,7 +4394,7 @@ tm "WireNameMgr" ) on &1 ) -*109 (Wire +*126 (Wire uid 11597,0 shape (OrthoPolyLine uid 11598,0 @@ -3716,7 +4407,7 @@ pts [ "62000,61000" ] ) -start *110 (BdJunction +start *127 (BdJunction uid 12197,0 ps "OnConnectorStrategy" shape (Circle @@ -3751,7 +4442,7 @@ tm "WireNameMgr" ) on &2 ) -*111 (Wire +*128 (Wire uid 11631,0 shape (OrthoPolyLine uid 11632,0 @@ -3759,16 +4450,16 @@ va (VaSet vasetType 3 lineWidth 2 ) -xt "110000,62000,120000,62000" +xt "110750,62000,119250,62000" pts [ -"110000,62000" -"120000,62000" +"110750,62000" +"119250,62000" ] ) -start &62 -end &66 -sat 2 -eat 1 +start &84 +end &72 +sat 32 +eat 32 sty 1 stc 0 st 0 @@ -3783,31 +4474,31 @@ uid 11638,0 va (VaSet font "Verdana,12,0" ) -xt "112000,60600,116800,62000" +xt "113000,60600,117800,62000" st "Power" -blo "112000,61800" +blo "113000,61800" tm "WireNameMgr" ) ) -on &75 +on &66 ) -*112 (Wire +*129 (Wire uid 11641,0 shape (OrthoPolyLine uid 11642,0 va (VaSet vasetType 3 ) -xt "110000,66000,120000,66000" +xt "110750,66000,119250,66000" pts [ -"110000,66000" -"120000,66000" +"110750,66000" +"119250,66000" ] ) -start &62 -end &66 -sat 2 -eat 1 +start &89 +end &76 +sat 32 +eat 32 stc 0 st 0 sf 1 @@ -3821,30 +4512,30 @@ uid 11648,0 va (VaSet font "Verdana,12,0" ) -xt "112000,64600,116200,66000" +xt "113000,64600,117200,66000" st "SideL" -blo "112000,65800" +blo "113000,65800" tm "WireNameMgr" ) ) -on &74 +on &65 ) -*113 (Wire +*130 (Wire uid 11657,0 shape (OrthoPolyLine uid 11658,0 va (VaSet vasetType 3 ) -xt "112000,71000,120000,71000" +xt "112000,71000,119250,71000" pts [ -"120000,71000" +"119250,71000" "112000,71000" "112000,71000" ] ) -start &66 -sat 1 +start &73 +sat 32 eat 16 stc 0 st 0 @@ -3859,29 +4550,29 @@ uid 11664,0 va (VaSet font "Verdana,12,0" ) -xt "116000,69600,120100,71000" +xt "115000,69600,119100,71000" st "reset" -blo "116000,70800" +blo "115000,70800" tm "WireNameMgr" ) ) on &1 ) -*114 (Wire +*131 (Wire uid 11665,0 shape (OrthoPolyLine uid 11666,0 va (VaSet vasetType 3 ) -xt "112000,70000,120000,70000" +xt "112000,70000,119250,70000" pts [ -"120000,70000" +"119250,70000" "112000,70000" ] ) -start &66 -sat 1 +start &70 +sat 32 eat 16 stc 0 st 0 @@ -3896,33 +4587,33 @@ uid 11672,0 va (VaSet font "Verdana,12,0" ) -xt "116000,68600,119800,70000" +xt "115000,68600,118800,70000" st "clock" -blo "116000,69800" +blo "115000,69800" tm "WireNameMgr" ) ) on &2 ) -*115 (Wire +*132 (Wire uid 11915,0 optionalChildren [ -&110 +&127 ] shape (OrthoPolyLine uid 11916,0 va (VaSet vasetType 3 ) -xt "70000,61000,80000,61000" +xt "70000,61000,79250,61000" pts [ "70000,61000" -"80000,61000" +"79250,61000" ] ) -end &62 +end &82 sat 16 -eat 1 +eat 32 stc 0 st 0 sf 1 @@ -3942,27 +4633,27 @@ blo "72000,60800" tm "WireNameMgr" ) ) -on &76 +on &67 ) -*116 (Wire +*133 (Wire uid 11925,0 optionalChildren [ -&108 +&125 ] shape (OrthoPolyLine uid 11926,0 va (VaSet vasetType 3 ) -xt "70000,62000,80000,62000" +xt "70000,62000,79250,62000" pts [ "70000,62000" -"80000,62000" +"79250,62000" ] ) -end &62 +end &86 sat 16 -eat 1 +eat 32 stc 0 st 0 sf 1 @@ -3982,7 +4673,7 @@ blo "72000,61800" tm "WireNameMgr" ) ) -on &77 +on &68 ) ] bg "65535,65535,65535" @@ -3996,11 +4687,11 @@ xShown 1 yShown 1 color "65535,0,0" ) -packageList *117 (PackageList +packageList *134 (PackageList uid 42,0 stg "VerticalLayoutStrategy" textVec [ -*118 (Text +*135 (Text uid 573,0 va (VaSet font "Verdana,8,1" @@ -4009,7 +4700,7 @@ xt "24000,-12000,30500,-11100" st "Package List" blo "24000,-11300" ) -*119 (MLText +*136 (MLText uid 574,0 va (VaSet ) @@ -4025,7 +4716,7 @@ compDirBlock (MlTextGroup uid 45,0 stg "VerticalLayoutStrategy" textVec [ -*120 (Text +*137 (Text uid 46,0 va (VaSet isHidden 1 @@ -4035,7 +4726,7 @@ xt "20000,0,32000,1000" st "Compiler Directives" blo "20000,800" ) -*121 (Text +*138 (Text uid 47,0 va (VaSet isHidden 1 @@ -4045,7 +4736,7 @@ xt "20000,1400,33800,2400" st "Pre-module directives:" blo "20000,2200" ) -*122 (MLText +*139 (MLText uid 48,0 va (VaSet isHidden 1 @@ -4055,7 +4746,7 @@ st "`resetall `timescale 1ns/10ps" tm "BdCompilerDirectivesTextMgr" ) -*123 (Text +*140 (Text uid 49,0 va (VaSet isHidden 1 @@ -4065,7 +4756,7 @@ xt "20000,5600,34400,6600" st "Post-module directives:" blo "20000,6400" ) -*124 (MLText +*141 (MLText uid 50,0 va (VaSet isHidden 1 @@ -4073,7 +4764,7 @@ isHidden 1 xt "20000,7000,20000,7000" tm "BdCompilerDirectivesTextMgr" ) -*125 (Text +*142 (Text uid 51,0 va (VaSet isHidden 1 @@ -4083,7 +4774,7 @@ xt "20000,7200,33800,8200" st "End-module directives:" blo "20000,8000" ) -*126 (MLText +*143 (MLText uid 52,0 va (VaSet isHidden 1 @@ -4094,8 +4785,8 @@ tm "BdCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "0,0,1715,1119" -viewArea "26600,-800,154482,84426" +windowSize "0,24,1715,1143" +viewArea "26600,-828,154482,81990" cachedDiagramExtent "-17000,-23800,171000,152000" pageSetupInfo (PageSetupInfo ptrCmd "\\\\ipp://ippsion.hevs.ch\\PREA309_HPLJ3005DN,winspool," @@ -4122,7 +4813,7 @@ boundaryWidth 0 ) hasePageBreakOrigin 1 pageBreakOrigin "24000,-12000" -lastUid 12200,0 +lastUid 12593,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -4210,7 +4901,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*127 (Text +*144 (Text va (VaSet ) xt "2100,3000,6700,4200" @@ -4218,7 +4909,7 @@ st "" blo "2100,4000" tm "BdLibraryNameMgr" ) -*128 (Text +*145 (Text va (VaSet ) xt "2100,4200,6200,5400" @@ -4226,7 +4917,7 @@ st "" blo "2100,5200" tm "BlkNameMgr" ) -*129 (Text +*146 (Text va (VaSet ) xt "2100,5400,3300,6600" @@ -4265,21 +4956,21 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*130 (Text +*147 (Text va (VaSet ) xt "-100,3000,2200,4000" st "Library" blo "-100,3800" ) -*131 (Text +*148 (Text va (VaSet ) xt "-100,4000,5900,5000" st "MWComponent" blo "-100,4800" ) -*132 (Text +*149 (Text va (VaSet ) xt "-100,5000,500,6000" @@ -4323,7 +5014,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*133 (Text +*150 (Text va (VaSet ) xt "900,3000,3200,4000" @@ -4331,7 +5022,7 @@ st "Library" blo "900,3800" tm "BdLibraryNameMgr" ) -*134 (Text +*151 (Text va (VaSet ) xt "900,4000,6400,5000" @@ -4339,7 +5030,7 @@ st "SaComponent" blo "900,4800" tm "CptNameMgr" ) -*135 (Text +*152 (Text va (VaSet ) xt "900,5000,1500,6000" @@ -4377,21 +5068,21 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*136 (Text +*153 (Text va (VaSet ) xt "400,3000,2700,4000" st "Library" blo "400,3800" ) -*137 (Text +*154 (Text va (VaSet ) xt "400,4000,6500,5000" st "VhdlComponent" blo "400,4800" ) -*138 (Text +*155 (Text va (VaSet ) xt "400,5000,1000,6000" @@ -4431,21 +5122,21 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*139 (Text +*156 (Text va (VaSet ) xt "-100,3000,2200,4000" st "Library" blo "-100,3800" ) -*140 (Text +*157 (Text va (VaSet ) xt "-100,4000,7000,5000" st "VerilogComponent" blo "-100,4800" ) -*141 (Text +*158 (Text va (VaSet ) xt "-100,5000,500,6000" @@ -4483,7 +5174,7 @@ ttg (MlTextGroup ps "CenterOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*142 (Text +*159 (Text va (VaSet ) xt "3300,3700,4500,4700" @@ -4491,7 +5182,7 @@ st "eb1" blo "3300,4500" tm "HdlTextNameMgr" ) -*143 (Text +*160 (Text va (VaSet ) xt "3300,4700,3700,5700" @@ -4921,7 +5612,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*144 (Text +*161 (Text va (VaSet font "Verdana,8,1" ) @@ -4929,7 +5620,7 @@ xt "13200,20000,21100,21000" st "Frame Declarations" blo "13200,20800" ) -*145 (MLText +*162 (MLText va (VaSet ) xt "13200,21000,13200,21000" @@ -4981,7 +5672,7 @@ decls (MlTextGroup ps "BottomRightOffsetStrategy" stg "VerticalLayoutStrategy" textVec [ -*146 (Text +*163 (Text va (VaSet font "Verdana,8,1" ) @@ -4989,7 +5680,7 @@ xt "13200,20000,21100,21000" st "Frame Declarations" blo "13200,20800" ) -*147 (MLText +*164 (MLText va (VaSet ) xt "13200,21000,13200,21000" @@ -5137,44 +5828,44 @@ commonDM (CommonDM ldm (LogicalDM suid 89,0 usingSuid 1 -emptyRow *148 (LEmptyRow +emptyRow *165 (LEmptyRow ) uid 5714,0 optionalChildren [ -*149 (RefLabelRowHdr +*166 (RefLabelRowHdr ) -*150 (TitleRowHdr +*167 (TitleRowHdr ) -*151 (FilterRowHdr +*168 (FilterRowHdr ) -*152 (RefLabelColHdr +*169 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*153 (RowExpandColHdr +*170 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*154 (GroupColHdr +*171 (GroupColHdr tm "GroupColHdrMgr" ) -*155 (NameColHdr +*172 (NameColHdr tm "BlockDiagramNameColHdrMgr" ) -*156 (ModeColHdr +*173 (ModeColHdr tm "BlockDiagramModeColHdrMgr" ) -*157 (TypeColHdr +*174 (TypeColHdr tm "BlockDiagramTypeColHdrMgr" ) -*158 (BoundsColHdr +*175 (BoundsColHdr tm "BlockDiagramBoundsColHdrMgr" ) -*159 (InitColHdr +*176 (InitColHdr tm "BlockDiagramInitColHdrMgr" ) -*160 (EolColHdr +*177 (EolColHdr tm "BlockDiagramEolColHdrMgr" ) -*161 (LeafLogPort +*178 (LeafLogPort port (LogicalPort decl (Decl n "reset" @@ -5185,7 +5876,7 @@ suid 1,0 ) uid 5659,0 ) -*162 (LeafLogPort +*179 (LeafLogPort port (LogicalPort decl (Decl n "clock" @@ -5196,7 +5887,7 @@ suid 2,0 ) uid 5661,0 ) -*163 (LeafLogPort +*180 (LeafLogPort port (LogicalPort decl (Decl n "restart" @@ -5207,7 +5898,7 @@ suid 3,0 ) uid 5663,0 ) -*164 (LeafLogPort +*181 (LeafLogPort port (LogicalPort decl (Decl n "testMode" @@ -5218,20 +5909,7 @@ suid 4,0 ) uid 5665,0 ) -*165 (LeafLogPort -port (LogicalPort -m 1 -decl (Decl -n "testOut" -t "std_uLogic_vector" -b "(1 TO testLineNb)" -o 21 -suid 5,0 -) -) -uid 5667,0 -) -*166 (LeafLogPort +*182 (LeafLogPort port (LogicalPort decl (Decl n "sensor1" @@ -5242,7 +5920,7 @@ suid 6,0 ) uid 5669,0 ) -*167 (LeafLogPort +*183 (LeafLogPort port (LogicalPort decl (Decl n "sensor2" @@ -5253,7 +5931,7 @@ suid 7,0 ) uid 5671,0 ) -*168 (LeafLogPort +*184 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -5265,7 +5943,7 @@ suid 10,0 ) uid 5677,0 ) -*169 (LeafLogPort +*185 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -5277,7 +5955,7 @@ suid 12,0 ) uid 5681,0 ) -*170 (LeafLogPort +*186 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -5289,7 +5967,7 @@ suid 13,0 ) uid 5683,0 ) -*171 (LeafLogPort +*187 (LeafLogPort port (LogicalPort decl (Decl n "encoderA" @@ -5300,7 +5978,7 @@ suid 14,0 ) uid 5685,0 ) -*172 (LeafLogPort +*188 (LeafLogPort port (LogicalPort decl (Decl n "encoderB" @@ -5311,7 +5989,7 @@ suid 15,0 ) uid 5687,0 ) -*173 (LeafLogPort +*189 (LeafLogPort port (LogicalPort decl (Decl n "encoderI" @@ -5322,7 +6000,7 @@ suid 16,0 ) uid 5689,0 ) -*174 (LeafLogPort +*190 (LeafLogPort port (LogicalPort decl (Decl n "go1" @@ -5333,7 +6011,7 @@ suid 17,0 ) uid 5691,0 ) -*175 (LeafLogPort +*191 (LeafLogPort port (LogicalPort decl (Decl n "go2" @@ -5344,7 +6022,7 @@ suid 18,0 ) uid 5693,0 ) -*176 (LeafLogPort +*192 (LeafLogPort port (LogicalPort decl (Decl n "button4" @@ -5355,7 +6033,7 @@ suid 47,0 ) uid 7302,0 ) -*177 (LeafLogPort +*193 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -5367,7 +6045,7 @@ suid 68,0 ) uid 10024,0 ) -*178 (LeafLogPort +*194 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -5379,7 +6057,7 @@ suid 69,0 ) uid 10026,0 ) -*179 (LeafLogPort +*195 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -5391,7 +6069,7 @@ suid 70,0 ) uid 10028,0 ) -*180 (LeafLogPort +*196 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -5403,7 +6081,7 @@ suid 71,0 ) uid 10030,0 ) -*181 (LeafLogPort +*197 (LeafLogPort port (LogicalPort m 1 decl (Decl @@ -5415,7 +6093,7 @@ suid 72,0 ) uid 10032,0 ) -*182 (LeafLogPort +*198 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -5428,7 +6106,7 @@ suid 78,0 ) uid 11581,0 ) -*183 (LeafLogPort +*199 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -5442,7 +6120,7 @@ suid 79,0 ) uid 11583,0 ) -*184 (LeafLogPort +*200 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -5456,7 +6134,7 @@ suid 81,0 ) uid 11585,0 ) -*185 (LeafLogPort +*201 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -5469,7 +6147,7 @@ suid 83,0 ) uid 11587,0 ) -*186 (LeafLogPort +*202 (LeafLogPort port (LogicalPort lang 11 m 4 @@ -5482,13 +6160,13 @@ suid 86,0 ) uid 11653,0 ) -*187 (LeafLogPort +*203 (LeafLogPort port (LogicalPort lang 11 m 4 decl (Decl n "Power" -t "unsigned" +t "std_ulogic_vector" b "(7 DOWNTO 0)" o 26 suid 87,0 @@ -5496,26 +6174,26 @@ suid 87,0 ) uid 11655,0 ) -*188 (LeafLogPort +*204 (LeafLogPort port (LogicalPort lang 11 m 4 decl (Decl n "clk" -t "unsigned" +t "std_ulogic" o 28 suid 88,0 ) ) uid 11935,0 ) -*189 (LeafLogPort +*205 (LeafLogPort port (LogicalPort lang 11 m 4 decl (Decl n "rst" -t "unsigned" +t "std_ulogic" o 29 suid 89,0 ) @@ -5529,7 +6207,7 @@ displayShortBounds 1 editShortBounds 1 uid 5727,0 optionalChildren [ -*190 (Sheet +*206 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -5546,203 +6224,197 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *191 (MRCItem -litem &148 -pos 29 +emptyMRCItem *207 (MRCItem +litem &165 +pos 28 dimension 20 ) uid 5729,0 optionalChildren [ -*192 (MRCItem -litem &149 +*208 (MRCItem +litem &166 pos 0 dimension 20 uid 5730,0 ) -*193 (MRCItem -litem &150 +*209 (MRCItem +litem &167 pos 1 dimension 23 uid 5731,0 ) -*194 (MRCItem -litem &151 +*210 (MRCItem +litem &168 pos 2 hidden 1 dimension 20 uid 5732,0 ) -*195 (MRCItem -litem &161 +*211 (MRCItem +litem &178 pos 0 dimension 20 uid 5660,0 ) -*196 (MRCItem -litem &162 +*212 (MRCItem +litem &179 pos 1 dimension 20 uid 5662,0 ) -*197 (MRCItem -litem &163 +*213 (MRCItem +litem &180 pos 2 dimension 20 uid 5664,0 ) -*198 (MRCItem -litem &164 +*214 (MRCItem +litem &181 pos 3 dimension 20 uid 5666,0 ) -*199 (MRCItem -litem &165 +*215 (MRCItem +litem &182 pos 4 dimension 20 -uid 5668,0 -) -*200 (MRCItem -litem &166 -pos 5 -dimension 20 uid 5670,0 ) -*201 (MRCItem -litem &167 -pos 6 +*216 (MRCItem +litem &183 +pos 5 dimension 20 uid 5672,0 ) -*202 (MRCItem -litem &168 -pos 7 +*217 (MRCItem +litem &184 +pos 6 dimension 20 uid 5678,0 ) -*203 (MRCItem -litem &169 -pos 8 +*218 (MRCItem +litem &185 +pos 7 dimension 20 uid 5682,0 ) -*204 (MRCItem -litem &170 -pos 9 +*219 (MRCItem +litem &186 +pos 8 dimension 20 uid 5684,0 ) -*205 (MRCItem -litem &171 -pos 10 +*220 (MRCItem +litem &187 +pos 9 dimension 20 uid 5686,0 ) -*206 (MRCItem -litem &172 -pos 11 +*221 (MRCItem +litem &188 +pos 10 dimension 20 uid 5688,0 ) -*207 (MRCItem -litem &173 -pos 12 +*222 (MRCItem +litem &189 +pos 11 dimension 20 uid 5690,0 ) -*208 (MRCItem -litem &174 -pos 13 +*223 (MRCItem +litem &190 +pos 12 dimension 20 uid 5692,0 ) -*209 (MRCItem -litem &175 -pos 14 +*224 (MRCItem +litem &191 +pos 13 dimension 20 uid 5694,0 ) -*210 (MRCItem -litem &176 -pos 15 +*225 (MRCItem +litem &192 +pos 14 dimension 20 uid 7301,0 ) -*211 (MRCItem -litem &177 -pos 16 +*226 (MRCItem +litem &193 +pos 15 dimension 20 uid 10025,0 ) -*212 (MRCItem -litem &178 -pos 17 +*227 (MRCItem +litem &194 +pos 16 dimension 20 uid 10027,0 ) -*213 (MRCItem -litem &179 -pos 18 +*228 (MRCItem +litem &195 +pos 17 dimension 20 uid 10029,0 ) -*214 (MRCItem -litem &180 -pos 19 +*229 (MRCItem +litem &196 +pos 18 dimension 20 uid 10031,0 ) -*215 (MRCItem -litem &181 -pos 20 +*230 (MRCItem +litem &197 +pos 19 dimension 20 uid 10033,0 ) -*216 (MRCItem -litem &182 -pos 21 +*231 (MRCItem +litem &198 +pos 20 dimension 20 uid 11582,0 ) -*217 (MRCItem -litem &183 -pos 22 +*232 (MRCItem +litem &199 +pos 21 dimension 20 uid 11584,0 ) -*218 (MRCItem -litem &184 -pos 23 +*233 (MRCItem +litem &200 +pos 22 dimension 20 uid 11586,0 ) -*219 (MRCItem -litem &185 -pos 24 +*234 (MRCItem +litem &201 +pos 23 dimension 20 uid 11588,0 ) -*220 (MRCItem -litem &186 -pos 25 +*235 (MRCItem +litem &202 +pos 24 dimension 20 uid 11654,0 ) -*221 (MRCItem -litem &187 -pos 26 +*236 (MRCItem +litem &203 +pos 25 dimension 20 uid 11656,0 ) -*222 (MRCItem -litem &188 -pos 27 +*237 (MRCItem +litem &204 +pos 26 dimension 20 uid 11936,0 ) -*223 (MRCItem -litem &189 -pos 28 +*238 (MRCItem +litem &205 +pos 27 dimension 20 uid 11938,0 ) @@ -5757,50 +6429,50 @@ textAngle 90 ) uid 5733,0 optionalChildren [ -*224 (MRCItem -litem &152 +*239 (MRCItem +litem &169 pos 0 dimension 20 uid 5734,0 ) -*225 (MRCItem -litem &154 +*240 (MRCItem +litem &171 pos 1 dimension 50 uid 5735,0 ) -*226 (MRCItem -litem &155 +*241 (MRCItem +litem &172 pos 2 dimension 100 uid 5736,0 ) -*227 (MRCItem -litem &156 +*242 (MRCItem +litem &173 pos 3 dimension 50 uid 5737,0 ) -*228 (MRCItem -litem &157 +*243 (MRCItem +litem &174 pos 4 dimension 100 uid 5738,0 ) -*229 (MRCItem -litem &158 +*244 (MRCItem +litem &175 pos 5 dimension 100 uid 5739,0 ) -*230 (MRCItem -litem &159 +*245 (MRCItem +litem &176 pos 6 dimension 50 uid 5740,0 ) -*231 (MRCItem -litem &160 +*246 (MRCItem +litem &177 pos 7 dimension 80 uid 5741,0 @@ -5820,41 +6492,41 @@ uid 5713,0 ) genericsCommonDM (CommonDM ldm (LogicalDM -emptyRow *232 (LEmptyRow +emptyRow *247 (LEmptyRow ) uid 5743,0 optionalChildren [ -*233 (RefLabelRowHdr +*248 (RefLabelRowHdr ) -*234 (TitleRowHdr +*249 (TitleRowHdr ) -*235 (FilterRowHdr +*250 (FilterRowHdr ) -*236 (RefLabelColHdr +*251 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*237 (RowExpandColHdr +*252 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*238 (GroupColHdr +*253 (GroupColHdr tm "GroupColHdrMgr" ) -*239 (NameColHdr +*254 (NameColHdr tm "GenericNameColHdrMgr" ) -*240 (TypeColHdr +*255 (TypeColHdr tm "GenericTypeColHdrMgr" ) -*241 (InitColHdr +*256 (InitColHdr tm "GenericValueColHdrMgr" ) -*242 (PragmaColHdr +*257 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) -*243 (EolColHdr +*258 (EolColHdr tm "GenericEolColHdrMgr" ) -*244 (LogGeneric +*259 (LogGeneric generic (GiElement name "position1" type "positive" @@ -5862,7 +6534,7 @@ value "32000" ) uid 7215,0 ) -*245 (LogGeneric +*260 (LogGeneric generic (GiElement name "position2" type "positive" @@ -5870,7 +6542,7 @@ value "64000" ) uid 7217,0 ) -*246 (LogGeneric +*261 (LogGeneric generic (GiElement name "testLineNb" type "positive" @@ -5878,7 +6550,7 @@ value "16" ) uid 7816,0 ) -*247 (LogGeneric +*262 (LogGeneric generic (GiElement name "position0" type "positive" @@ -5886,7 +6558,7 @@ value "128" ) uid 8607,0 ) -*248 (LogGeneric +*263 (LogGeneric generic (GiElement name "slopeShiftBitNb" type "positive" @@ -5894,7 +6566,7 @@ value "6" ) uid 10209,0 ) -*249 (LogGeneric +*264 (LogGeneric generic (GiElement name "pwmBitNb" type "positive" @@ -5907,7 +6579,7 @@ uid 10538,0 pdm (PhysicalDM uid 5755,0 optionalChildren [ -*250 (Sheet +*265 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -5924,64 +6596,64 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *251 (MRCItem -litem &232 +emptyMRCItem *266 (MRCItem +litem &247 pos 6 dimension 20 ) uid 5757,0 optionalChildren [ -*252 (MRCItem -litem &233 +*267 (MRCItem +litem &248 pos 0 dimension 20 uid 5758,0 ) -*253 (MRCItem -litem &234 +*268 (MRCItem +litem &249 pos 1 dimension 23 uid 5759,0 ) -*254 (MRCItem -litem &235 +*269 (MRCItem +litem &250 pos 2 hidden 1 dimension 20 uid 5760,0 ) -*255 (MRCItem -litem &244 +*270 (MRCItem +litem &259 pos 1 dimension 20 uid 7214,0 ) -*256 (MRCItem -litem &245 +*271 (MRCItem +litem &260 pos 2 dimension 20 uid 7216,0 ) -*257 (MRCItem -litem &246 +*272 (MRCItem +litem &261 pos 5 dimension 20 uid 7815,0 ) -*258 (MRCItem -litem &247 +*273 (MRCItem +litem &262 pos 0 dimension 20 uid 8606,0 ) -*259 (MRCItem -litem &248 +*274 (MRCItem +litem &263 pos 3 dimension 20 uid 10208,0 ) -*260 (MRCItem -litem &249 +*275 (MRCItem +litem &264 pos 4 dimension 20 uid 10537,0 @@ -5997,44 +6669,44 @@ textAngle 90 ) uid 5761,0 optionalChildren [ -*261 (MRCItem -litem &236 +*276 (MRCItem +litem &251 pos 0 dimension 20 uid 5762,0 ) -*262 (MRCItem -litem &238 +*277 (MRCItem +litem &253 pos 1 dimension 50 uid 5763,0 ) -*263 (MRCItem -litem &239 +*278 (MRCItem +litem &254 pos 2 dimension 100 uid 5764,0 ) -*264 (MRCItem -litem &240 +*279 (MRCItem +litem &255 pos 3 dimension 100 uid 5765,0 ) -*265 (MRCItem -litem &241 +*280 (MRCItem +litem &256 pos 4 dimension 50 uid 5766,0 ) -*266 (MRCItem -litem &242 +*281 (MRCItem +litem &257 pos 5 dimension 50 uid 5767,0 ) -*267 (MRCItem -litem &243 +*282 (MRCItem +litem &258 pos 6 dimension 80 uid 5768,0 @@ -6053,5 +6725,5 @@ vaOverrides [ uid 5742,0 type 1 ) -activeModelName "BlockDiag" +activeModelName "BlockDiag:CDM" ) diff --git a/Cursor/hds/cursor@circuit/symbol.sb b/Cursor/hds/cursor@circuit/symbol.sb index 349adde..357e74c 100644 --- a/Cursor/hds/cursor@circuit/symbol.sb +++ b/Cursor/hds/cursor@circuit/symbol.sb @@ -16,8 +16,8 @@ libraryRefs [ "ieee" ] ) -version "26.1" -appVersion "2018.1 (Build 12)" +version "27.1" +appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM @@ -163,19 +163,6 @@ uid 336,0 ) *14 (LogPort port (LogicalPort -m 1 -decl (Decl -n "testOut" -t "std_uLogic_vector" -b "(1 TO testLineNb)" -o 21 -suid 8,0 -) -) -uid 337,0 -) -*15 (LogPort -port (LogicalPort decl (Decl n "go1" t "std_uLogic" @@ -185,7 +172,7 @@ suid 9,0 ) uid 338,0 ) -*16 (LogPort +*15 (LogPort port (LogicalPort m 1 decl (Decl @@ -197,7 +184,7 @@ suid 10,0 ) uid 339,0 ) -*17 (LogPort +*16 (LogPort port (LogicalPort decl (Decl n "sensor2" @@ -208,40 +195,40 @@ suid 11,0 ) uid 340,0 ) -*18 (RefLabelRowHdr +*17 (RefLabelRowHdr ) -*19 (TitleRowHdr +*18 (TitleRowHdr ) -*20 (FilterRowHdr +*19 (FilterRowHdr ) -*21 (RefLabelColHdr +*20 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*22 (RowExpandColHdr +*21 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*23 (GroupColHdr +*22 (GroupColHdr tm "GroupColHdrMgr" ) -*24 (NameColHdr +*23 (NameColHdr tm "NameColHdrMgr" ) -*25 (ModeColHdr +*24 (ModeColHdr tm "ModeColHdrMgr" ) -*26 (TypeColHdr +*25 (TypeColHdr tm "TypeColHdrMgr" ) -*27 (BoundsColHdr +*26 (BoundsColHdr tm "BoundsColHdrMgr" ) -*28 (InitColHdr +*27 (InitColHdr tm "InitColHdrMgr" ) -*29 (EolColHdr +*28 (EolColHdr tm "EolColHdrMgr" ) -*30 (LogPort +*29 (LogPort port (LogicalPort m 1 decl (Decl @@ -253,7 +240,7 @@ suid 2017,0 ) uid 759,0 ) -*31 (LogPort +*30 (LogPort port (LogicalPort m 1 decl (Decl @@ -265,7 +252,7 @@ suid 2018,0 ) uid 761,0 ) -*32 (LogPort +*31 (LogPort port (LogicalPort m 1 decl (Decl @@ -277,7 +264,7 @@ suid 2019,0 ) uid 763,0 ) -*33 (LogPort +*32 (LogPort port (LogicalPort m 1 decl (Decl @@ -289,7 +276,7 @@ suid 2020,0 ) uid 765,0 ) -*34 (LogPort +*33 (LogPort port (LogicalPort m 1 decl (Decl @@ -308,7 +295,7 @@ displayShortBounds 1 editShortBounds 1 uid 341,0 optionalChildren [ -*35 (Sheet +*34 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -325,155 +312,149 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *36 (MRCItem +emptyMRCItem *35 (MRCItem litem &1 pos 21 dimension 20 ) uid 254,0 optionalChildren [ -*37 (MRCItem -litem &18 +*36 (MRCItem +litem &17 pos 0 dimension 20 uid 257,0 ) -*38 (MRCItem -litem &19 +*37 (MRCItem +litem &18 pos 1 dimension 23 uid 259,0 ) -*39 (MRCItem -litem &20 +*38 (MRCItem +litem &19 pos 2 hidden 1 dimension 20 uid 261,0 ) -*40 (MRCItem +*39 (MRCItem litem &2 -pos 7 +pos 6 dimension 20 uid 280,0 ) -*41 (MRCItem +*40 (MRCItem litem &3 -pos 10 +pos 9 dimension 20 uid 281,0 ) -*42 (MRCItem +*41 (MRCItem litem &4 -pos 11 +pos 10 dimension 20 uid 282,0 ) -*43 (MRCItem +*42 (MRCItem litem &5 -pos 12 +pos 11 dimension 20 uid 283,0 ) -*44 (MRCItem +*43 (MRCItem litem &6 -pos 15 +pos 14 dimension 20 uid 284,0 ) -*45 (MRCItem +*44 (MRCItem litem &7 pos 0 dimension 20 uid 285,0 ) -*46 (MRCItem +*45 (MRCItem litem &8 pos 1 dimension 20 uid 286,0 ) -*47 (MRCItem +*46 (MRCItem litem &9 -pos 8 +pos 7 dimension 20 uid 287,0 ) -*48 (MRCItem +*47 (MRCItem litem &10 pos 2 dimension 20 uid 288,0 ) -*49 (MRCItem +*48 (MRCItem litem &11 -pos 14 +pos 13 dimension 20 uid 289,0 ) -*50 (MRCItem +*49 (MRCItem litem &12 -pos 5 +pos 4 dimension 20 uid 290,0 ) -*51 (MRCItem +*50 (MRCItem litem &13 pos 3 dimension 20 uid 291,0 ) -*52 (MRCItem +*51 (MRCItem litem &14 -pos 4 -dimension 20 -uid 292,0 -) -*53 (MRCItem -litem &15 -pos 13 +pos 12 dimension 20 uid 293,0 ) -*54 (MRCItem -litem &16 -pos 9 +*52 (MRCItem +litem &15 +pos 8 dimension 20 uid 294,0 ) -*55 (MRCItem -litem &17 -pos 6 +*53 (MRCItem +litem &16 +pos 5 dimension 20 uid 295,0 ) -*56 (MRCItem -litem &30 -pos 16 +*54 (MRCItem +litem &29 +pos 15 dimension 20 uid 758,0 ) -*57 (MRCItem -litem &31 -pos 17 +*55 (MRCItem +litem &30 +pos 16 dimension 20 uid 760,0 ) -*58 (MRCItem -litem &32 -pos 18 +*56 (MRCItem +litem &31 +pos 17 dimension 20 uid 762,0 ) -*59 (MRCItem -litem &33 -pos 19 +*57 (MRCItem +litem &32 +pos 18 dimension 20 uid 764,0 ) -*60 (MRCItem -litem &34 -pos 20 +*58 (MRCItem +litem &33 +pos 19 dimension 20 uid 766,0 ) @@ -488,50 +469,50 @@ textAngle 90 ) uid 255,0 optionalChildren [ -*61 (MRCItem -litem &21 +*59 (MRCItem +litem &20 pos 0 dimension 20 uid 263,0 ) -*62 (MRCItem -litem &23 +*60 (MRCItem +litem &22 pos 1 dimension 50 uid 267,0 ) -*63 (MRCItem -litem &24 +*61 (MRCItem +litem &23 pos 2 dimension 100 uid 269,0 ) -*64 (MRCItem -litem &25 +*62 (MRCItem +litem &24 pos 3 dimension 50 uid 271,0 ) -*65 (MRCItem -litem &26 +*63 (MRCItem +litem &25 pos 4 dimension 100 uid 273,0 ) -*66 (MRCItem -litem &27 +*64 (MRCItem +litem &26 pos 5 dimension 100 uid 275,0 ) -*67 (MRCItem -litem &28 +*65 (MRCItem +litem &27 pos 6 dimension 50 uid 277,0 ) -*68 (MRCItem -litem &29 +*66 (MRCItem +litem &28 pos 7 dimension 80 uid 279,0 @@ -551,41 +532,41 @@ uid 323,0 ) genericsCommonDM (CommonDM ldm (LogicalDM -emptyRow *69 (LEmptyRow +emptyRow *67 (LEmptyRow ) uid 343,0 optionalChildren [ -*70 (RefLabelRowHdr +*68 (RefLabelRowHdr ) -*71 (TitleRowHdr +*69 (TitleRowHdr ) -*72 (FilterRowHdr +*70 (FilterRowHdr ) -*73 (RefLabelColHdr +*71 (RefLabelColHdr tm "RefLabelColHdrMgr" ) -*74 (RowExpandColHdr +*72 (RowExpandColHdr tm "RowExpandColHdrMgr" ) -*75 (GroupColHdr +*73 (GroupColHdr tm "GroupColHdrMgr" ) -*76 (NameColHdr +*74 (NameColHdr tm "GenericNameColHdrMgr" ) -*77 (TypeColHdr +*75 (TypeColHdr tm "GenericTypeColHdrMgr" ) -*78 (InitColHdr +*76 (InitColHdr tm "GenericValueColHdrMgr" ) -*79 (PragmaColHdr +*77 (PragmaColHdr tm "GenericPragmaColHdrMgr" ) -*80 (EolColHdr +*78 (EolColHdr tm "GenericEolColHdrMgr" ) -*81 (LogGeneric +*79 (LogGeneric generic (GiElement name "testLineNb" type "positive" @@ -593,7 +574,7 @@ value "16" ) uid 321,0 ) -*82 (LogGeneric +*80 (LogGeneric generic (GiElement name "position1" type "positive" @@ -601,7 +582,7 @@ value "32000" ) uid 460,0 ) -*83 (LogGeneric +*81 (LogGeneric generic (GiElement name "position2" type "positive" @@ -609,7 +590,7 @@ value "64000" ) uid 462,0 ) -*84 (LogGeneric +*82 (LogGeneric generic (GiElement name "position0" type "positive" @@ -617,7 +598,7 @@ value "128" ) uid 733,0 ) -*85 (LogGeneric +*83 (LogGeneric generic (GiElement name "slopeShiftBitNb" type "positive" @@ -625,7 +606,7 @@ value "6" ) uid 844,0 ) -*86 (LogGeneric +*84 (LogGeneric generic (GiElement name "pwmBitNb" type "positive" @@ -638,7 +619,7 @@ uid 869,0 pdm (PhysicalDM uid 344,0 optionalChildren [ -*87 (Sheet +*85 (Sheet sheetRow (SheetRow headerVa (MVa cellColor "49152,49152,49152" @@ -655,64 +636,64 @@ cellColor "39936,56832,65280" fontColor "0,0,0" font "Tahoma,10,0" ) -emptyMRCItem *88 (MRCItem -litem &69 +emptyMRCItem *86 (MRCItem +litem &67 pos 6 dimension 20 ) uid 297,0 optionalChildren [ -*89 (MRCItem -litem &70 +*87 (MRCItem +litem &68 pos 0 dimension 20 uid 300,0 ) -*90 (MRCItem -litem &71 +*88 (MRCItem +litem &69 pos 1 dimension 23 uid 302,0 ) -*91 (MRCItem -litem &72 +*89 (MRCItem +litem &70 pos 2 hidden 1 dimension 20 uid 304,0 ) -*92 (MRCItem -litem &81 +*90 (MRCItem +litem &79 pos 5 dimension 20 uid 322,0 ) -*93 (MRCItem -litem &82 +*91 (MRCItem +litem &80 pos 1 dimension 20 uid 461,0 ) -*94 (MRCItem -litem &83 +*92 (MRCItem +litem &81 pos 2 dimension 20 uid 463,0 ) -*95 (MRCItem -litem &84 +*93 (MRCItem +litem &82 pos 0 dimension 20 uid 734,0 ) -*96 (MRCItem -litem &85 +*94 (MRCItem +litem &83 pos 3 dimension 20 uid 845,0 ) -*97 (MRCItem -litem &86 +*95 (MRCItem +litem &84 pos 4 dimension 20 uid 870,0 @@ -728,44 +709,44 @@ textAngle 90 ) uid 298,0 optionalChildren [ -*98 (MRCItem -litem &73 +*96 (MRCItem +litem &71 pos 0 dimension 20 uid 306,0 ) -*99 (MRCItem -litem &75 +*97 (MRCItem +litem &73 pos 1 dimension 50 uid 310,0 ) -*100 (MRCItem -litem &76 +*98 (MRCItem +litem &74 pos 2 dimension 100 uid 312,0 ) -*101 (MRCItem -litem &77 +*99 (MRCItem +litem &75 pos 3 dimension 100 uid 314,0 ) -*102 (MRCItem -litem &78 +*100 (MRCItem +litem &76 pos 4 dimension 50 uid 316,0 ) -*103 (MRCItem -litem &79 +*101 (MRCItem +litem &77 pos 5 dimension 50 uid 318,0 ) -*104 (MRCItem -litem &80 +*102 (MRCItem +litem &78 pos 6 dimension 80 uid 320,0 @@ -792,23 +773,23 @@ value " " ) (vvPair variable "HDLDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hdl" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" ) (vvPair variable "HDSDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\symbol.sb.info" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\symbol.sb.info" ) (vvPair variable "SideDataUserDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\symbol.sb.user" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\symbol.sb.user" ) (vvPair variable "SourceDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" ) (vvPair variable "appl" @@ -828,27 +809,27 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit" ) (vvPair variable "d_logical" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursorCircuit" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cursorCircuit" ) (vvPair variable "date" -value "13.06.2019" +value "17.12.2021" ) (vvPair variable "day" -value "Thu" +value "ven." ) (vvPair variable "day_long" -value "Thursday" +value "vendredi" ) (vvPair variable "dd" -value "13" +value "17" ) (vvPair variable "designName" @@ -876,11 +857,11 @@ value "symbol" ) (vvPair variable "graphical_source_author" -value "silvan.zahno" +value "Simon" ) (vvPair variable "graphical_source_date" -value "13.06.2019" +value "17.12.2021" ) (vvPair variable "graphical_source_group" @@ -888,11 +869,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "WE6996" +value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "13:05:02" +value "09:40:24" ) (vvPair variable "group" @@ -900,7 +881,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "WE6996" +value "PC-SDM" ) (vvPair variable "language" @@ -932,7 +913,7 @@ value "D:\\Users\\Syslo\\Chronometer\\Synthesis" ) (vvPair variable "mm" -value "06" +value "12" ) (vvPair variable "module_name" @@ -940,19 +921,19 @@ value "cursorCircuit" ) (vvPair variable "month" -value "Jun" +value "déc." ) (vvPair variable "month_long" -value "June" +value "décembre" ) (vvPair variable "p" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\symbol.sb" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\symbol.sb" ) (vvPair variable "p_logical" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursorCircuit\\symbol.sb" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\cursorCircuit\\symbol.sb" ) (vvPair variable "package_name" @@ -1028,7 +1009,7 @@ value "symbol" ) (vvPair variable "time" -value "13:05:02" +value "09:40:24" ) (vvPair variable "unit" @@ -1036,11 +1017,11 @@ value "cursorCircuit" ) (vvPair variable "user" -value "silvan.zahno" +value "Simon" ) (vvPair variable "version" -value "2018.1 (Build 12)" +value "2019.2 (Build 5)" ) (vvPair variable "view" @@ -1048,21 +1029,21 @@ value "symbol" ) (vvPair variable "year" -value "2019" +value "2021" ) (vvPair variable "yy" -value "19" +value "21" ) ] ) LanguageMgr "Vhdl2008LangMgr" uid 51,0 optionalChildren [ -*105 (SymbolBody +*103 (SymbolBody uid 8,0 optionalChildren [ -*106 (CptPort +*104 (CptPort uid 52,0 ps "OnEdgeStrategy" shape (Triangle @@ -1104,7 +1085,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,12700,19000,13500" -st "clock : IN std_ulogic ;" +st "clock : IN std_ulogic ; +" ) thePort (LogicalPort decl (Decl @@ -1115,7 +1097,7 @@ suid 1,0 ) ) ) -*107 (CptPort +*105 (CptPort uid 62,0 ps "OnEdgeStrategy" shape (Triangle @@ -1157,7 +1139,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,17500,19000,18300" -st "reset : IN std_ulogic ;" +st "reset : IN std_ulogic ; +" ) thePort (LogicalPort decl (Decl @@ -1168,7 +1151,7 @@ suid 2,0 ) ) ) -*108 (CptPort +*106 (CptPort uid 105,0 ps "OnEdgeStrategy" shape (Triangle @@ -1212,7 +1195,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,26300,19000,27100" -st "side1 : OUT std_uLogic ;" +st "side1 : OUT std_uLogic ; +" ) thePort (LogicalPort m 1 @@ -1224,7 +1208,7 @@ suid 3,0 ) ) ) -*109 (CptPort +*107 (CptPort uid 131,0 ps "OnEdgeStrategy" shape (Triangle @@ -1266,7 +1250,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,18300,19000,19100" -st "restart : IN std_uLogic ;" +st "restart : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl @@ -1277,7 +1262,7 @@ suid 4,0 ) ) ) -*110 (CptPort +*108 (CptPort uid 174,0 ps "OnEdgeStrategy" shape (Triangle @@ -1319,7 +1304,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,16700,19000,17500" -st "go2 : IN std_uLogic ;" +st "go2 : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl @@ -1330,7 +1316,7 @@ suid 5,0 ) ) ) -*111 (CptPort +*109 (CptPort uid 194,0 ps "OnEdgeStrategy" shape (Triangle @@ -1374,7 +1360,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,19100,19000,19900" -st "sensor1 : IN std_uLogic ;" +st "sensor1 : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl @@ -1385,7 +1372,7 @@ suid 6,0 ) ) ) -*112 (CptPort +*110 (CptPort uid 200,0 ps "OnEdgeStrategy" shape (Triangle @@ -1427,7 +1414,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,20700,19000,21500" -st "testMode : IN std_uLogic ;" +st "testMode : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl @@ -1438,63 +1426,7 @@ suid 7,0 ) ) ) -*113 (CptPort -uid 205,0 -ps "OnEdgeStrategy" -shape (Triangle -uid 206,0 -va (VaSet -vasetType 1 -fg "0,65535,0" -) -xt "47625,1250,48375,2000" -) -tg (CPTG -uid 207,0 -ps "CptPortTextPlaceStrategy" -stg "RightVerticalLayoutStrategy" -f (Text -uid 208,0 -va (VaSet -font "Verdana,12,0" -) -xt "45000,3000,50600,4400" -st "testOut" -ju 2 -blo "50600,4200" -tm "CptPortNameMgr" -) -s (Text -uid 724,0 -va (VaSet -font "Verdana,12,0" -) -xt "50600,4400,50600,4400" -ju 2 -blo "48500,900" -tm "CptPortTypeMgr" -) -) -dt (MLText -uid 209,0 -va (VaSet -font "Courier New,8,0" -) -xt "2000,27900,30500,28700" -st "testOut : OUT std_uLogic_vector (1 TO testLineNb)" -) -thePort (LogicalPort -m 1 -decl (Decl -n "testOut" -t "std_uLogic_vector" -b "(1 TO testLineNb)" -o 21 -suid 8,0 -) -) -) -*114 (CptPort +*111 (CptPort uid 210,0 ps "OnEdgeStrategy" shape (Triangle @@ -1536,7 +1468,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,15900,19000,16700" -st "go1 : IN std_uLogic ;" +st "go1 : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl @@ -1547,7 +1480,7 @@ suid 9,0 ) ) ) -*115 (CptPort +*112 (CptPort uid 215,0 ps "OnEdgeStrategy" shape (Triangle @@ -1590,8 +1523,9 @@ uid 219,0 va (VaSet font "Courier New,8,0" ) -xt "2000,27100,19000,27900" -st "side2 : OUT std_uLogic ;" +xt "2000,27100,18000,27900" +st "side2 : OUT std_uLogic +" ) thePort (LogicalPort m 1 @@ -1603,7 +1537,7 @@ suid 10,0 ) ) ) -*116 (CptPort +*113 (CptPort uid 220,0 ps "OnEdgeStrategy" shape (Triangle @@ -1647,7 +1581,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,19900,19000,20700" -st "sensor2 : IN std_uLogic ;" +st "sensor2 : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl @@ -1658,7 +1593,7 @@ suid 11,0 ) ) ) -*117 (CptPort +*114 (CptPort uid 225,0 ps "OnEdgeStrategy" shape (Triangle @@ -1702,7 +1637,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,25500,19000,26300" -st "motorOn : OUT std_uLogic ;" +st "motorOn : OUT std_uLogic ; +" ) thePort (LogicalPort m 1 @@ -1714,7 +1650,7 @@ suid 12,0 ) ) ) -*118 (CptPort +*115 (CptPort uid 230,0 ps "OnEdgeStrategy" shape (Triangle @@ -1758,7 +1694,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,13500,19000,14300" -st "encoderA : IN std_uLogic ;" +st "encoderA : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl @@ -1769,7 +1706,7 @@ suid 13,0 ) ) ) -*119 (CptPort +*116 (CptPort uid 235,0 ps "OnEdgeStrategy" shape (Triangle @@ -1813,7 +1750,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,14300,19000,15100" -st "encoderB : IN std_uLogic ;" +st "encoderB : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl @@ -1824,7 +1762,7 @@ suid 14,0 ) ) ) -*120 (CptPort +*117 (CptPort uid 240,0 ps "OnEdgeStrategy" shape (Triangle @@ -1868,7 +1806,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,15100,19000,15900" -st "encoderI : IN std_uLogic ;" +st "encoderI : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl @@ -1879,7 +1818,7 @@ suid 15,0 ) ) ) -*121 (CptPort +*118 (CptPort uid 245,0 ps "OnEdgeStrategy" shape (Triangle @@ -1921,7 +1860,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,11900,19000,12700" -st "button4 : IN std_uLogic ;" +st "button4 : IN std_uLogic ; +" ) thePort (LogicalPort decl (Decl @@ -1932,7 +1872,7 @@ suid 16,0 ) ) ) -*122 (CptPort +*119 (CptPort uid 768,0 ps "OnEdgeStrategy" shape (Triangle @@ -1974,7 +1914,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,22300,19000,23100" -st "CS1_n : OUT std_ulogic ;" +st "CS1_n : OUT std_ulogic ; +" ) thePort (LogicalPort m 1 @@ -1986,7 +1927,7 @@ suid 2017,0 ) ) ) -*123 (CptPort +*120 (CptPort uid 774,0 ps "OnEdgeStrategy" shape (Triangle @@ -2028,7 +1969,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,23900,19000,24700" -st "SCL : OUT std_ulogic ;" +st "SCL : OUT std_ulogic ; +" ) thePort (LogicalPort m 1 @@ -2040,7 +1982,7 @@ suid 2018,0 ) ) ) -*124 (CptPort +*121 (CptPort uid 780,0 ps "OnEdgeStrategy" shape (Triangle @@ -2082,7 +2024,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,24700,19000,25500" -st "SI : OUT std_ulogic ;" +st "SI : OUT std_ulogic ; +" ) thePort (LogicalPort m 1 @@ -2094,7 +2037,7 @@ suid 2019,0 ) ) ) -*125 (CptPort +*122 (CptPort uid 786,0 ps "OnEdgeStrategy" shape (Triangle @@ -2136,7 +2079,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,21500,19000,22300" -st "A0 : OUT std_ulogic ;" +st "A0 : OUT std_ulogic ; +" ) thePort (LogicalPort m 1 @@ -2148,7 +2092,7 @@ suid 2020,0 ) ) ) -*126 (CptPort +*123 (CptPort uid 792,0 ps "OnEdgeStrategy" shape (Triangle @@ -2190,7 +2134,8 @@ va (VaSet font "Courier New,8,0" ) xt "2000,23100,19000,23900" -st "RST_n : OUT std_ulogic ;" +st "RST_n : OUT std_ulogic ; +" ) thePort (LogicalPort m 1 @@ -2237,7 +2182,7 @@ st "cursorCircuit" blo "40100,35500" ) ) -gi *127 (GenericInterface +gi *124 (GenericInterface uid 13,0 ps "CenterOffsetStrategy" matrix (Matrix @@ -2302,10 +2247,10 @@ disp 1 sTC 0 ) ) -*128 (Grouping +*125 (Grouping uid 136,0 optionalChildren [ -*129 (CommentText +*126 (CommentText uid 138,0 shape (Rectangle uid 139,0 @@ -2335,7 +2280,7 @@ visibleWidth 17000 position 1 ignorePrefs 1 ) -*130 (CommentText +*127 (CommentText uid 141,0 shape (Rectangle uid 142,0 @@ -2365,7 +2310,7 @@ visibleWidth 4000 position 1 ignorePrefs 1 ) -*131 (CommentText +*128 (CommentText uid 144,0 shape (Rectangle uid 145,0 @@ -2395,7 +2340,7 @@ visibleWidth 17000 position 1 ignorePrefs 1 ) -*132 (CommentText +*129 (CommentText uid 147,0 shape (Rectangle uid 148,0 @@ -2425,7 +2370,7 @@ visibleWidth 4000 position 1 ignorePrefs 1 ) -*133 (CommentText +*130 (CommentText uid 150,0 shape (Rectangle uid 151,0 @@ -2454,7 +2399,7 @@ visibleWidth 20000 ) ignorePrefs 1 ) -*134 (CommentText +*131 (CommentText uid 153,0 shape (Rectangle uid 154,0 @@ -2484,7 +2429,7 @@ visibleWidth 16000 position 1 ignorePrefs 1 ) -*135 (CommentText +*132 (CommentText uid 156,0 shape (Rectangle uid 157,0 @@ -2514,7 +2459,7 @@ visibleWidth 21000 position 1 ignorePrefs 1 ) -*136 (CommentText +*133 (CommentText uid 159,0 shape (Rectangle uid 160,0 @@ -2544,7 +2489,7 @@ visibleWidth 4000 position 1 ignorePrefs 1 ) -*137 (CommentText +*134 (CommentText uid 162,0 shape (Rectangle uid 163,0 @@ -2574,7 +2519,7 @@ visibleWidth 4000 position 1 ignorePrefs 1 ) -*138 (CommentText +*135 (CommentText uid 165,0 shape (Rectangle uid 166,0 @@ -2629,11 +2574,11 @@ xShown 1 yShown 1 color "65535,0,0" ) -packageList *139 (PackageList +packageList *136 (PackageList uid 48,0 stg "VerticalLayoutStrategy" textVec [ -*140 (Text +*137 (Text uid 103,0 va (VaSet font "Verdana,8,1" @@ -2642,7 +2587,7 @@ xt "0,0,6900,1000" st "Package List" blo "0,800" ) -*141 (MLText +*138 (MLText uid 104,0 va (VaSet ) @@ -2785,7 +2730,7 @@ st "" blo "29100,16500" ) ) -gi *142 (GenericInterface +gi *139 (GenericInterface ps "CenterOffsetStrategy" matrix (Matrix text (MLText @@ -2884,7 +2829,7 @@ o 0 ) ) ) -DeclarativeBlock *143 (SymDeclBlock +DeclarativeBlock *140 (SymDeclBlock uid 1,0 stg "SymDeclLayoutStrategy" declLabel (Text @@ -2910,9 +2855,9 @@ uid 4,0 va (VaSet font "Verdana,8,1" ) -xt "0,28700,3000,29700" +xt "0,27900,3000,28900" st "User:" -blo "0,29500" +blo "0,28700" ) internalLabel (Text uid 6,0 @@ -2929,7 +2874,7 @@ uid 5,0 va (VaSet font "Courier New,8,0" ) -xt "2000,29700,2000,29700" +xt "2000,28900,2000,28900" tm "SyDeclarativeTextMgr" ) internalText (MLText @@ -2942,7 +2887,7 @@ xt "0,9900,0,9900" tm "SyDeclarativeTextMgr" ) ) -lastUid 893,0 +lastUid 916,0 okToSyncOnLoad 1 OkToSyncGenericsOnLoad 1 activeModelName "Symbol" diff --git a/Cursor/hds/enable_motor/fsm.sm b/Cursor/hds/enable_motor/fsm.sm new file mode 100644 index 0000000..a85e7df --- /dev/null +++ b/Cursor/hds/enable_motor/fsm.sm @@ -0,0 +1,3365 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +machine (Machine +name "csm" +children [ +(Machine +name "csm" +children [ +] +stateSignalName "current_state" +) +] +) +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (StateMachine +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\enable_motor\\fsm.sm.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\enable_motor\\fsm.sm.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "fsm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\enable_motor" +) +(vvPair +variable "d_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\enable_motor" +) +(vvPair +variable "date" +value "17.12.2021" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "17" +) +(vvPair +variable "entity_name" +value "enable_motor" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "fsm.sm" +) +(vvPair +variable "f_logical" +value "fsm.sm" +) +(vvPair +variable "f_noext" +value "fsm" +) +(vvPair +variable "graphical_source_author" +value "Simon" +) +(vvPair +variable "graphical_source_date" +value "17.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "PC-SDM" +) +(vvPair +variable "graphical_source_time" +value "08:38:10" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "PC-SDM" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "enable_motor" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\enable_motor\\fsm.sm" +) +(vvPair +variable "p_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\enable_motor\\fsm.sm" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sm" +) +(vvPair +variable "this_file" +value "fsm" +) +(vvPair +variable "this_file_logical" +value "fsm" +) +(vvPair +variable "time" +value "08:38:10" +) +(vvPair +variable "unit" +value "enable_motor" +) +(vvPair +variable "user" +value "Simon" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "fsm" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +optionalChildren [ +*1 (ConcurrentSM +uid 1,0 +topDiagram (StateDiagram +LanguageMgr "None" +uid 2,0 +optionalChildren [ +*2 (State +uid 43,0 +shape (Circle +uid 44,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "26099,9634,33877,17412" +radius 3889 +) +name (Text +uid 45,0 +va (VaSet +font "Verdana,12,1" +) +xt "26788,12823,33188,14223" +st "disabled" +ju 0 +blo "29988,14023" +tm "ONodeName" +) +wait (TextAssociate +uid 46,0 +ps "CenterOffsetStrategy" +text (Text +uid 47,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "29738,13723,34838,15123" +st "wait 2" +blo "29738,14923" +tm "SmWaitText" +) +) +encoding (Text +uid 48,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "29988,14623,29988,14623" +blo "29988,14623" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 51,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 52,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "28988,16223,38988,17623" +) +autoResize 1 +tline (Line +uid 53,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "29088,16123,38888,16123" +pts [ +"29088,16123" +"38888,16123" +] +) +bline (Line +uid 54,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "29088,15523,38888,15523" +pts [ +"29088,15523" +"38888,15523" +] +) +ttri (Triangle +uid 55,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "28638,15748,28988,16098" +) +btri (Triangle +uid 56,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "28638,13348,28988,13698" +) +entryActions (MLText +uid 57,0 +va (VaSet +) +xt "29088,15923,29088,15923" +tm "Actions" +) +inActions (MLText +uid 58,0 +va (VaSet +) +xt "29088,16323,38888,17523" +st "motorOn <= '0' ;" +tm "Actions" +) +exitActions (MLText +uid 59,0 +va (VaSet +) +xt "29988,13523,29988,13523" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 49,0 +ps "CenterOffsetStrategy" +text (MLText +uid 50,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "27888,14723,34588,15923" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*3 (SmClockPoint +uid 60,0 +shape (CompositeShape +uid 61,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 62,0 +sl 0 +ro 270 +xt "3250,10500,5500,11500" +) +(OrthoPolyLine +uid 63,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,10700,4749,11300" +pts [ +"4150,11300" +"4449,11300" +"4449,10700" +"4749,10700" +] +) +(Arc2D +pts [ +"3759,11153" +"3504,10847" +"3759,10847" +] +uid 64,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "3431,10800,3759,11199" +) +] +) +name (TextAssociate +uid 65,0 +ps "CenterOffsetStrategy" +text (Text +uid 66,0 +va (VaSet +) +xt "550,10500,2750,11700" +st "clk" +ju 2 +blo "2750,11500" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +uid 67,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 68,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "5500,10300,20400,11700" +) +autoResize 1 +cond (MLText +uid 69,0 +va (VaSet +) +xt "5600,10400,20300,11600" +st "clk'EVENT AND clk = '1'" +tm "SmControlConditionMgr" +) +) +) +*4 (SmResetPoint +uid 70,0 +shape (CompositeShape +uid 71,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 72,0 +sl 0 +ro 270 +xt "3250,19500,5500,20500" +) +(OrthoPolyLine +uid 73,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,19700,4749,20300" +pts [ +"4749,20300" +"4449,20300" +"4449,19700" +"4150,19700" +] +) +(Line +uid 74,0 +sl 0 +ro 270 +xt "3499,19825,3599,19875" +pts [ +"3499,19875" +"3599,19825" +] +) +(Line +uid 75,0 +sl 0 +ro 270 +xt "3499,19825,3499,20175" +pts [ +"3499,20175" +"3499,19825" +] +) +(Circle +uid 76,0 +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "4299,19850,4599,20150" +radius 150 +) +] +) +cond (SmControlCondition +uid 82,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 83,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "3250,17700,8150,19100" +) +autoResize 1 +cond (MLText +uid 84,0 +va (VaSet +isHidden 1 +) +xt "3350,17800,8050,19000" +st "rst = '0'" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +uid 79,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 80,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5500,18937,7626,21063" +radius 1063 +) +pr (Text +uid 81,0 +va (VaSet +isHidden 1 +) +xt "5863,19400,7263,20600" +st "1" +ju 0 +blo "6563,20400" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +uid 77,0 +ps "CenterOffsetStrategy" +text (Text +uid 78,0 +va (VaSet +) +xt "1150,19400,3250,20600" +st "rst" +ju 2 +blo "3250,20400" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +uid 85,0 +ps "CenterOffsetStrategy" +text (MLText +uid 86,0 +va (VaSet +isHidden 1 +) +xt "11125,24125,19725,25325" +st "< Automatic >" +tm "Actions" +) +) +) +*5 (Link +uid 87,0 +shape (CompositeShape +uid 88,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 89,0 +sl 0 +ro 270 +xt "17000,19500,19250,20500" +) +(Line +uid 90,0 +sl 0 +ro 270 +xt "16500,20000,17000,20000" +pts [ +"16500,20000" +"17000,20000" +] +) +] +) +name (TextAssociate +uid 91,0 +ps "CenterOffsetStrategy" +text (Text +uid 92,0 +va (VaSet +font "Verdana,9,1" +) +xt "19750,19500,24450,20700" +st "disabled" +blo "19750,20500" +tm "LinkName" +) +) +) +*6 (SmRecoveryStatePoint +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +uid 95,0 +sl 0 +xt "3250,14100,5050,15900" +radius 900 +) +(Line +uid 96,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"3724,15426" +"4576,14574" +] +) +(Line +uid 97,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,14574,4576,15426" +pts [ +"4576,15426" +"3724,14574" +] +) +] +) +) +*7 (Link +uid 98,0 +shape (CompositeShape +uid 99,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 100,0 +sl 0 +ro 270 +xt "17000,14500,19250,15500" +) +(Line +uid 101,0 +sl 0 +ro 270 +xt "16500,15000,17000,15000" +pts [ +"16500,15000" +"17000,15000" +] +) +] +) +name (TextAssociate +uid 102,0 +ps "CenterOffsetStrategy" +text (Text +uid 103,0 +va (VaSet +font "Verdana,9,1" +) +xt "19750,14500,24450,15700" +st "disabled" +blo "19750,15500" +tm "LinkName" +) +) +) +*8 (Grouping +uid 124,0 +optionalChildren [ +*9 (CommentText +uid 126,0 +shape (Rectangle +uid 127,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 128,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46000,47000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 129,0 +shape (Rectangle +uid 130,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,57000,43000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 131,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,42000,56200,43000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 132,0 +shape (Rectangle +uid 133,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 134,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,44000,46200,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*12 (CommentText +uid 135,0 +shape (Rectangle +uid 136,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 137,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,44000,34300,45000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*13 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,43000,73000,47000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 140,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,43200,62600,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*14 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,42000,73000,43000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 143,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,42000,58800,43000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*15 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,42000,53000,44000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 146,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,42400,47650,43600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*16 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 149,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,45000,34300,46000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*17 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 152,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34900,47000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*18 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 155,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,45000,46100,46000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 125,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,42000,73000,47000" +) +oxt "14000,66000,55000,71000" +) +*19 (State +uid 164,0 +shape (Circle +uid 165,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "52942,9505,60436,16999" +radius 3747 +) +name (Text +uid 166,0 +va (VaSet +font "Verdana,12,1" +) +xt "53639,12552,59739,13952" +st "enabled" +ju 0 +blo "56689,13752" +tm "ONodeName" +) +wait (TextAssociate +uid 167,0 +ps "CenterOffsetStrategy" +text (Text +uid 168,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "56439,13452,61539,14852" +st "wait 2" +blo "56439,14652" +tm "SmWaitText" +) +) +encoding (Text +uid 169,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "56689,14352,56689,14352" +blo "56689,14352" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 172,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 173,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "55689,15952,65689,17352" +) +autoResize 1 +tline (Line +uid 174,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "55789,15852,65589,15852" +pts [ +"55789,15852" +"65589,15852" +] +) +bline (Line +uid 175,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "55789,15252,65589,15252" +pts [ +"55789,15252" +"65589,15252" +] +) +ttri (Triangle +uid 176,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "55339,15477,55689,15827" +) +btri (Triangle +uid 177,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "55339,13077,55689,13427" +) +entryActions (MLText +uid 178,0 +va (VaSet +) +xt "55789,15652,55789,15652" +tm "Actions" +) +inActions (MLText +uid 179,0 +va (VaSet +) +xt "55789,16052,65589,17252" +st "motorOn <= '1' ;" +tm "Actions" +) +exitActions (MLText +uid 180,0 +va (VaSet +) +xt "56689,13252,56689,13252" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 170,0 +ps "CenterOffsetStrategy" +text (MLText +uid 171,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "54589,14452,61289,15652" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*20 (Transition +uid 104,0 +shape (Spline +uid 105,0 +va (VaSet +vasetType 3 +) +xt "5500,20000,16500,20000" +pts [ +"5500,20000" +"16500,20000" +] +) +start &4 +end &5 +ss 0 +es 0 +cond "rst = '0'" +tb (TransitionBlock +uid 106,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 107,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "8150,18900,13850,21100" +) +autoResize 1 +lineShape (Line +uid 108,0 +va (VaSet +vasetType 3 +) +xt "8650,20500,13350,20500" +pts [ +"8650,20500" +"13350,20500" +] +) +condition (MLText +uid 109,0 +va (VaSet +) +xt "8650,18900,13350,20100" +st "rst = '0'" +tm "Condition" +) +actions (MLText +uid 110,0 +va (VaSet +isHidden 1 +) +xt "6700,20900,15300,22100" +st "< Automatic >" +tm "Actions" +) +) +tp (TransitionPriority +uid 111,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 112,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5537,18937,7663,21063" +radius 1063 +) +pr (Text +uid 113,0 +va (VaSet +isHidden 1 +) +xt "5900,19400,7300,20600" +st "1" +ju 0 +blo "6600,20400" +tm "TransitionPriority" +) +padding "100,100" +) +) +*21 (Transition +uid 114,0 +shape (Spline +uid 115,0 +va (VaSet +vasetType 3 +) +xt "5050,15000,16500,15000" +pts [ +"5050,15000" +"16500,15000" +] +) +start &6 +end &7 +ss 0 +es 0 +tb (TransitionBlock +uid 116,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 117,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "7625,14495,13925,15505" +) +autoResize 1 +lineShape (Line +uid 118,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "10775,16000,10775,16000" +pts [ +"10775,16000" +"10775,16000" +] +) +condition (MLText +uid 119,0 +va (VaSet +) +xt "8125,14400,13425,15600" +tm "Condition" +) +actions (MLText +uid 120,0 +va (VaSet +) +xt "10775,16000,10775,16000" +tm "Actions" +) +) +tp (TransitionPriority +uid 121,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 122,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5132,13937,7258,16063" +radius 1063 +) +pr (Text +uid 123,0 +va (VaSet +isHidden 1 +) +xt "5495,14400,6895,15600" +st "1" +ju 0 +blo "6195,15400" +tm "TransitionPriority" +) +padding "100,100" +) +) +*22 (Transition +uid 181,0 +shape (Spline +uid 182,0 +va (VaSet +vasetType 3 +) +xt "33867,13236,52953,13533" +pts [ +"33867,13236" +"52953,13533" +] +arrow 1 +) +start &2 +end &19 +cond "Power /= \"00000000\"" +tb (TransitionBlock +uid 183,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 184,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "37494,9025,51194,11225" +) +autoResize 1 +lineShape (Line +uid 185,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "40644,11125,40644,11125" +pts [ +"40644,11125" +"40644,11125" +] +) +condition (MLText +uid 186,0 +va (VaSet +) +xt "37994,9525,50694,10725" +st "Power /= \"00000000\"" +tm "Condition" +) +actions (MLText +uid 187,0 +va (VaSet +) +xt "44344,11125,44344,11125" +tm "Actions" +) +) +tp (TransitionPriority +uid 188,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 189,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "34711,12202,36837,14328" +radius 1063 +) +pr (Text +uid 190,0 +va (VaSet +isHidden 1 +) +xt "35074,12665,36474,13865" +st "1" +ju 0 +blo "35774,13665" +tm "TransitionPriority" +) +padding "100,100" +) +) +*23 (Transition +uid 191,0 +shape (Spline +uid 192,0 +va (VaSet +vasetType 3 +) +xt "33641,14856,53425,15092" +pts [ +"53425,15092" +"33641,14856" +] +arrow 1 +) +start &19 +end &2 +cond "Power = \"00000000\"" +tb (TransitionBlock +uid 193,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 194,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "38597,16143,51897,18343" +) +autoResize 1 +lineShape (Line +uid 195,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "41747,18243,41747,18243" +pts [ +"41747,18243" +"41747,18243" +] +) +condition (MLText +uid 196,0 +va (VaSet +) +xt "39097,16643,51397,17843" +st "Power = \"00000000\"" +tm "Condition" +) +actions (MLText +uid 197,0 +va (VaSet +) +xt "45247,18243,45247,18243" +tm "Actions" +) +) +tp (TransitionPriority +uid 198,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 199,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "50384,14005,52510,16131" +radius 1063 +) +pr (Text +uid 200,0 +va (VaSet +isHidden 1 +) +xt "50747,14468,52147,15668" +st "1" +ju 0 +blo "51447,15468" +tm "TransitionPriority" +) +padding "100,100" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 0 +isActive 0 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *24 (PackageList +uid 31,0 +stg "VerticalLayoutStrategy" +textVec [ +*25 (Text +uid 32,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,3000,7600,4200" +st "Package List" +blo "0,4000" +) +*26 (MLText +uid 33,0 +va (VaSet +) +xt "0,4200,17500,10200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "SmPackageListTextMgr" +) +] +) +compDirBlock (MlTextGroup +uid 34,0 +stg "VerticalLayoutStrategy" +textVec [ +*27 (Text +uid 35,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,0,30800,1200" +st "Compiler Directives" +blo "20000,1000" +) +*28 (Text +uid 36,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,1200,33100,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*29 (MLText +uid 37,0 +va (VaSet +isHidden 1 +) +xt "20000,2400,32100,4800" +st "`resetall +`timescale 1ns/10ps" +tm "SmCompilerDirectivesTextMgr" +) +*30 (Text +uid 38,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,4800,33700,6000" +st "Post-module directives:" +blo "20000,5800" +) +*31 (MLText +uid 39,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "SmCompilerDirectivesTextMgr" +) +*32 (Text +uid 40,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "20000,6000,33200,7200" +st "End-module directives:" +blo "20000,7000" +) +*33 (MLText +uid 41,0 +va (VaSet +isHidden 1 +) +xt "20000,7200,20000,7200" +tm "SmCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "-8,-8,1722,1111" +viewArea "-10530,-4777,75986,52305" +cachedDiagramExtent "0,-1000,86600,47000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-2000" +isTopLevel 1 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +localDecl *34 (SmLocalDecl +uid 3,0 +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,-1000,42400,200" +st "Architecture Declarations" +blo "27800,0" +) +*36 (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*37 (Text +uid 6,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,200,33000,1400" +st "Pre Decls" +blo "27800,1200" +) +*38 (MLText +uid 7,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,-1000,27800,-1000" +tm "LocalDeclTextMgr" +) +*39 (Text +uid 8,0 +va (VaSet +font "Verdana,9,1" +) +xt "27800,1400,34200,2600" +st "Post Decls" +blo "27800,2400" +) +*40 (MLText +uid 9,0 +va (VaSet +font "Courier New,8,0" +) +xt "27800,2600,27800,2600" +tm "LocalDeclTextMgr" +) +] +) +processDecl *41 (SmProcessDecl +uid 10,0 +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,-1000,86600,200" +st "Process Declarations" +blo "74500,0" +) +*43 (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,200,84000,1400" +st "Clocked Process:" +blo "74500,1200" +) +*44 (MLText +uid 13,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,-1000,74500,-1000" +tm "ProcessDeclTextMgr" +) +*45 (Text +uid 14,0 +va (VaSet +font "Verdana,9,1" +) +xt "74500,1400,83800,2600" +st "Output Process:" +blo "74500,2400" +) +*46 (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "74500,2600,74500,2600" +tm "ProcessDeclTextMgr" +) +] +associable 1 +) +defaultActions *47 (MlTextGroup +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-1000,8400,200" +st "Global Actions" +blo "0,0" +) +*49 (Text +uid 18,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,200,7400,1400" +st "Pre Actions:" +blo "0,1200" +) +*50 (MLText +uid 19,0 +va (VaSet +) +xt "0,-1000,0,-1000" +tm "Actions" +) +*51 (Text +uid 20,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,1400,8000,2600" +st "Post Actions:" +blo "0,2400" +) +*52 (MLText +uid 21,0 +va (VaSet +) +xt "0,2600,0,2600" +tm "Actions" +) +] +associable 1 +) +archConcurrentStatementBlock *53 (BiTextGroup +uid 22,0 +stg "VerticalLayoutStrategy" +first (Text +uid 23,0 +va (VaSet +font "Verdana,9,1" +) +xt "11400,-1000,24800,200" +st "Concurrent Statements" +blo "11400,0" +) +second (MLText +uid 24,0 +va (VaSet +) +xt "11400,200,11400,200" +tm "ArchConcStmtTextMgr" +) +associable 1 +) +signalsGenStatus *54 (SmSignalGenStatus +uid 28,0 +stg "VerticalLayoutStrategy" +first (Text +uid 29,0 +va (VaSet +font "Verdana,9,1" +) +xt "45400,-1000,53200,200" +st "Signal Status" +blo "45400,0" +) +second (MLText +uid 30,0 +va (VaSet +font "Courier New,8,0" +) +xt "45400,200,71900,1800" +st "SIGNAL MODE DEFAULT RESET SCHEME COMMENT +motorOn OUT COMB +" +tm "SmSignalsGenStatusTextMgr" +) +) +stateRegBlock *55 (BiTextGroup +uid 25,0 +stg "VerticalLayoutStrategy" +first (Text +uid 26,0 +va (VaSet +font "Verdana,9,1" +) +xt "56200,-1000,71500,200" +st "State Register Statements" +blo "56200,0" +) +second (MLText +uid 27,0 +va (VaSet +) +xt "56200,200,56200,200" +tm "Actions" +) +associable 1 +) +) +genChar (SmGenChar +uid 42,0 +nextStateClocking 0 +) +encoding (Encoding +scheme 3 +encodingStyles [ +(pair +scheme 0 +style 0 +) +(pair +scheme 1 +style 1 +) +(pair +scheme 2 +style 0 +) +(pair +scheme 3 +style 0 +) +(pair +scheme 4 +style 0 +) +(pair +scheme 5 +style 0 +) +] +otherValues [ +(pair +scheme 0 +otherValue "" +) +(pair +scheme 1 +otherValue "" +) +(pair +scheme 2 +otherValue "" +) +(pair +scheme 3 +otherValue "" +) +(pair +scheme 4 +otherValue "" +) +(pair +scheme 5 +otherValue "" +) +] +attribute 0 +synSafe 0 +outputEncodedLocals 0 +useVerilogParameterRange 0 +radix 2 +) +stateOrder [ +&2 +&19 +] +name "csm" +) +] +lastUid 200,0 +commonDM (CommonDM +ldm (LogicalDM +emptyRow *56 (LEmptyRow +) +optionalChildren [ +*57 (RefLabelRowHdr +) +*58 (TitleRowHdr +) +*59 (FilterRowHdr +) +*60 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*61 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*62 (GroupColHdr +tm "GroupColHdrMgr" +) +*63 (NameColHdr +tm "SmNameColHdrMgr" +) +*64 (ModeColHdr +tm "SmModeColHdrMgr" +) +*65 (TypeColHdr +tm "SmTypeColHdrMgr" +) +*66 (BoundsColHdr +tm "SmBoundsColHdrMgr" +) +*67 (InitColHdr +tm "SmInitColHdrMgr" +) +*68 (ColumnHdr +tm "SmCategoryColHdrMgr" +) +*69 (ColumnHdr +tm "SmAssignColHdrMgr" +) +*70 (ColumnHdr +tm "SmExprColHdrMgr" +) +*71 (ColumnHdr +tm "SmSchemeColHdrMgr" +) +*72 (ColumnHdr +tm "SmDefValColHdrMgr" +) +*73 (ColumnHdr +tm "SmRstValColHdrMgr" +) +*74 (EolColHdr +tm "SmEolColHdrMgr" +) +*75 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 1 +) +) +uid 156,0 +) +*76 (LeafLogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 2 +) +) +uid 158,0 +scheme 0 +) +*77 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "unsigned" +o 3 +) +) +uid 160,0 +cat 1 +scheme 0 +expr "clk'EVENT AND clk = '1'" +) +*78 (LeafLogPort +port (LogicalPort +lang 11 +decl (Decl +n "rst" +t "unsigned" +o 4 +) +) +uid 162,0 +cat 8 +scheme 0 +expr "rst = '0'" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*79 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *80 (MRCItem +litem &56 +pos 4 +dimension 20 +) +optionalChildren [ +*81 (MRCItem +litem &57 +pos 0 +dimension 20 +) +*82 (MRCItem +litem &58 +pos 1 +dimension 23 +) +*83 (MRCItem +litem &59 +pos 2 +hidden 1 +dimension 20 +) +*84 (MRCItem +litem &75 +pos 0 +dimension 20 +uid 157,0 +) +*85 (MRCItem +litem &76 +pos 1 +dimension 20 +uid 159,0 +) +*86 (MRCItem +litem &77 +pos 2 +dimension 20 +uid 161,0 +) +*87 (MRCItem +litem &78 +pos 3 +dimension 20 +uid 163,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +optionalChildren [ +*88 (MRCItem +litem &60 +pos 0 +dimension 20 +) +*89 (MRCItem +litem &62 +pos 1 +dimension 50 +) +*90 (MRCItem +litem &63 +pos 2 +dimension 70 +) +*91 (MRCItem +litem &64 +pos 3 +dimension 50 +) +*92 (MRCItem +litem &65 +pos 4 +dimension 80 +) +*93 (MRCItem +litem &66 +pos 5 +dimension 80 +) +*94 (MRCItem +litem &67 +pos 6 +dimension 40 +) +*95 (MRCItem +litem &68 +pos 7 +dimension 100 +) +*96 (MRCItem +litem &69 +pos 8 +dimension 60 +) +*97 (MRCItem +litem &70 +pos 9 +dimension 130 +) +*98 (MRCItem +litem &71 +pos 10 +dimension 56 +) +*99 (MRCItem +litem &72 +pos 11 +dimension 50 +) +*100 (MRCItem +litem &73 +pos 12 +dimension 50 +) +*101 (MRCItem +litem &74 +pos 13 +dimension 80 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +) +cdmCsm &1 +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *102 (LEmptyRow +) +optionalChildren [ +*103 (RefLabelRowHdr +) +*104 (TitleRowHdr +) +*105 (FilterRowHdr +) +*106 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*107 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*108 (GroupColHdr +tm "GroupColHdrMgr" +) +*109 (NameColHdr +tm "GenericNameColHdrMgr" +) +*110 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*111 (InitColHdr +tm "GenericValueColHdrMgr" +) +*112 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*113 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +optionalChildren [ +*114 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *115 (MRCItem +litem &102 +pos 0 +dimension 20 +) +optionalChildren [ +*116 (MRCItem +litem &103 +pos 0 +dimension 20 +) +*117 (MRCItem +litem &104 +pos 1 +dimension 23 +) +*118 (MRCItem +litem &105 +pos 2 +hidden 1 +dimension 20 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +optionalChildren [ +*119 (MRCItem +litem &106 +pos 0 +dimension 20 +) +*120 (MRCItem +litem &108 +pos 1 +dimension 50 +) +*121 (MRCItem +litem &109 +pos 2 +dimension 100 +) +*122 (MRCItem +litem &110 +pos 3 +dimension 100 +) +*123 (MRCItem +litem &111 +pos 4 +dimension 50 +) +*124 (MRCItem +litem &112 +pos 5 +dimension 50 +) +*125 (MRCItem +litem &113 +pos 6 +dimension 80 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +vaOverrides [ +] +) +] +) +type 1 +) +signalSuffix "_int" +clockSuffix "_cld" +defaultState (State +shape (Circle +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-3551,-3551,3551,3551" +radius 3551 +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3900,3300,4100,3500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +entryActions (MLText +va (VaSet +) +tm "Actions" +) +inActions (MLText +va (VaSet +) +tm "Actions" +) +exitActions (MLText +va (VaSet +) +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultWaitState (State +shape (CircleInOctagon +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +xt "-529,-529,6529,6529" +) +name (Text +va (VaSet +font "Verdana,12,1" +) +xt "0,0,2500,1400" +st "s0" +ju 0 +blo "1250,1200" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,12,1" +) +xt "1000,900,6100,2300" +st "wait 2" +blo "1000,2100" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,9,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8900,6300,9100,6500" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +entryActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +inActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +exitActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2100,1200,4600,2400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +isWait 1 +) +defaultCompositeState (CompositeState +shape (TripleCircle +va (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "Verdana,10,1" +) +xt "-1000,-600,1000,600" +st "s0" +ju 0 +blo "0,400" +tm "ONodeName" +) +childDiagram &0 +) +defaultJunction (Junction +shape (Diamond +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "-1300,-1300,2300,2300" +) +symbol (Text +va (VaSet +font "Verdana,10,1" +) +xt "-300,-100,1300,1100" +st "&" +ju 0 +blo "500,900" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2000,1000,2000,1000" +blo "2000,1000" +tm "JunctionName" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-2550,2000,4150,3200" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultEntryPoint (EntryPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +] +) +) +defaultInterruptPoint (InterruptPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +(CustomPolygon +pts [ +"-625,1600" +"-625,1300" +"25,1425" +"-75,1150" +"1025,1350" +"200,1350" +"375,1600" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "-625,1150,1025,1600" +) +] +) +) +defaultLink (Link +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "2375,875,5075,2075" +st "Link" +blo "2375,1875" +tm "LinkName" +) +) +) +defaultExitPoint (ExitPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +) +defaultTransition (Transition +shape (Spline +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +arrow 1 +) +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-500,-500,5800,1700" +) +autoResize 1 +lineShape (Line +va (VaSet +vasetType 3 +isHidden 1 +) +xt "2650,1600,2650,1600" +pts [ +"2650,1600" +"2650,1600" +] +) +condition (MLText +va (VaSet +) +xt "0,0,5300,1200" +st "condition" +tm "Condition" +) +actions (MLText +va (VaSet +) +xt "2650,2000,2650,2000" +tm "Actions" +) +) +tp (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-1063,-1063,1063,1063" +radius 1063 +) +pr (Text +va (VaSet +) +xt "-700,-600,700,600" +st "1" +ju 0 +blo "0,400" +tm "TransitionPriority" +) +padding "100,100" +) +) +defaultClk (SmClockPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"275,1425" +"574,1425" +"574,825" +"874,825" +] +) +(Arc2D +pts [ +"-116,1278" +"-371,972" +"-116,972" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-371,972,-116,1278" +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-3325,625,-1125,1825" +st "clk" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultEnable (SmEnablePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Arc2D +pts [ +"-130,1263" +"-415,1064" +"-76,1064" +] +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-415,1064,-76,1263" +) +(Line +sl 0 +ro 270 +xt "-415,1064,-106,1064" +pts [ +"-415,1064" +"-106,1064" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-5125,625,-1125,1825" +st "enable" +ju 2 +blo "-1125,1625" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,425,4925,1825" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,525,4825,1725" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultRst (SmResetPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-276,1000" +pts [ +"-376,1000" +"-276,950" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-376,1300" +pts [ +"-376,1300" +"-376,950" +] +) +(Circle +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "424,975,724,1275" +radius 150 +) +] +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-625,-1175,2675,225" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "-525,-1075,2575,125" +st "cond" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "1625,62,3751,2188" +radius 1063 +) +pr (Text +va (VaSet +) +xt "1988,525,3388,1725" +st "1" +ju 0 +blo "2688,1525" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-2725,525,-625,1725" +st "rst" +ju 2 +blo "-625,1525" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +) +xt "4750,2625,13350,3825" +st "< Automatic >" +tm "Actions" +) +) +) +defaultRecStatePt (SmRecoveryStatePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +sl 0 +xt "-900,-900,900,900" +radius 900 +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"-426,426" +"426,-426" +] +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"426,426" +"-426,-426" +] +) +] +) +) +activeModelName "StateMachine" +LanguageMgr "Vhdl2008LangMgr" +) diff --git a/Cursor/hds/enable_motor/interface b/Cursor/hds/enable_motor/interface new file mode 100644 index 0000000..523941b --- /dev/null +++ b/Cursor/hds/enable_motor/interface @@ -0,0 +1,1517 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 8,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 67,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clk" +t "unsigned" +o 2 +suid 5,0 +) +) +uid 179,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 4 +suid 6,0 +) +) +uid 181,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 1 +suid 7,0 +) +) +uid 183,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "rst" +t "unsigned" +o 3 +suid 8,0 +) +) +uid 185,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 80,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 82,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 83,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 84,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 85,0 +) +*23 (MRCItem +litem &14 +pos 2 +dimension 20 +uid 180,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 182,0 +) +*25 (MRCItem +litem &16 +pos 0 +dimension 20 +uid 184,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 186,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 86,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 87,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 88,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 89,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 90,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 91,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 92,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 93,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 94,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 66,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 96,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*47 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *48 (MRCItem +litem &35 +pos 3 +dimension 20 +) +uid 110,0 +optionalChildren [ +*49 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 111,0 +) +*50 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 112,0 +) +*51 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 113,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 114,0 +optionalChildren [ +*52 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 115,0 +) +*53 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 116,0 +) +*54 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 117,0 +) +*55 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 118,0 +) +*56 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 119,0 +) +*57 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 120,0 +) +*58 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 121,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 109,0 +vaOverrides [ +] +) +] +) +uid 95,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\enable_motor\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\enable_motor\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\enable_motor" +) +(vvPair +variable "d_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\enable_motor" +) +(vvPair +variable "date" +value "17.12.2021" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "17" +) +(vvPair +variable "entity_name" +value "enable_motor" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "Simon" +) +(vvPair +variable "graphical_source_date" +value "17.12.2021" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "PC-SDM" +) +(vvPair +variable "graphical_source_time" +value "08:38:10" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "PC-SDM" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "enable_motor" +) +(vvPair +variable "month" +value "déc." +) +(vvPair +variable "month_long" +value "décembre" +) +(vvPair +variable "p" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\enable_motor\\interface" +) +(vvPair +variable "p_logical" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\enable_motor\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "08:38:10" +) +(vvPair +variable "unit" +value "enable_motor" +) +(vvPair +variable "user" +value "Simon" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 65,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 159,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 160,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,10625,15000,11375" +) +tg (CPTG +uid 161,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 162,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,10300,18400,11700" +st "clk" +blo "16000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 163,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,59500,4000" +st "clk : IN unsigned ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clk" +t "unsigned" +o 2 +suid 5,0 +) +) +) +*61 (CptPort +uid 164,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 165,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,10625,23750,11375" +) +tg (CPTG +uid 166,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 167,0 +va (VaSet +font "Verdana,12,0" +) +xt "15700,10300,22000,11700" +st "motorOn" +ju 2 +blo "22000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 168,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,59500,5600" +st "motorOn : OUT std_uLogic " +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 4 +suid 6,0 +) +) +) +*62 (CptPort +uid 169,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 170,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,9625,15000,10375" +) +tg (CPTG +uid 171,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 172,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,9300,20800,10700" +st "Power" +blo "16000,10500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 173,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,66000,3200" +st "Power : IN unsigned (7 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "Power" +t "unsigned" +b "(7 DOWNTO 0)" +o 1 +suid 7,0 +) +) +) +*63 (CptPort +uid 174,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 175,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,11625,15000,12375" +) +tg (CPTG +uid 176,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 177,0 +va (VaSet +font "Verdana,12,0" +) +xt "16000,11300,18500,12700" +st "rst" +blo "16000,12500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 178,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,59500,4800" +st "rst : IN unsigned ;" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "rst" +t "unsigned" +o 3 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,23000,16000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "14950,9800,18650,11000" +st "Cursor" +blo "14950,10800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "14950,11000,23050,12200" +st "enable_motor" +blo "14950,12000" +) +) +gi *64 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "-13500,7000,-2000,7800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*65 (Grouping +uid 16,0 +optionalChildren [ +*66 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,48000,46000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,44000,56200,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,46000,46200,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,46000,34300,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "53200,45200,62600,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "57200,44000,58800,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,47000,34300,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "32200,48000,34900,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "36200,47000,47700,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *76 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +uid 49,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*78 (MLText +uid 50,0 +va (VaSet +) +xt "0,1200,17500,7200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor" +entityName "Driver" +viewName "drivert.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,44000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,14800,32200,16000" +st "" +blo "26800,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "26800,16000,30700,17200" +st "" +blo "26800,17000" +) +) +gi *79 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *80 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,5600,45200,6800" +st "User:" +blo "42000,6600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6800,44000,6800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 255,0 +activeModelName "Symbol:CDM" +) diff --git a/Cursor/hds/move/interface b/Cursor/hds/move/interface index 7b914cf..0ad202e 100644 --- a/Cursor/hds/move/interface +++ b/Cursor/hds/move/interface @@ -21,7 +21,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 115,0 +suid 129,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -65,12 +65,12 @@ port (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" -o 1 -suid 102,0 +t "std_ulogic" +o 3 +suid 116,0 ) ) -uid 1115,0 +uid 1259,0 ) *15 (LogPort port (LogicalPort @@ -78,11 +78,11 @@ lang 11 decl (Decl n "end_acceleration" t "std_ulogic" -o 2 -suid 103,0 +o 13 +suid 117,0 ) ) -uid 1117,0 +uid 1261,0 ) *16 (LogPort port (LogicalPort @@ -90,11 +90,11 @@ lang 11 decl (Decl n "end_cruse" t "std_ulogic" -o 3 -suid 104,0 +o 14 +suid 118,0 ) ) -uid 1119,0 +uid 1263,0 ) *17 (LogPort port (LogicalPort @@ -102,11 +102,11 @@ lang 11 decl (Decl n "end_deceleration" t "std_ulogic" -o 4 -suid 105,0 +o 15 +suid 119,0 ) ) -uid 1121,0 +uid 1265,0 ) *18 (LogPort port (LogicalPort @@ -114,64 +114,62 @@ lang 11 m 1 decl (Decl n "Power" -t "unsigned" +t "std_uLogic_vector" b "(7 DOWNTO 0)" -o 12 -suid 106,0 +o 8 +suid 120,0 ) ) -uid 1123,0 +uid 1267,0 ) *19 (LogPort port (LogicalPort lang 11 decl (Decl n "power_acceleration" -t "unsigned" +t "std_ulogic_vector" b "(7 DOWNTO 0)" -o 5 -suid 107,0 +o 22 +suid 121,0 ) ) -uid 1125,0 +uid 1269,0 ) *20 (LogPort port (LogicalPort lang 11 decl (Decl n "power_cruse" -t "unsigned" -b "(7 DOWNTO 0)" -o 6 -suid 108,0 +t "std_ulogic" +o 23 +suid 122,0 ) ) -uid 1127,0 +uid 1271,0 ) *21 (LogPort port (LogicalPort lang 11 decl (Decl n "power_deceleration" -t "unsigned" -b "(7 DOWNTO 0)" -o 7 -suid 109,0 +t "std_ulogic" +o 24 +suid 123,0 ) ) -uid 1129,0 +uid 1273,0 ) *22 (LogPort port (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" -o 8 -suid 110,0 +t "std_ulogic" +o 4 +suid 124,0 ) ) -uid 1131,0 +uid 1275,0 ) *23 (LogPort port (LogicalPort @@ -180,11 +178,11 @@ m 1 decl (Decl n "SideL" t "std_ulogic" -o 13 -suid 111,0 +o 10 +suid 125,0 ) ) -uid 1133,0 +uid 1277,0 ) *24 (LogPort port (LogicalPort @@ -192,11 +190,11 @@ lang 11 decl (Decl n "sideL_acceleration" t "std_ulogic" -o 9 -suid 112,0 +o 26 +suid 126,0 ) ) -uid 1135,0 +uid 1279,0 ) *25 (LogPort port (LogicalPort @@ -204,11 +202,11 @@ lang 11 decl (Decl n "sideL_cruse" t "std_ulogic" -o 10 -suid 113,0 +o 27 +suid 127,0 ) ) -uid 1137,0 +uid 1281,0 ) *26 (LogPort port (LogicalPort @@ -216,11 +214,11 @@ lang 11 decl (Decl n "sideL_deceleration" t "std_ulogic" -o 11 -suid 114,0 +o 28 +suid 128,0 ) ) -uid 1139,0 +uid 1283,0 ) *27 (LogPort port (LogicalPort @@ -229,11 +227,11 @@ m 1 decl (Decl n "unlock" t "std_ulogic" -o 14 -suid 115,0 +o 12 +suid 129,0 ) ) -uid 1141,0 +uid 1285,0 ) ] ) @@ -289,85 +287,85 @@ uid 162,0 litem &14 pos 0 dimension 20 -uid 1116,0 +uid 1260,0 ) *34 (MRCItem litem &15 pos 1 dimension 20 -uid 1118,0 +uid 1262,0 ) *35 (MRCItem litem &16 pos 2 dimension 20 -uid 1120,0 +uid 1264,0 ) *36 (MRCItem litem &17 pos 3 dimension 20 -uid 1122,0 +uid 1266,0 ) *37 (MRCItem litem &18 pos 4 dimension 20 -uid 1124,0 +uid 1268,0 ) *38 (MRCItem litem &19 pos 5 dimension 20 -uid 1126,0 +uid 1270,0 ) *39 (MRCItem litem &20 pos 6 dimension 20 -uid 1128,0 +uid 1272,0 ) *40 (MRCItem litem &21 pos 7 dimension 20 -uid 1130,0 +uid 1274,0 ) *41 (MRCItem litem &22 pos 8 dimension 20 -uid 1132,0 +uid 1276,0 ) *42 (MRCItem litem &23 pos 9 dimension 20 -uid 1134,0 +uid 1278,0 ) *43 (MRCItem litem &24 pos 10 dimension 20 -uid 1136,0 +uid 1280,0 ) *44 (MRCItem litem &25 pos 11 dimension 20 -uid 1138,0 +uid 1282,0 ) *45 (MRCItem litem &26 pos 12 dimension 20 -uid 1140,0 +uid 1284,0 ) *46 (MRCItem litem &27 pos 13 dimension 20 -uid 1142,0 +uid 1286,0 ) ] ) @@ -642,19 +640,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\m ) (vvPair variable "date" -value "14.12.2021" +value "17.12.2021" ) (vvPair variable "day" -value "mar." +value "ven." ) (vvPair variable "day_long" -value "mardi" +value "vendredi" ) (vvPair variable "dd" -value "14" +value "17" ) (vvPair variable "entity_name" @@ -682,7 +680,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "17.12.2021" ) (vvPair variable "graphical_source_group" @@ -694,7 +692,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "15:08:03" +value "09:37:09" ) (vvPair variable "group" @@ -766,7 +764,7 @@ value "interface" ) (vvPair variable "time" -value "15:08:03" +value "09:37:09" ) (vvPair variable "unit" @@ -801,10 +799,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *80 (CptPort -uid 1045,0 +uid 1189,0 ps "OnEdgeStrategy" shape (Triangle -uid 1046,0 +uid 1190,0 ro 90 va (VaSet vasetType 1 @@ -813,11 +811,11 @@ fg "0,65535,0" xt "14250,6625,15000,7375" ) tg (CPTG -uid 1047,0 +uid 1191,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1048,0 +uid 1192,0 va (VaSet font "Verdana,12,0" ) @@ -828,29 +826,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1049,0 +uid 1193,0 va (VaSet font "Courier New,8,0" ) -xt "44000,2400,65000,3200" -st "clk : IN unsigned ; +xt "44000,2400,66500,3200" +st "clk : IN std_ulogic ; " ) thePort (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" -o 1 -suid 102,0 +t "std_ulogic" +o 3 +suid 116,0 ) ) ) *81 (CptPort -uid 1050,0 +uid 1194,0 ps "OnEdgeStrategy" shape (Triangle -uid 1051,0 +uid 1195,0 ro 180 va (VaSet vasetType 1 @@ -859,11 +857,11 @@ fg "0,65535,0" xt "35625,5250,36375,6000" ) tg (CPTG -uid 1052,0 +uid 1196,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1053,0 +uid 1197,0 ro 270 va (VaSet font "Verdana,12,0" @@ -876,7 +874,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1054,0 +uid 1198,0 va (VaSet font "Courier New,8,0" ) @@ -889,16 +887,16 @@ lang 11 decl (Decl n "end_acceleration" t "std_ulogic" -o 2 -suid 103,0 +o 13 +suid 117,0 ) ) ) *82 (CptPort -uid 1055,0 +uid 1199,0 ps "OnEdgeStrategy" shape (Triangle -uid 1056,0 +uid 1200,0 ro 180 va (VaSet vasetType 1 @@ -907,11 +905,11 @@ fg "0,65535,0" xt "62625,5250,63375,6000" ) tg (CPTG -uid 1057,0 +uid 1201,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1058,0 +uid 1202,0 ro 270 va (VaSet font "Verdana,12,0" @@ -924,7 +922,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1059,0 +uid 1203,0 va (VaSet font "Courier New,8,0" ) @@ -937,16 +935,16 @@ lang 11 decl (Decl n "end_cruse" t "std_ulogic" -o 3 -suid 104,0 +o 14 +suid 118,0 ) ) ) *83 (CptPort -uid 1060,0 +uid 1204,0 ps "OnEdgeStrategy" shape (Triangle -uid 1061,0 +uid 1205,0 ro 180 va (VaSet vasetType 1 @@ -955,11 +953,11 @@ fg "0,65535,0" xt "89625,5250,90375,6000" ) tg (CPTG -uid 1062,0 +uid 1206,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1063,0 +uid 1207,0 ro 270 va (VaSet font "Verdana,12,0" @@ -972,7 +970,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1064,0 +uid 1208,0 va (VaSet font "Courier New,8,0" ) @@ -985,16 +983,16 @@ lang 11 decl (Decl n "end_deceleration" t "std_ulogic" -o 4 -suid 105,0 +o 15 +suid 119,0 ) ) ) *84 (CptPort -uid 1065,0 +uid 1209,0 ps "OnEdgeStrategy" shape (Triangle -uid 1066,0 +uid 1210,0 ro 180 va (VaSet vasetType 1 @@ -1003,11 +1001,11 @@ fg "0,65535,0" xt "33625,12000,34375,12750" ) tg (CPTG -uid 1067,0 +uid 1211,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1068,0 +uid 1212,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1019,12 +1017,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1069,0 +uid 1213,0 va (VaSet font "Courier New,8,0" ) -xt "44000,11200,71500,12000" -st "Power : OUT unsigned (7 DOWNTO 0) ; +xt "44000,11200,76000,12000" +st "Power : OUT std_uLogic_vector (7 DOWNTO 0) ; " ) thePort (LogicalPort @@ -1032,18 +1030,18 @@ lang 11 m 1 decl (Decl n "Power" -t "unsigned" +t "std_uLogic_vector" b "(7 DOWNTO 0)" -o 12 -suid 106,0 +o 8 +suid 120,0 ) ) ) *85 (CptPort -uid 1070,0 +uid 1214,0 ps "OnEdgeStrategy" shape (Triangle -uid 1071,0 +uid 1215,0 ro 180 va (VaSet vasetType 1 @@ -1052,11 +1050,11 @@ fg "0,65535,0" xt "33625,5250,34375,6000" ) tg (CPTG -uid 1072,0 +uid 1216,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1073,0 +uid 1217,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1069,30 +1067,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1074,0 +uid 1218,0 va (VaSet font "Courier New,8,0" ) -xt "44000,5600,71500,6400" -st "power_acceleration : IN unsigned (7 DOWNTO 0) ; +xt "44000,5600,76000,6400" +st "power_acceleration : IN std_ulogic_vector (7 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "power_acceleration" -t "unsigned" +t "std_ulogic_vector" b "(7 DOWNTO 0)" -o 5 -suid 107,0 +o 22 +suid 121,0 ) ) ) *86 (CptPort -uid 1075,0 +uid 1219,0 ps "OnEdgeStrategy" shape (Triangle -uid 1076,0 +uid 1220,0 ro 180 va (VaSet vasetType 1 @@ -1101,11 +1099,11 @@ fg "0,65535,0" xt "60625,5250,61375,6000" ) tg (CPTG -uid 1077,0 +uid 1221,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1078,0 +uid 1222,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1118,30 +1116,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1079,0 +uid 1223,0 va (VaSet font "Courier New,8,0" ) -xt "44000,6400,71500,7200" -st "power_cruse : IN unsigned (7 DOWNTO 0) ; +xt "44000,6400,66500,7200" +st "power_cruse : IN std_ulogic ; " ) thePort (LogicalPort lang 11 decl (Decl n "power_cruse" -t "unsigned" -b "(7 DOWNTO 0)" -o 6 -suid 108,0 +t "std_ulogic" +o 23 +suid 122,0 ) ) ) *87 (CptPort -uid 1080,0 +uid 1224,0 ps "OnEdgeStrategy" shape (Triangle -uid 1081,0 +uid 1225,0 ro 180 va (VaSet vasetType 1 @@ -1150,11 +1147,11 @@ fg "0,65535,0" xt "87625,5250,88375,6000" ) tg (CPTG -uid 1082,0 +uid 1226,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1083,0 +uid 1227,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1167,30 +1164,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1084,0 +uid 1228,0 va (VaSet font "Courier New,8,0" ) -xt "44000,7200,71500,8000" -st "power_deceleration : IN unsigned (7 DOWNTO 0) ; +xt "44000,7200,66500,8000" +st "power_deceleration : IN std_ulogic ; " ) thePort (LogicalPort lang 11 decl (Decl n "power_deceleration" -t "unsigned" -b "(7 DOWNTO 0)" -o 7 -suid 109,0 +t "std_ulogic" +o 24 +suid 123,0 ) ) ) *88 (CptPort -uid 1085,0 +uid 1229,0 ps "OnEdgeStrategy" shape (Triangle -uid 1086,0 +uid 1230,0 ro 90 va (VaSet vasetType 1 @@ -1199,11 +1195,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 1087,0 +uid 1231,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1088,0 +uid 1232,0 va (VaSet font "Verdana,12,0" ) @@ -1214,29 +1210,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1089,0 +uid 1233,0 va (VaSet font "Courier New,8,0" ) -xt "44000,8000,65000,8800" -st "rst : IN unsigned ; +xt "44000,8000,66500,8800" +st "rst : IN std_ulogic ; " ) thePort (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" -o 8 -suid 110,0 +t "std_ulogic" +o 4 +suid 124,0 ) ) ) *89 (CptPort -uid 1090,0 +uid 1234,0 ps "OnEdgeStrategy" shape (Triangle -uid 1091,0 +uid 1235,0 ro 180 va (VaSet vasetType 1 @@ -1245,11 +1241,11 @@ fg "0,65535,0" xt "31625,12000,32375,12750" ) tg (CPTG -uid 1092,0 +uid 1236,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 1093,0 +uid 1237,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1261,7 +1257,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1094,0 +uid 1238,0 va (VaSet font "Courier New,8,0" ) @@ -1275,16 +1271,16 @@ m 1 decl (Decl n "SideL" t "std_ulogic" -o 13 -suid 111,0 +o 10 +suid 125,0 ) ) ) *90 (CptPort -uid 1095,0 +uid 1239,0 ps "OnEdgeStrategy" shape (Triangle -uid 1096,0 +uid 1240,0 ro 180 va (VaSet vasetType 1 @@ -1293,11 +1289,11 @@ fg "0,65535,0" xt "31625,5250,32375,6000" ) tg (CPTG -uid 1097,0 +uid 1241,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1098,0 +uid 1242,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1310,7 +1306,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1099,0 +uid 1243,0 va (VaSet font "Courier New,8,0" ) @@ -1323,16 +1319,16 @@ lang 11 decl (Decl n "sideL_acceleration" t "std_ulogic" -o 9 -suid 112,0 +o 26 +suid 126,0 ) ) ) *91 (CptPort -uid 1100,0 +uid 1244,0 ps "OnEdgeStrategy" shape (Triangle -uid 1101,0 +uid 1245,0 ro 180 va (VaSet vasetType 1 @@ -1341,11 +1337,11 @@ fg "0,65535,0" xt "58625,5250,59375,6000" ) tg (CPTG -uid 1102,0 +uid 1246,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1103,0 +uid 1247,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1358,7 +1354,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1104,0 +uid 1248,0 va (VaSet font "Courier New,8,0" ) @@ -1371,16 +1367,16 @@ lang 11 decl (Decl n "sideL_cruse" t "std_ulogic" -o 10 -suid 113,0 +o 27 +suid 127,0 ) ) ) *92 (CptPort -uid 1105,0 +uid 1249,0 ps "OnEdgeStrategy" shape (Triangle -uid 1106,0 +uid 1250,0 ro 180 va (VaSet vasetType 1 @@ -1389,11 +1385,11 @@ fg "0,65535,0" xt "85625,5250,86375,6000" ) tg (CPTG -uid 1107,0 +uid 1251,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1108,0 +uid 1252,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1406,7 +1402,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1109,0 +uid 1253,0 va (VaSet font "Courier New,8,0" ) @@ -1419,16 +1415,16 @@ lang 11 decl (Decl n "sideL_deceleration" t "std_ulogic" -o 11 -suid 114,0 +o 28 +suid 128,0 ) ) ) *93 (CptPort -uid 1110,0 +uid 1254,0 ps "OnEdgeStrategy" shape (Triangle -uid 1111,0 +uid 1255,0 ro 90 va (VaSet vasetType 1 @@ -1437,11 +1433,11 @@ fg "0,65535,0" xt "93000,9625,93750,10375" ) tg (CPTG -uid 1112,0 +uid 1256,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 1113,0 +uid 1257,0 va (VaSet font "Verdana,12,0" ) @@ -1453,7 +1449,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 1114,0 +uid 1258,0 va (VaSet font "Courier New,8,0" ) @@ -1467,8 +1463,8 @@ m 1 decl (Decl n "unlock" t "std_ulogic" -o 14 -suid 115,0 +o 12 +suid 129,0 ) ) ) @@ -2179,6 +2175,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 1165,0 +lastUid 1286,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/process_acceleration/interface b/Cursor/hds/process_acceleration/interface index b31444c..83fd943 100644 --- a/Cursor/hds/process_acceleration/interface +++ b/Cursor/hds/process_acceleration/interface @@ -21,7 +21,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 66,0 +suid 75,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -65,12 +65,12 @@ port (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" +t "std_ulogic" o 3 -suid 58,0 +suid 67,0 ) ) -uid 920,0 +uid 1006,0 ) *15 (LogPort port (LogicalPort @@ -80,36 +80,36 @@ decl (Decl n "end_acceleration" t "std_ulogic" o 13 -suid 59,0 +suid 68,0 ) ) -uid 922,0 +uid 1008,0 ) *16 (LogPort port (LogicalPort lang 11 decl (Decl n "info_acceleration" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 16 -suid 60,0 +suid 69,0 ) ) -uid 924,0 +uid 1010,0 ) *17 (LogPort port (LogicalPort lang 11 decl (Decl n "Position" -t "unsigned" +t "std_uLogic_vector" b "(15 DOWNTO 0)" o 1 -suid 61,0 +suid 70,0 ) ) -uid 926,0 +uid 1012,0 ) *18 (LogPort port (LogicalPort @@ -117,25 +117,25 @@ lang 11 m 1 decl (Decl n "power_acceleration" -t "unsigned" +t "std_ulogic_vector" b "(7 DOWNTO 0)" -o 19 -suid 62,0 +o 22 +suid 71,0 ) ) -uid 928,0 +uid 1014,0 ) *19 (LogPort port (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" +t "std_ulogic" o 4 -suid 63,0 +suid 72,0 ) ) -uid 930,0 +uid 1016,0 ) *20 (LogPort port (LogicalPort @@ -144,23 +144,23 @@ m 1 decl (Decl n "sideL_acceleration" t "std_ulogic" -o 23 -suid 64,0 +o 26 +suid 73,0 ) ) -uid 932,0 +uid 1018,0 ) *21 (LogPort port (LogicalPort lang 11 decl (Decl n "skip_acceleration" -t "unsigned" -o 26 -suid 65,0 +t "std_ulogic" +o 29 +suid 74,0 ) ) -uid 934,0 +uid 1020,0 ) *22 (LogPort port (LogicalPort @@ -169,10 +169,10 @@ decl (Decl n "unlock" t "std_ulogic" o 12 -suid 66,0 +suid 75,0 ) ) -uid 936,0 +uid 1022,0 ) ] ) @@ -228,55 +228,55 @@ uid 106,0 litem &14 pos 0 dimension 20 -uid 921,0 +uid 1007,0 ) *29 (MRCItem litem &15 pos 1 dimension 20 -uid 923,0 +uid 1009,0 ) *30 (MRCItem litem &16 pos 2 dimension 20 -uid 925,0 +uid 1011,0 ) *31 (MRCItem litem &17 pos 3 dimension 20 -uid 927,0 +uid 1013,0 ) *32 (MRCItem litem &18 pos 4 dimension 20 -uid 929,0 +uid 1015,0 ) *33 (MRCItem litem &19 pos 5 dimension 20 -uid 931,0 +uid 1017,0 ) *34 (MRCItem litem &20 pos 6 dimension 20 -uid 933,0 +uid 1019,0 ) *35 (MRCItem litem &21 pos 7 dimension 20 -uid 935,0 +uid 1021,0 ) *36 (MRCItem litem &22 pos 8 dimension 20 -uid 937,0 +uid 1023,0 ) ] ) @@ -551,19 +551,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\p ) (vvPair variable "date" -value "14.12.2021" +value "17.12.2021" ) (vvPair variable "day" -value "mar." +value "ven." ) (vvPair variable "day_long" -value "mardi" +value "vendredi" ) (vvPair variable "dd" -value "14" +value "17" ) (vvPair variable "entity_name" @@ -591,7 +591,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "17.12.2021" ) (vvPair variable "graphical_source_group" @@ -603,7 +603,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "14:18:34" +value "09:37:09" ) (vvPair variable "group" @@ -675,7 +675,7 @@ value "interface" ) (vvPair variable "time" -value "14:18:34" +value "09:37:09" ) (vvPair variable "unit" @@ -710,10 +710,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *70 (CptPort -uid 875,0 +uid 961,0 ps "OnEdgeStrategy" shape (Triangle -uid 876,0 +uid 962,0 ro 90 va (VaSet vasetType 1 @@ -722,11 +722,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 877,0 +uid 963,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 878,0 +uid 964,0 va (VaSet font "Verdana,12,0" ) @@ -737,29 +737,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 879,0 +uid 965,0 va (VaSet font "Courier New,8,0" ) -xt "44000,3200,65000,4000" -st "clk : IN unsigned ; +xt "44000,3200,66500,4000" +st "clk : IN std_ulogic ; " ) thePort (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" +t "std_ulogic" o 3 -suid 58,0 +suid 67,0 ) ) ) *71 (CptPort -uid 880,0 +uid 966,0 ps "OnEdgeStrategy" shape (Triangle -uid 881,0 +uid 967,0 ro 180 va (VaSet vasetType 1 @@ -768,11 +768,11 @@ fg "0,65535,0" xt "33625,15000,34375,15750" ) tg (CPTG -uid 882,0 +uid 968,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 883,0 +uid 969,0 ro 270 va (VaSet font "Verdana,12,0" @@ -784,7 +784,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 884,0 +uid 970,0 va (VaSet font "Courier New,8,0" ) @@ -799,15 +799,15 @@ decl (Decl n "end_acceleration" t "std_ulogic" o 13 -suid 59,0 +suid 68,0 ) ) ) *72 (CptPort -uid 885,0 +uid 971,0 ps "OnEdgeStrategy" shape (Triangle -uid 886,0 +uid 972,0 ro 180 va (VaSet vasetType 1 @@ -816,11 +816,11 @@ fg "0,65535,0" xt "20625,5250,21375,6000" ) tg (CPTG -uid 887,0 +uid 973,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 888,0 +uid 974,0 ro 270 va (VaSet font "Verdana,12,0" @@ -833,30 +833,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 889,0 +uid 975,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4000,72000,4800" -st "info_acceleration : IN unsigned (15 DOWNTO 0) ; +xt "44000,4000,76500,4800" +st "info_acceleration : IN std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "info_acceleration" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 16 -suid 60,0 +suid 69,0 ) ) ) *73 (CptPort -uid 890,0 +uid 976,0 ps "OnEdgeStrategy" shape (Triangle -uid 891,0 +uid 977,0 ro 180 va (VaSet vasetType 1 @@ -865,11 +865,11 @@ fg "0,65535,0" xt "18625,5250,19375,6000" ) tg (CPTG -uid 892,0 +uid 978,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 893,0 +uid 979,0 ro 270 va (VaSet font "Verdana,12,0" @@ -882,30 +882,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 894,0 +uid 980,0 va (VaSet font "Courier New,8,0" ) -xt "44000,2400,72000,3200" -st "Position : IN unsigned (15 DOWNTO 0) ; +xt "44000,2400,76500,3200" +st "Position : IN std_uLogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "Position" -t "unsigned" +t "std_uLogic_vector" b "(15 DOWNTO 0)" o 1 -suid 61,0 +suid 70,0 ) ) ) *74 (CptPort -uid 895,0 +uid 981,0 ps "OnEdgeStrategy" shape (Triangle -uid 896,0 +uid 982,0 ro 180 va (VaSet vasetType 1 @@ -914,11 +914,11 @@ fg "0,65535,0" xt "31625,15000,32375,15750" ) tg (CPTG -uid 897,0 +uid 983,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 898,0 +uid 984,0 ro 270 va (VaSet font "Verdana,12,0" @@ -930,12 +930,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 899,0 +uid 985,0 va (VaSet font "Courier New,8,0" ) -xt "44000,8000,71500,8800" -st "power_acceleration : OUT unsigned (7 DOWNTO 0) ; +xt "44000,8000,76000,8800" +st "power_acceleration : OUT std_ulogic_vector (7 DOWNTO 0) ; " ) thePort (LogicalPort @@ -943,18 +943,18 @@ lang 11 m 1 decl (Decl n "power_acceleration" -t "unsigned" +t "std_ulogic_vector" b "(7 DOWNTO 0)" -o 19 -suid 62,0 +o 22 +suid 71,0 ) ) ) *75 (CptPort -uid 900,0 +uid 986,0 ps "OnEdgeStrategy" shape (Triangle -uid 901,0 +uid 987,0 ro 90 va (VaSet vasetType 1 @@ -963,11 +963,11 @@ fg "0,65535,0" xt "14250,8625,15000,9375" ) tg (CPTG -uid 902,0 +uid 988,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 903,0 +uid 989,0 va (VaSet font "Verdana,12,0" ) @@ -978,29 +978,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 904,0 +uid 990,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4800,65000,5600" -st "rst : IN unsigned ; +xt "44000,4800,66500,5600" +st "rst : IN std_ulogic ; " ) thePort (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" +t "std_ulogic" o 4 -suid 63,0 +suid 72,0 ) ) ) *76 (CptPort -uid 905,0 +uid 991,0 ps "OnEdgeStrategy" shape (Triangle -uid 906,0 +uid 992,0 ro 180 va (VaSet vasetType 1 @@ -1009,11 +1009,11 @@ fg "0,65535,0" xt "29625,15000,30375,15750" ) tg (CPTG -uid 907,0 +uid 993,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 908,0 +uid 994,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1025,7 +1025,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 909,0 +uid 995,0 va (VaSet font "Courier New,8,0" ) @@ -1039,16 +1039,16 @@ m 1 decl (Decl n "sideL_acceleration" t "std_ulogic" -o 23 -suid 64,0 +o 26 +suid 73,0 ) ) ) *77 (CptPort -uid 910,0 +uid 996,0 ps "OnEdgeStrategy" shape (Triangle -uid 911,0 +uid 997,0 ro 180 va (VaSet vasetType 1 @@ -1057,11 +1057,11 @@ fg "0,65535,0" xt "23625,5250,24375,6000" ) tg (CPTG -uid 912,0 +uid 998,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 913,0 +uid 999,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1074,29 +1074,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 914,0 +uid 1000,0 va (VaSet font "Courier New,8,0" ) -xt "44000,5600,65000,6400" -st "skip_acceleration : IN unsigned ; +xt "44000,5600,66500,6400" +st "skip_acceleration : IN std_ulogic ; " ) thePort (LogicalPort lang 11 decl (Decl n "skip_acceleration" -t "unsigned" -o 26 -suid 65,0 +t "std_ulogic" +o 29 +suid 74,0 ) ) ) *78 (CptPort -uid 915,0 +uid 1001,0 ps "OnEdgeStrategy" shape (Triangle -uid 916,0 +uid 1002,0 ro 270 va (VaSet vasetType 1 @@ -1105,11 +1105,11 @@ fg "0,65535,0" xt "36000,11625,36750,12375" ) tg (CPTG -uid 917,0 +uid 1003,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 918,0 +uid 1004,0 va (VaSet font "Verdana,12,0" ) @@ -1121,7 +1121,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 919,0 +uid 1005,0 va (VaSet font "Courier New,8,0" ) @@ -1135,7 +1135,7 @@ decl (Decl n "unlock" t "std_ulogic" o 12 -suid 66,0 +suid 75,0 ) ) ) @@ -1846,6 +1846,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 937,0 +lastUid 1023,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/process_cruse/interface b/Cursor/hds/process_cruse/interface index ef3b7cd..0a0586c 100644 --- a/Cursor/hds/process_cruse/interface +++ b/Cursor/hds/process_cruse/interface @@ -21,7 +21,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 45,0 +suid 54,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -65,12 +65,12 @@ port (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" +t "std_ulogic" o 3 -suid 37,0 +suid 46,0 ) ) -uid 635,0 +uid 721,0 ) *15 (LogPort port (LogicalPort @@ -80,36 +80,36 @@ decl (Decl n "end_cruse" t "std_ulogic" o 14 -suid 38,0 +suid 47,0 ) ) -uid 637,0 +uid 723,0 ) *16 (LogPort port (LogicalPort lang 11 decl (Decl n "info_cruse" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 17 -suid 39,0 +suid 48,0 ) ) -uid 639,0 +uid 725,0 ) *17 (LogPort port (LogicalPort lang 11 decl (Decl n "Position" -t "unsigned" +t "std_uLogic_vector" b "(15 DOWNTO 0)" o 1 -suid 40,0 +suid 49,0 ) ) -uid 641,0 +uid 727,0 ) *18 (LogPort port (LogicalPort @@ -117,38 +117,37 @@ lang 11 m 1 decl (Decl n "power_cruse" -t "unsigned" -b "(7 DOWNTO 0)" -o 20 -suid 41,0 +t "std_ulogic" +o 23 +suid 50,0 ) ) -uid 643,0 +uid 729,0 ) *19 (LogPort port (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" +t "std_ulogic" o 4 -suid 42,0 +suid 51,0 ) ) -uid 645,0 +uid 731,0 ) *20 (LogPort port (LogicalPort lang 11 decl (Decl n "sensor_bus" -t "unsigned" +t "std_ulogic_vector" b "(1 DOWNTO 0)" -o 22 -suid 43,0 +o 25 +suid 52,0 ) ) -uid 647,0 +uid 733,0 ) *21 (LogPort port (LogicalPort @@ -157,11 +156,11 @@ m 1 decl (Decl n "sideL_cruse" t "std_ulogic" -o 24 -suid 44,0 +o 27 +suid 53,0 ) ) -uid 649,0 +uid 735,0 ) *22 (LogPort port (LogicalPort @@ -170,10 +169,10 @@ decl (Decl n "unlock" t "std_ulogic" o 12 -suid 45,0 +suid 54,0 ) ) -uid 651,0 +uid 737,0 ) ] ) @@ -229,55 +228,55 @@ uid 120,0 litem &14 pos 0 dimension 20 -uid 636,0 +uid 722,0 ) *29 (MRCItem litem &15 pos 1 dimension 20 -uid 638,0 +uid 724,0 ) *30 (MRCItem litem &16 pos 2 dimension 20 -uid 640,0 +uid 726,0 ) *31 (MRCItem litem &17 pos 3 dimension 20 -uid 642,0 +uid 728,0 ) *32 (MRCItem litem &18 pos 4 dimension 20 -uid 644,0 +uid 730,0 ) *33 (MRCItem litem &19 pos 5 dimension 20 -uid 646,0 +uid 732,0 ) *34 (MRCItem litem &20 pos 6 dimension 20 -uid 648,0 +uid 734,0 ) *35 (MRCItem litem &21 pos 7 dimension 20 -uid 650,0 +uid 736,0 ) *36 (MRCItem litem &22 pos 8 dimension 20 -uid 652,0 +uid 738,0 ) ] ) @@ -552,19 +551,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\p ) (vvPair variable "date" -value "14.12.2021" +value "17.12.2021" ) (vvPair variable "day" -value "mar." +value "ven." ) (vvPair variable "day_long" -value "mardi" +value "vendredi" ) (vvPair variable "dd" -value "14" +value "17" ) (vvPair variable "entity_name" @@ -592,7 +591,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "17.12.2021" ) (vvPair variable "graphical_source_group" @@ -604,7 +603,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "14:18:34" +value "09:37:09" ) (vvPair variable "group" @@ -676,7 +675,7 @@ value "interface" ) (vvPair variable "time" -value "14:18:34" +value "09:37:09" ) (vvPair variable "unit" @@ -711,10 +710,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *70 (CptPort -uid 590,0 +uid 676,0 ps "OnEdgeStrategy" shape (Triangle -uid 591,0 +uid 677,0 ro 90 va (VaSet vasetType 1 @@ -723,11 +722,11 @@ fg "0,65535,0" xt "14250,6625,15000,7375" ) tg (CPTG -uid 592,0 +uid 678,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 593,0 +uid 679,0 va (VaSet font "Verdana,12,0" ) @@ -738,29 +737,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 594,0 +uid 680,0 va (VaSet font "Courier New,8,0" ) -xt "44000,3200,61500,4000" -st "clk : IN unsigned ; +xt "44000,3200,62500,4000" +st "clk : IN std_ulogic ; " ) thePort (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" +t "std_ulogic" o 3 -suid 37,0 +suid 46,0 ) ) ) *71 (CptPort -uid 595,0 +uid 681,0 ps "OnEdgeStrategy" shape (Triangle -uid 596,0 +uid 682,0 ro 180 va (VaSet vasetType 1 @@ -769,11 +768,11 @@ fg "0,65535,0" xt "33625,15000,34375,15750" ) tg (CPTG -uid 597,0 +uid 683,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 598,0 +uid 684,0 ro 270 va (VaSet font "Verdana,12,0" @@ -785,7 +784,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 599,0 +uid 685,0 va (VaSet font "Courier New,8,0" ) @@ -800,15 +799,15 @@ decl (Decl n "end_cruse" t "std_ulogic" o 14 -suid 38,0 +suid 47,0 ) ) ) *72 (CptPort -uid 600,0 +uid 686,0 ps "OnEdgeStrategy" shape (Triangle -uid 601,0 +uid 687,0 ro 180 va (VaSet vasetType 1 @@ -817,11 +816,11 @@ fg "0,65535,0" xt "20625,5250,21375,6000" ) tg (CPTG -uid 602,0 +uid 688,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 603,0 +uid 689,0 ro 270 va (VaSet font "Verdana,12,0" @@ -834,30 +833,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 604,0 +uid 690,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4000,68500,4800" -st "info_cruse : IN unsigned (15 DOWNTO 0) ; +xt "44000,4000,73000,4800" +st "info_cruse : IN std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "info_cruse" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 17 -suid 39,0 +suid 48,0 ) ) ) *73 (CptPort -uid 605,0 +uid 691,0 ps "OnEdgeStrategy" shape (Triangle -uid 606,0 +uid 692,0 ro 180 va (VaSet vasetType 1 @@ -866,11 +865,11 @@ fg "0,65535,0" xt "18625,5250,19375,6000" ) tg (CPTG -uid 607,0 +uid 693,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 608,0 +uid 694,0 ro 270 va (VaSet font "Verdana,12,0" @@ -883,30 +882,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 609,0 +uid 695,0 va (VaSet font "Courier New,8,0" ) -xt "44000,2400,68500,3200" -st "Position : IN unsigned (15 DOWNTO 0) ; +xt "44000,2400,73000,3200" +st "Position : IN std_uLogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "Position" -t "unsigned" +t "std_uLogic_vector" b "(15 DOWNTO 0)" o 1 -suid 40,0 +suid 49,0 ) ) ) *74 (CptPort -uid 610,0 +uid 696,0 ps "OnEdgeStrategy" shape (Triangle -uid 611,0 +uid 697,0 ro 180 va (VaSet vasetType 1 @@ -915,11 +914,11 @@ fg "0,65535,0" xt "31625,15000,32375,15750" ) tg (CPTG -uid 612,0 +uid 698,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 613,0 +uid 699,0 ro 270 va (VaSet font "Verdana,12,0" @@ -931,12 +930,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 614,0 +uid 700,0 va (VaSet font "Courier New,8,0" ) -xt "44000,8000,68000,8800" -st "power_cruse : OUT unsigned (7 DOWNTO 0) ; +xt "44000,8000,62500,8800" +st "power_cruse : OUT std_ulogic ; " ) thePort (LogicalPort @@ -944,18 +943,17 @@ lang 11 m 1 decl (Decl n "power_cruse" -t "unsigned" -b "(7 DOWNTO 0)" -o 20 -suid 41,0 +t "std_ulogic" +o 23 +suid 50,0 ) ) ) *75 (CptPort -uid 615,0 +uid 701,0 ps "OnEdgeStrategy" shape (Triangle -uid 616,0 +uid 702,0 ro 90 va (VaSet vasetType 1 @@ -964,11 +962,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 617,0 +uid 703,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 618,0 +uid 704,0 va (VaSet font "Verdana,12,0" ) @@ -979,29 +977,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 619,0 +uid 705,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4800,61500,5600" -st "rst : IN unsigned ; +xt "44000,4800,62500,5600" +st "rst : IN std_ulogic ; " ) thePort (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" +t "std_ulogic" o 4 -suid 42,0 +suid 51,0 ) ) ) *76 (CptPort -uid 620,0 +uid 706,0 ps "OnEdgeStrategy" shape (Triangle -uid 621,0 +uid 707,0 ro 270 va (VaSet vasetType 1 @@ -1010,11 +1008,11 @@ fg "0,65535,0" xt "36000,12625,36750,13375" ) tg (CPTG -uid 622,0 +uid 708,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 623,0 +uid 709,0 va (VaSet font "Verdana,12,0" ) @@ -1026,30 +1024,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 624,0 +uid 710,0 va (VaSet font "Courier New,8,0" ) -xt "44000,5600,68000,6400" -st "sensor_bus : IN unsigned (1 DOWNTO 0) ; +xt "44000,5600,72500,6400" +st "sensor_bus : IN std_ulogic_vector (1 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "sensor_bus" -t "unsigned" +t "std_ulogic_vector" b "(1 DOWNTO 0)" -o 22 -suid 43,0 +o 25 +suid 52,0 ) ) ) *77 (CptPort -uid 625,0 +uid 711,0 ps "OnEdgeStrategy" shape (Triangle -uid 626,0 +uid 712,0 ro 180 va (VaSet vasetType 1 @@ -1058,11 +1056,11 @@ fg "0,65535,0" xt "29625,15000,30375,15750" ) tg (CPTG -uid 627,0 +uid 713,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 628,0 +uid 714,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1074,7 +1072,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 629,0 +uid 715,0 va (VaSet font "Courier New,8,0" ) @@ -1088,16 +1086,16 @@ m 1 decl (Decl n "sideL_cruse" t "std_ulogic" -o 24 -suid 44,0 +o 27 +suid 53,0 ) ) ) *78 (CptPort -uid 630,0 +uid 716,0 ps "OnEdgeStrategy" shape (Triangle -uid 631,0 +uid 717,0 ro 270 va (VaSet vasetType 1 @@ -1106,11 +1104,11 @@ fg "0,65535,0" xt "36000,10625,36750,11375" ) tg (CPTG -uid 632,0 +uid 718,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 633,0 +uid 719,0 va (VaSet font "Verdana,12,0" ) @@ -1122,7 +1120,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 634,0 +uid 720,0 va (VaSet font "Courier New,8,0" ) @@ -1136,7 +1134,7 @@ decl (Decl n "unlock" t "std_ulogic" o 12 -suid 45,0 +suid 54,0 ) ) ) @@ -1847,6 +1845,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 652,0 +lastUid 738,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/process_deceleration/interface b/Cursor/hds/process_deceleration/interface index 26b4d66..38d1553 100644 --- a/Cursor/hds/process_deceleration/interface +++ b/Cursor/hds/process_deceleration/interface @@ -21,7 +21,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 30,0 +suid 40,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -65,12 +65,12 @@ port (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" -o 2 -suid 21,0 +t "std_ulogic" +o 3 +suid 31,0 ) ) -uid 344,0 +uid 483,0 ) *15 (LogPort port (LogicalPort @@ -79,37 +79,37 @@ m 1 decl (Decl n "end_deceleration" t "std_ulogic" -o 8 -suid 22,0 +o 15 +suid 32,0 ) ) -uid 346,0 +uid 485,0 ) *16 (LogPort port (LogicalPort lang 11 decl (Decl n "info_deceleration" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 3 -suid 23,0 +o 18 +suid 33,0 ) ) -uid 348,0 +uid 487,0 ) *17 (LogPort port (LogicalPort lang 11 decl (Decl n "Position" -t "unsigned" +t "std_uLogic_vector" b "(15 DOWNTO 0)" o 1 -suid 24,0 +suid 34,0 ) ) -uid 350,0 +uid 489,0 ) *18 (LogPort port (LogicalPort @@ -117,13 +117,12 @@ lang 11 m 1 decl (Decl n "power_deceleration" -t "unsigned" -b "(7 DOWNTO 0)" -o 9 -suid 25,0 +t "std_ulogic" +o 24 +suid 35,0 ) ) -uid 352,0 +uid 491,0 ) *19 (LogPort port (LogicalPort @@ -132,23 +131,23 @@ m 1 decl (Decl n "RaZ" t "std_ulogic" -o 7 -suid 26,0 +o 9 +suid 36,0 ) ) -uid 354,0 +uid 493,0 ) *20 (LogPort port (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" +t "std_ulogic" o 4 -suid 27,0 +suid 37,0 ) ) -uid 356,0 +uid 495,0 ) *21 (LogPort port (LogicalPort @@ -157,11 +156,11 @@ m 1 decl (Decl n "sideL_deceleration" t "std_ulogic" -o 10 -suid 28,0 +o 28 +suid 38,0 ) ) -uid 358,0 +uid 497,0 ) *22 (LogPort port (LogicalPort @@ -169,11 +168,11 @@ lang 11 decl (Decl n "skip_deceleration" t "std_uLogic" -o 5 -suid 29,0 +o 30 +suid 39,0 ) ) -uid 360,0 +uid 499,0 ) *23 (LogPort port (LogicalPort @@ -181,11 +180,11 @@ lang 11 decl (Decl n "unlock" t "std_ulogic" -o 6 -suid 30,0 +o 12 +suid 40,0 ) ) -uid 362,0 +uid 501,0 ) ] ) @@ -239,63 +238,63 @@ uid 127,0 ) *29 (MRCItem litem &14 -pos 8 +pos 0 dimension 20 -uid 345,0 +uid 484,0 ) *30 (MRCItem litem &15 -pos 5 +pos 1 dimension 20 -uid 347,0 +uid 486,0 ) *31 (MRCItem litem &16 -pos 1 +pos 2 dimension 20 -uid 349,0 +uid 488,0 ) *32 (MRCItem litem &17 -pos 0 +pos 3 dimension 20 -uid 351,0 +uid 490,0 ) *33 (MRCItem litem &18 -pos 6 +pos 4 dimension 20 -uid 353,0 +uid 492,0 ) *34 (MRCItem litem &19 -pos 4 +pos 5 dimension 20 -uid 355,0 +uid 494,0 ) *35 (MRCItem litem &20 -pos 9 +pos 6 dimension 20 -uid 357,0 +uid 496,0 ) *36 (MRCItem litem &21 pos 7 dimension 20 -uid 359,0 +uid 498,0 ) *37 (MRCItem litem &22 -pos 2 +pos 8 dimension 20 -uid 361,0 +uid 500,0 ) *38 (MRCItem litem &23 -pos 3 +pos 9 dimension 20 -uid 363,0 +uid 502,0 ) ] ) @@ -570,19 +569,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\p ) (vvPair variable "date" -value "14.12.2021" +value "17.12.2021" ) (vvPair variable "day" -value "mar." +value "ven." ) (vvPair variable "day_long" -value "mardi" +value "vendredi" ) (vvPair variable "dd" -value "14" +value "17" ) (vvPair variable "entity_name" @@ -610,7 +609,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "17.12.2021" ) (vvPair variable "graphical_source_group" @@ -622,7 +621,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "15:07:06" +value "09:37:09" ) (vvPair variable "group" @@ -694,7 +693,7 @@ value "interface" ) (vvPair variable "time" -value "15:07:06" +value "09:37:09" ) (vvPair variable "unit" @@ -729,10 +728,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *72 (CptPort -uid 294,0 +uid 433,0 ps "OnEdgeStrategy" shape (Triangle -uid 295,0 +uid 434,0 ro 90 va (VaSet vasetType 1 @@ -741,11 +740,11 @@ fg "0,65535,0" xt "14250,6625,15000,7375" ) tg (CPTG -uid 296,0 +uid 435,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 297,0 +uid 436,0 va (VaSet font "Verdana,12,0" ) @@ -756,28 +755,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 298,0 +uid 437,0 va (VaSet font "Courier New,8,0" ) -xt "44000,3200,65000,4000" -st "clk : IN unsigned ;" +xt "44000,3200,66500,4000" +st "clk : IN std_ulogic ; +" ) thePort (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" -o 2 -suid 21,0 +t "std_ulogic" +o 3 +suid 31,0 ) ) ) *73 (CptPort -uid 299,0 +uid 438,0 ps "OnEdgeStrategy" shape (Triangle -uid 300,0 +uid 439,0 ro 180 va (VaSet vasetType 1 @@ -786,11 +786,11 @@ fg "0,65535,0" xt "33625,15000,34375,15750" ) tg (CPTG -uid 301,0 +uid 440,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 302,0 +uid 441,0 ro 270 va (VaSet font "Verdana,12,0" @@ -802,12 +802,13 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 303,0 +uid 442,0 va (VaSet font "Courier New,8,0" ) xt "44000,8000,66500,8800" -st "end_deceleration : OUT std_ulogic ;" +st "end_deceleration : OUT std_ulogic ; +" ) thePort (LogicalPort lang 11 @@ -815,16 +816,16 @@ m 1 decl (Decl n "end_deceleration" t "std_ulogic" -o 8 -suid 22,0 +o 15 +suid 32,0 ) ) ) *74 (CptPort -uid 304,0 +uid 443,0 ps "OnEdgeStrategy" shape (Triangle -uid 305,0 +uid 444,0 ro 180 va (VaSet vasetType 1 @@ -833,11 +834,11 @@ fg "0,65535,0" xt "20625,5250,21375,6000" ) tg (CPTG -uid 306,0 +uid 445,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 307,0 +uid 446,0 ro 270 va (VaSet font "Verdana,12,0" @@ -850,29 +851,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 308,0 +uid 447,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4000,72000,4800" -st "info_deceleration : IN unsigned (15 DOWNTO 0) ;" +xt "44000,4000,76500,4800" +st "info_deceleration : IN std_ulogic_vector (15 DOWNTO 0) ; +" ) thePort (LogicalPort lang 11 decl (Decl n "info_deceleration" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 3 -suid 23,0 +o 18 +suid 33,0 ) ) ) *75 (CptPort -uid 309,0 +uid 448,0 ps "OnEdgeStrategy" shape (Triangle -uid 310,0 +uid 449,0 ro 180 va (VaSet vasetType 1 @@ -881,11 +883,11 @@ fg "0,65535,0" xt "18625,5250,19375,6000" ) tg (CPTG -uid 311,0 +uid 450,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 312,0 +uid 451,0 ro 270 va (VaSet font "Verdana,12,0" @@ -898,29 +900,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 313,0 +uid 452,0 va (VaSet font "Courier New,8,0" ) -xt "44000,2400,72000,3200" -st "Position : IN unsigned (15 DOWNTO 0) ;" +xt "44000,2400,76500,3200" +st "Position : IN std_uLogic_vector (15 DOWNTO 0) ; +" ) thePort (LogicalPort lang 11 decl (Decl n "Position" -t "unsigned" +t "std_uLogic_vector" b "(15 DOWNTO 0)" o 1 -suid 24,0 +suid 34,0 ) ) ) *76 (CptPort -uid 314,0 +uid 453,0 ps "OnEdgeStrategy" shape (Triangle -uid 315,0 +uid 454,0 ro 180 va (VaSet vasetType 1 @@ -929,11 +932,11 @@ fg "0,65535,0" xt "31625,15000,32375,15750" ) tg (CPTG -uid 316,0 +uid 455,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 317,0 +uid 456,0 ro 270 va (VaSet font "Verdana,12,0" @@ -945,30 +948,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 318,0 +uid 457,0 va (VaSet font "Courier New,8,0" ) -xt "44000,8800,71500,9600" -st "power_deceleration : OUT unsigned (7 DOWNTO 0) ;" +xt "44000,8800,66500,9600" +st "power_deceleration : OUT std_ulogic ; +" ) thePort (LogicalPort lang 11 m 1 decl (Decl n "power_deceleration" -t "unsigned" -b "(7 DOWNTO 0)" -o 9 -suid 25,0 +t "std_ulogic" +o 24 +suid 35,0 ) ) ) *77 (CptPort -uid 319,0 +uid 458,0 ps "OnEdgeStrategy" shape (Triangle -uid 320,0 +uid 459,0 ro 90 va (VaSet vasetType 1 @@ -977,11 +980,11 @@ fg "0,65535,0" xt "36000,8625,36750,9375" ) tg (CPTG -uid 321,0 +uid 460,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 322,0 +uid 461,0 va (VaSet font "Verdana,12,0" ) @@ -993,12 +996,13 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 323,0 +uid 462,0 va (VaSet font "Courier New,8,0" ) xt "44000,7200,66500,8000" -st "RaZ : OUT std_ulogic ;" +st "RaZ : OUT std_ulogic ; +" ) thePort (LogicalPort lang 11 @@ -1006,16 +1010,16 @@ m 1 decl (Decl n "RaZ" t "std_ulogic" -o 7 -suid 26,0 +o 9 +suid 36,0 ) ) ) *78 (CptPort -uid 324,0 +uid 463,0 ps "OnEdgeStrategy" shape (Triangle -uid 325,0 +uid 464,0 ro 90 va (VaSet vasetType 1 @@ -1024,11 +1028,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 326,0 +uid 465,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 327,0 +uid 466,0 va (VaSet font "Verdana,12,0" ) @@ -1039,28 +1043,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 328,0 +uid 467,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4800,65000,5600" -st "rst : IN unsigned ;" +xt "44000,4800,66500,5600" +st "rst : IN std_ulogic ; +" ) thePort (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" +t "std_ulogic" o 4 -suid 27,0 +suid 37,0 ) ) ) *79 (CptPort -uid 329,0 +uid 468,0 ps "OnEdgeStrategy" shape (Triangle -uid 330,0 +uid 469,0 ro 180 va (VaSet vasetType 1 @@ -1069,11 +1074,11 @@ fg "0,65535,0" xt "29625,15000,30375,15750" ) tg (CPTG -uid 331,0 +uid 470,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 332,0 +uid 471,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1085,12 +1090,13 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 333,0 +uid 472,0 va (VaSet font "Courier New,8,0" ) xt "44000,9600,65000,10400" -st "sideL_deceleration : OUT std_ulogic " +st "sideL_deceleration : OUT std_ulogic +" ) thePort (LogicalPort lang 11 @@ -1098,16 +1104,16 @@ m 1 decl (Decl n "sideL_deceleration" t "std_ulogic" -o 10 -suid 28,0 +o 28 +suid 38,0 ) ) ) *80 (CptPort -uid 334,0 +uid 473,0 ps "OnEdgeStrategy" shape (Triangle -uid 335,0 +uid 474,0 ro 180 va (VaSet vasetType 1 @@ -1116,11 +1122,11 @@ fg "0,65535,0" xt "23625,5250,24375,6000" ) tg (CPTG -uid 336,0 +uid 475,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 337,0 +uid 476,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1133,28 +1139,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 338,0 +uid 477,0 va (VaSet font "Courier New,8,0" ) xt "44000,5600,66500,6400" -st "skip_deceleration : IN std_uLogic ;" +st "skip_deceleration : IN std_uLogic ; +" ) thePort (LogicalPort lang 11 decl (Decl n "skip_deceleration" t "std_uLogic" -o 5 -suid 29,0 +o 30 +suid 39,0 ) ) ) *81 (CptPort -uid 339,0 +uid 478,0 ps "OnEdgeStrategy" shape (Triangle -uid 340,0 +uid 479,0 ro 270 va (VaSet vasetType 1 @@ -1163,11 +1170,11 @@ fg "0,65535,0" xt "36000,11625,36750,12375" ) tg (CPTG -uid 341,0 +uid 480,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 342,0 +uid 481,0 va (VaSet font "Verdana,12,0" ) @@ -1179,20 +1186,21 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 343,0 +uid 482,0 va (VaSet font "Courier New,8,0" ) xt "44000,6400,66500,7200" -st "unlock : IN std_ulogic ;" +st "unlock : IN std_ulogic ; +" ) thePort (LogicalPort lang 11 decl (Decl n "unlock" t "std_ulogic" -o 6 -suid 30,0 +o 12 +suid 40,0 ) ) ) @@ -1903,6 +1911,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 409,0 +lastUid 502,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/selector_acceleration/interface b/Cursor/hds/selector_acceleration/interface index 544ba4e..52d8841 100644 --- a/Cursor/hds/selector_acceleration/interface +++ b/Cursor/hds/selector_acceleration/interface @@ -21,7 +21,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 36,0 +suid 45,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -65,25 +65,25 @@ port (LogicalPort lang 11 decl (Decl n "button" -t "unsigned" +t "std_uLogic_vector" b "(3 DOWNTO 0)" o 2 -suid 28,0 +suid 37,0 ) ) -uid 572,0 +uid 658,0 ) *15 (LogPort port (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" +t "std_ulogic" o 3 -suid 29,0 +suid 38,0 ) ) -uid 574,0 +uid 660,0 ) *16 (LogPort port (LogicalPort @@ -91,77 +91,77 @@ lang 11 m 1 decl (Decl n "info_acceleration" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 16 -suid 30,0 +suid 39,0 ) ) -uid 576,0 +uid 662,0 ) *17 (LogPort port (LogicalPort lang 11 decl (Decl n "pos1" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 27 -suid 31,0 +o 19 +suid 40,0 ) ) -uid 578,0 +uid 664,0 ) *18 (LogPort port (LogicalPort lang 11 decl (Decl n "pos2" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 28 -suid 32,0 +o 20 +suid 41,0 ) ) -uid 580,0 +uid 666,0 ) *19 (LogPort port (LogicalPort lang 11 decl (Decl n "pos_init" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 29 -suid 33,0 +o 21 +suid 42,0 ) ) -uid 582,0 +uid 668,0 ) *20 (LogPort port (LogicalPort lang 11 decl (Decl n "Position" -t "unsigned" +t "std_uLogic_vector" b "(15 DOWNTO 0)" o 1 -suid 34,0 +suid 43,0 ) ) -uid 584,0 +uid 670,0 ) *21 (LogPort port (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" +t "std_ulogic" o 4 -suid 35,0 +suid 44,0 ) ) -uid 586,0 +uid 672,0 ) *22 (LogPort port (LogicalPort @@ -169,12 +169,12 @@ lang 11 m 1 decl (Decl n "skip_acceleration" -t "unsigned" -o 26 -suid 36,0 +t "std_ulogic" +o 29 +suid 45,0 ) ) -uid 588,0 +uid 674,0 ) ] ) @@ -230,55 +230,55 @@ uid 113,0 litem &14 pos 0 dimension 20 -uid 573,0 +uid 659,0 ) *29 (MRCItem litem &15 pos 1 dimension 20 -uid 575,0 +uid 661,0 ) *30 (MRCItem litem &16 pos 2 dimension 20 -uid 577,0 +uid 663,0 ) *31 (MRCItem litem &17 pos 3 dimension 20 -uid 579,0 +uid 665,0 ) *32 (MRCItem litem &18 pos 4 dimension 20 -uid 581,0 +uid 667,0 ) *33 (MRCItem litem &19 pos 5 dimension 20 -uid 583,0 +uid 669,0 ) *34 (MRCItem litem &20 pos 6 dimension 20 -uid 585,0 +uid 671,0 ) *35 (MRCItem litem &21 pos 7 dimension 20 -uid 587,0 +uid 673,0 ) *36 (MRCItem litem &22 pos 8 dimension 20 -uid 589,0 +uid 675,0 ) ] ) @@ -553,19 +553,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\s ) (vvPair variable "date" -value "14.12.2021" +value "17.12.2021" ) (vvPair variable "day" -value "mar." +value "ven." ) (vvPair variable "day_long" -value "mardi" +value "vendredi" ) (vvPair variable "dd" -value "14" +value "17" ) (vvPair variable "entity_name" @@ -593,7 +593,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "17.12.2021" ) (vvPair variable "graphical_source_group" @@ -605,7 +605,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "14:18:34" +value "09:37:09" ) (vvPair variable "group" @@ -677,7 +677,7 @@ value "interface" ) (vvPair variable "time" -value "14:18:34" +value "09:37:09" ) (vvPair variable "unit" @@ -712,10 +712,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *70 (CptPort -uid 527,0 +uid 613,0 ps "OnEdgeStrategy" shape (Triangle -uid 528,0 +uid 614,0 ro 180 va (VaSet vasetType 1 @@ -724,11 +724,11 @@ fg "0,65535,0" xt "16625,5250,17375,6000" ) tg (CPTG -uid 529,0 +uid 615,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 530,0 +uid 616,0 ro 270 va (VaSet font "Verdana,12,0" @@ -741,30 +741,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 531,0 +uid 617,0 va (VaSet font "Courier New,8,0" ) -xt "44000,3200,71000,4000" -st "button : IN unsigned (3 DOWNTO 0) ; +xt "44000,3200,75500,4000" +st "button : IN std_uLogic_vector (3 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "button" -t "unsigned" +t "std_uLogic_vector" b "(3 DOWNTO 0)" o 2 -suid 28,0 +suid 37,0 ) ) ) *71 (CptPort -uid 532,0 +uid 618,0 ps "OnEdgeStrategy" shape (Triangle -uid 533,0 +uid 619,0 ro 180 va (VaSet vasetType 1 @@ -773,11 +773,11 @@ fg "0,65535,0" xt "19625,5250,20375,6000" ) tg (CPTG -uid 534,0 +uid 620,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 535,0 +uid 621,0 ro 270 va (VaSet font "Verdana,12,0" @@ -790,29 +790,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 536,0 +uid 622,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4000,64500,4800" -st "clk : IN unsigned ; +xt "44000,4000,66000,4800" +st "clk : IN std_ulogic ; " ) thePort (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" +t "std_ulogic" o 3 -suid 29,0 +suid 38,0 ) ) ) *72 (CptPort -uid 537,0 +uid 623,0 ps "OnEdgeStrategy" shape (Triangle -uid 538,0 +uid 624,0 ro 180 va (VaSet vasetType 1 @@ -821,11 +821,11 @@ fg "0,65535,0" xt "16625,13000,17375,13750" ) tg (CPTG -uid 539,0 +uid 625,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 540,0 +uid 626,0 ro 270 va (VaSet font "Verdana,12,0" @@ -837,12 +837,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 541,0 +uid 627,0 va (VaSet font "Courier New,8,0" ) -xt "44000,8000,71500,8800" -st "info_acceleration : OUT unsigned (15 DOWNTO 0) ; +xt "44000,8000,76000,8800" +st "info_acceleration : OUT std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort @@ -850,18 +850,18 @@ lang 11 m 1 decl (Decl n "info_acceleration" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 16 -suid 30,0 +suid 39,0 ) ) ) *73 (CptPort -uid 542,0 +uid 628,0 ps "OnEdgeStrategy" shape (Triangle -uid 543,0 +uid 629,0 ro 90 va (VaSet vasetType 1 @@ -870,11 +870,11 @@ fg "0,65535,0" xt "14250,11625,15000,12375" ) tg (CPTG -uid 544,0 +uid 630,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 545,0 +uid 631,0 va (VaSet font "Verdana,12,0" ) @@ -885,30 +885,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 546,0 +uid 632,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4800,72000,5600" -st "pos1 : IN std_logic (15 DOWNTO 0) ; +xt "44000,4800,76000,5600" +st "pos1 : IN std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "pos1" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 27 -suid 31,0 +o 19 +suid 40,0 ) ) ) *74 (CptPort -uid 547,0 +uid 633,0 ps "OnEdgeStrategy" shape (Triangle -uid 548,0 +uid 634,0 ro 90 va (VaSet vasetType 1 @@ -917,11 +917,11 @@ fg "0,65535,0" xt "14250,10625,15000,11375" ) tg (CPTG -uid 549,0 +uid 635,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 550,0 +uid 636,0 va (VaSet font "Verdana,12,0" ) @@ -932,30 +932,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 551,0 +uid 637,0 va (VaSet font "Courier New,8,0" ) -xt "44000,5600,72000,6400" -st "pos2 : IN std_logic (15 DOWNTO 0) ; +xt "44000,5600,76000,6400" +st "pos2 : IN std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "pos2" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 28 -suid 32,0 +o 20 +suid 41,0 ) ) ) *75 (CptPort -uid 552,0 +uid 638,0 ps "OnEdgeStrategy" shape (Triangle -uid 553,0 +uid 639,0 ro 90 va (VaSet vasetType 1 @@ -964,11 +964,11 @@ fg "0,65535,0" xt "14250,9625,15000,10375" ) tg (CPTG -uid 554,0 +uid 640,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 555,0 +uid 641,0 va (VaSet font "Verdana,12,0" ) @@ -979,30 +979,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 556,0 +uid 642,0 va (VaSet font "Courier New,8,0" ) -xt "44000,6400,72000,7200" -st "pos_init : IN std_logic (15 DOWNTO 0) ; +xt "44000,6400,76000,7200" +st "pos_init : IN std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "pos_init" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 29 -suid 33,0 +o 21 +suid 42,0 ) ) ) *76 (CptPort -uid 557,0 +uid 643,0 ps "OnEdgeStrategy" shape (Triangle -uid 558,0 +uid 644,0 ro 90 va (VaSet vasetType 1 @@ -1011,11 +1011,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 559,0 +uid 645,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 560,0 +uid 646,0 va (VaSet font "Verdana,12,0" ) @@ -1026,30 +1026,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 561,0 +uid 647,0 va (VaSet font "Courier New,8,0" ) -xt "44000,2400,71500,3200" -st "Position : IN unsigned (15 DOWNTO 0) ; +xt "44000,2400,76000,3200" +st "Position : IN std_uLogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "Position" -t "unsigned" +t "std_uLogic_vector" b "(15 DOWNTO 0)" o 1 -suid 34,0 +suid 43,0 ) ) ) *77 (CptPort -uid 562,0 +uid 648,0 ps "OnEdgeStrategy" shape (Triangle -uid 563,0 +uid 649,0 ro 180 va (VaSet vasetType 1 @@ -1058,11 +1058,11 @@ fg "0,65535,0" xt "21625,5250,22375,6000" ) tg (CPTG -uid 564,0 +uid 650,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 565,0 +uid 651,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1075,29 +1075,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 566,0 +uid 652,0 va (VaSet font "Courier New,8,0" ) -xt "44000,7200,64500,8000" -st "rst : IN unsigned ; +xt "44000,7200,66000,8000" +st "rst : IN std_ulogic ; " ) thePort (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" +t "std_ulogic" o 4 -suid 35,0 +suid 44,0 ) ) ) *78 (CptPort -uid 567,0 +uid 653,0 ps "OnEdgeStrategy" shape (Triangle -uid 568,0 +uid 654,0 ro 180 va (VaSet vasetType 1 @@ -1106,11 +1106,11 @@ fg "0,65535,0" xt "19625,13000,20375,13750" ) tg (CPTG -uid 569,0 +uid 655,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 570,0 +uid 656,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1122,12 +1122,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 571,0 +uid 657,0 va (VaSet font "Courier New,8,0" ) -xt "44000,8800,63500,9600" -st "skip_acceleration : OUT unsigned +xt "44000,8800,64500,9600" +st "skip_acceleration : OUT std_ulogic " ) thePort (LogicalPort @@ -1135,9 +1135,9 @@ lang 11 m 1 decl (Decl n "skip_acceleration" -t "unsigned" -o 26 -suid 36,0 +t "std_ulogic" +o 29 +suid 45,0 ) ) ) @@ -1848,6 +1848,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 589,0 +lastUid 675,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/selector_cruse/interface b/Cursor/hds/selector_cruse/interface index 09968f7..4161877 100644 --- a/Cursor/hds/selector_cruse/interface +++ b/Cursor/hds/selector_cruse/interface @@ -21,7 +21,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 24,0 +suid 32,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -65,25 +65,25 @@ port (LogicalPort lang 11 decl (Decl n "button" -t "unsigned" +t "std_uLogic_vector" b "(3 DOWNTO 0)" o 2 -suid 17,0 +suid 25,0 ) ) -uid 444,0 +uid 523,0 ) *15 (LogPort port (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" +t "std_ulogic" o 3 -suid 18,0 +suid 26,0 ) ) -uid 446,0 +uid 525,0 ) *16 (LogPort port (LogicalPort @@ -91,77 +91,77 @@ lang 11 m 1 decl (Decl n "info_cruse" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 17 -suid 19,0 +suid 27,0 ) ) -uid 448,0 +uid 527,0 ) *17 (LogPort port (LogicalPort lang 11 decl (Decl n "pos1" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 27 -suid 20,0 +o 19 +suid 28,0 ) ) -uid 450,0 +uid 529,0 ) *18 (LogPort port (LogicalPort lang 11 decl (Decl n "pos2" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 28 -suid 21,0 +o 20 +suid 29,0 ) ) -uid 452,0 +uid 531,0 ) *19 (LogPort port (LogicalPort lang 11 decl (Decl n "pos_init" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 29 -suid 22,0 +o 21 +suid 30,0 ) ) -uid 454,0 +uid 533,0 ) *20 (LogPort port (LogicalPort lang 11 decl (Decl n "Position" -t "unsigned" +t "std_uLogic_vector" b "(15 DOWNTO 0)" o 1 -suid 23,0 +suid 31,0 ) ) -uid 456,0 +uid 535,0 ) *21 (LogPort port (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" +t "std_ulogic" o 4 -suid 24,0 +suid 32,0 ) ) -uid 458,0 +uid 537,0 ) ] ) @@ -217,49 +217,49 @@ uid 127,0 litem &14 pos 0 dimension 20 -uid 445,0 +uid 524,0 ) *28 (MRCItem litem &15 pos 1 dimension 20 -uid 447,0 +uid 526,0 ) *29 (MRCItem litem &16 pos 2 dimension 20 -uid 449,0 +uid 528,0 ) *30 (MRCItem litem &17 pos 3 dimension 20 -uid 451,0 +uid 530,0 ) *31 (MRCItem litem &18 pos 4 dimension 20 -uid 453,0 +uid 532,0 ) *32 (MRCItem litem &19 pos 5 dimension 20 -uid 455,0 +uid 534,0 ) *33 (MRCItem litem &20 pos 6 dimension 20 -uid 457,0 +uid 536,0 ) *34 (MRCItem litem &21 pos 7 dimension 20 -uid 459,0 +uid 538,0 ) ] ) @@ -534,19 +534,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\s ) (vvPair variable "date" -value "14.12.2021" +value "17.12.2021" ) (vvPair variable "day" -value "mar." +value "ven." ) (vvPair variable "day_long" -value "mardi" +value "vendredi" ) (vvPair variable "dd" -value "14" +value "17" ) (vvPair variable "entity_name" @@ -574,7 +574,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "17.12.2021" ) (vvPair variable "graphical_source_group" @@ -586,7 +586,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "14:18:34" +value "09:37:09" ) (vvPair variable "group" @@ -658,7 +658,7 @@ value "interface" ) (vvPair variable "time" -value "14:18:34" +value "09:37:09" ) (vvPair variable "unit" @@ -693,10 +693,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *68 (CptPort -uid 404,0 +uid 483,0 ps "OnEdgeStrategy" shape (Triangle -uid 405,0 +uid 484,0 ro 180 va (VaSet vasetType 1 @@ -705,11 +705,11 @@ fg "0,65535,0" xt "16625,5250,17375,6000" ) tg (CPTG -uid 406,0 +uid 485,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 407,0 +uid 486,0 ro 270 va (VaSet font "Verdana,12,0" @@ -722,30 +722,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 408,0 +uid 487,0 va (VaSet font "Courier New,8,0" ) -xt "44000,3200,67500,4000" -st "button : IN unsigned (3 DOWNTO 0) ; +xt "44000,3200,72000,4000" +st "button : IN std_uLogic_vector (3 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "button" -t "unsigned" +t "std_uLogic_vector" b "(3 DOWNTO 0)" o 2 -suid 17,0 +suid 25,0 ) ) ) *69 (CptPort -uid 409,0 +uid 488,0 ps "OnEdgeStrategy" shape (Triangle -uid 410,0 +uid 489,0 ro 180 va (VaSet vasetType 1 @@ -754,11 +754,11 @@ fg "0,65535,0" xt "19625,5250,20375,6000" ) tg (CPTG -uid 411,0 +uid 490,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 412,0 +uid 491,0 ro 270 va (VaSet font "Verdana,12,0" @@ -771,29 +771,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 413,0 +uid 492,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4000,61000,4800" -st "clk : IN unsigned ; +xt "44000,4000,62000,4800" +st "clk : IN std_ulogic ; " ) thePort (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" +t "std_ulogic" o 3 -suid 18,0 +suid 26,0 ) ) ) *70 (CptPort -uid 414,0 +uid 493,0 ps "OnEdgeStrategy" shape (Triangle -uid 415,0 +uid 494,0 ro 180 va (VaSet vasetType 1 @@ -802,11 +802,11 @@ fg "0,65535,0" xt "16625,13000,17375,13750" ) tg (CPTG -uid 416,0 +uid 495,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 417,0 +uid 496,0 ro 270 va (VaSet font "Verdana,12,0" @@ -818,12 +818,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 418,0 +uid 497,0 va (VaSet font "Courier New,8,0" ) -xt "44000,8000,67000,8800" -st "info_cruse : OUT unsigned (15 DOWNTO 0) +xt "44000,8000,71500,8800" +st "info_cruse : OUT std_ulogic_vector (15 DOWNTO 0) " ) thePort (LogicalPort @@ -831,18 +831,18 @@ lang 11 m 1 decl (Decl n "info_cruse" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 17 -suid 19,0 +suid 27,0 ) ) ) *71 (CptPort -uid 419,0 +uid 498,0 ps "OnEdgeStrategy" shape (Triangle -uid 420,0 +uid 499,0 ro 90 va (VaSet vasetType 1 @@ -851,11 +851,11 @@ fg "0,65535,0" xt "14250,10625,15000,11375" ) tg (CPTG -uid 421,0 +uid 500,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 422,0 +uid 501,0 va (VaSet font "Verdana,12,0" ) @@ -866,30 +866,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 423,0 +uid 502,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4800,68500,5600" -st "pos1 : IN std_logic (15 DOWNTO 0) ; +xt "44000,4800,72500,5600" +st "pos1 : IN std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "pos1" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 27 -suid 20,0 +o 19 +suid 28,0 ) ) ) *72 (CptPort -uid 424,0 +uid 503,0 ps "OnEdgeStrategy" shape (Triangle -uid 425,0 +uid 504,0 ro 90 va (VaSet vasetType 1 @@ -898,11 +898,11 @@ fg "0,65535,0" xt "14250,9625,15000,10375" ) tg (CPTG -uid 426,0 +uid 505,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 427,0 +uid 506,0 va (VaSet font "Verdana,12,0" ) @@ -913,30 +913,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 428,0 +uid 507,0 va (VaSet font "Courier New,8,0" ) -xt "44000,5600,68500,6400" -st "pos2 : IN std_logic (15 DOWNTO 0) ; +xt "44000,5600,72500,6400" +st "pos2 : IN std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "pos2" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 28 -suid 21,0 +o 20 +suid 29,0 ) ) ) *73 (CptPort -uid 429,0 +uid 508,0 ps "OnEdgeStrategy" shape (Triangle -uid 430,0 +uid 509,0 ro 90 va (VaSet vasetType 1 @@ -945,11 +945,11 @@ fg "0,65535,0" xt "14250,8625,15000,9375" ) tg (CPTG -uid 431,0 +uid 510,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 432,0 +uid 511,0 va (VaSet font "Verdana,12,0" ) @@ -960,30 +960,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 433,0 +uid 512,0 va (VaSet font "Courier New,8,0" ) -xt "44000,6400,68500,7200" -st "pos_init : IN std_logic (15 DOWNTO 0) ; +xt "44000,6400,72500,7200" +st "pos_init : IN std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "pos_init" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 29 -suid 22,0 +o 21 +suid 30,0 ) ) ) *74 (CptPort -uid 434,0 +uid 513,0 ps "OnEdgeStrategy" shape (Triangle -uid 435,0 +uid 514,0 ro 90 va (VaSet vasetType 1 @@ -992,11 +992,11 @@ fg "0,65535,0" xt "14250,6625,15000,7375" ) tg (CPTG -uid 436,0 +uid 515,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 437,0 +uid 516,0 va (VaSet font "Verdana,12,0" ) @@ -1007,30 +1007,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 438,0 +uid 517,0 va (VaSet font "Courier New,8,0" ) -xt "44000,2400,68000,3200" -st "Position : IN unsigned (15 DOWNTO 0) ; +xt "44000,2400,72500,3200" +st "Position : IN std_uLogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "Position" -t "unsigned" +t "std_uLogic_vector" b "(15 DOWNTO 0)" o 1 -suid 23,0 +suid 31,0 ) ) ) *75 (CptPort -uid 439,0 +uid 518,0 ps "OnEdgeStrategy" shape (Triangle -uid 440,0 +uid 519,0 ro 180 va (VaSet vasetType 1 @@ -1039,11 +1039,11 @@ fg "0,65535,0" xt "21625,5250,22375,6000" ) tg (CPTG -uid 441,0 +uid 520,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 442,0 +uid 521,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1056,21 +1056,21 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 443,0 +uid 522,0 va (VaSet font "Courier New,8,0" ) -xt "44000,7200,61000,8000" -st "rst : IN unsigned ; +xt "44000,7200,62000,8000" +st "rst : IN std_ulogic ; " ) thePort (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" +t "std_ulogic" o 4 -suid 24,0 +suid 32,0 ) ) ) @@ -1781,6 +1781,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 459,0 +lastUid 538,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/selector_deceleration/interface b/Cursor/hds/selector_deceleration/interface index c271c15..1996c52 100644 --- a/Cursor/hds/selector_deceleration/interface +++ b/Cursor/hds/selector_deceleration/interface @@ -21,7 +21,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 26,0 +suid 35,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -65,25 +65,25 @@ port (LogicalPort lang 11 decl (Decl n "button" -t "unsigned" +t "std_uLogic_vector" b "(3 DOWNTO 0)" o 2 -suid 18,0 +suid 27,0 ) ) -uid 410,0 +uid 496,0 ) *15 (LogPort port (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" +t "std_ulogic" o 3 -suid 19,0 +suid 28,0 ) ) -uid 412,0 +uid 498,0 ) *16 (LogPort port (LogicalPort @@ -91,77 +91,77 @@ lang 11 m 1 decl (Decl n "info_deceleration" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 18 -suid 20,0 +suid 29,0 ) ) -uid 414,0 +uid 500,0 ) *17 (LogPort port (LogicalPort lang 11 decl (Decl n "pos1" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 27 -suid 21,0 +o 19 +suid 30,0 ) ) -uid 416,0 +uid 502,0 ) *18 (LogPort port (LogicalPort lang 11 decl (Decl n "pos2" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 28 -suid 22,0 +o 20 +suid 31,0 ) ) -uid 418,0 +uid 504,0 ) *19 (LogPort port (LogicalPort lang 11 decl (Decl n "pos_init" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 29 -suid 23,0 +o 21 +suid 32,0 ) ) -uid 420,0 +uid 506,0 ) *20 (LogPort port (LogicalPort lang 11 decl (Decl n "Position" -t "unsigned" +t "std_uLogic_vector" b "(15 DOWNTO 0)" o 1 -suid 24,0 +suid 33,0 ) ) -uid 422,0 +uid 508,0 ) *21 (LogPort port (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" +t "std_ulogic" o 4 -suid 25,0 +suid 34,0 ) ) -uid 424,0 +uid 510,0 ) *22 (LogPort port (LogicalPort @@ -171,10 +171,10 @@ decl (Decl n "skip_deceleration" t "std_uLogic" o 30 -suid 26,0 +suid 35,0 ) ) -uid 426,0 +uid 512,0 ) ] ) @@ -230,55 +230,55 @@ uid 127,0 litem &14 pos 0 dimension 20 -uid 411,0 +uid 497,0 ) *29 (MRCItem litem &15 pos 1 dimension 20 -uid 413,0 +uid 499,0 ) *30 (MRCItem litem &16 pos 2 dimension 20 -uid 415,0 +uid 501,0 ) *31 (MRCItem litem &17 pos 3 dimension 20 -uid 417,0 +uid 503,0 ) *32 (MRCItem litem &18 pos 4 dimension 20 -uid 419,0 +uid 505,0 ) *33 (MRCItem litem &19 pos 5 dimension 20 -uid 421,0 +uid 507,0 ) *34 (MRCItem litem &20 pos 6 dimension 20 -uid 423,0 +uid 509,0 ) *35 (MRCItem litem &21 pos 7 dimension 20 -uid 425,0 +uid 511,0 ) *36 (MRCItem litem &22 pos 8 dimension 20 -uid 427,0 +uid 513,0 ) ] ) @@ -553,19 +553,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\s ) (vvPair variable "date" -value "14.12.2021" +value "17.12.2021" ) (vvPair variable "day" -value "mar." +value "ven." ) (vvPair variable "day_long" -value "mardi" +value "vendredi" ) (vvPair variable "dd" -value "14" +value "17" ) (vvPair variable "entity_name" @@ -593,7 +593,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "17.12.2021" ) (vvPair variable "graphical_source_group" @@ -605,7 +605,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "14:18:34" +value "09:37:09" ) (vvPair variable "group" @@ -677,7 +677,7 @@ value "interface" ) (vvPair variable "time" -value "14:18:34" +value "09:37:09" ) (vvPair variable "unit" @@ -712,10 +712,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *70 (CptPort -uid 365,0 +uid 451,0 ps "OnEdgeStrategy" shape (Triangle -uid 366,0 +uid 452,0 ro 180 va (VaSet vasetType 1 @@ -724,11 +724,11 @@ fg "0,65535,0" xt "15625,5250,16375,6000" ) tg (CPTG -uid 367,0 +uid 453,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 368,0 +uid 454,0 ro 270 va (VaSet font "Verdana,12,0" @@ -741,30 +741,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 369,0 +uid 455,0 va (VaSet font "Courier New,8,0" ) -xt "44000,3200,71000,4000" -st "button : IN unsigned (3 DOWNTO 0) ; +xt "44000,3200,75500,4000" +st "button : IN std_uLogic_vector (3 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "button" -t "unsigned" +t "std_uLogic_vector" b "(3 DOWNTO 0)" o 2 -suid 18,0 +suid 27,0 ) ) ) *71 (CptPort -uid 370,0 +uid 456,0 ps "OnEdgeStrategy" shape (Triangle -uid 371,0 +uid 457,0 ro 180 va (VaSet vasetType 1 @@ -773,11 +773,11 @@ fg "0,65535,0" xt "18625,5250,19375,6000" ) tg (CPTG -uid 372,0 +uid 458,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 373,0 +uid 459,0 ro 270 va (VaSet font "Verdana,12,0" @@ -790,29 +790,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 374,0 +uid 460,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4000,64500,4800" -st "clk : IN unsigned ; +xt "44000,4000,66000,4800" +st "clk : IN std_ulogic ; " ) thePort (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" +t "std_ulogic" o 3 -suid 19,0 +suid 28,0 ) ) ) *72 (CptPort -uid 375,0 +uid 461,0 ps "OnEdgeStrategy" shape (Triangle -uid 376,0 +uid 462,0 ro 180 va (VaSet vasetType 1 @@ -821,11 +821,11 @@ fg "0,65535,0" xt "16625,13000,17375,13750" ) tg (CPTG -uid 377,0 +uid 463,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 378,0 +uid 464,0 ro 270 va (VaSet font "Verdana,12,0" @@ -837,12 +837,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 379,0 +uid 465,0 va (VaSet font "Courier New,8,0" ) -xt "44000,8000,71500,8800" -st "info_deceleration : OUT unsigned (15 DOWNTO 0) ; +xt "44000,8000,76000,8800" +st "info_deceleration : OUT std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort @@ -850,18 +850,18 @@ lang 11 m 1 decl (Decl n "info_deceleration" -t "unsigned" +t "std_ulogic_vector" b "(15 DOWNTO 0)" o 18 -suid 20,0 +suid 29,0 ) ) ) *73 (CptPort -uid 380,0 +uid 466,0 ps "OnEdgeStrategy" shape (Triangle -uid 381,0 +uid 467,0 ro 90 va (VaSet vasetType 1 @@ -870,11 +870,11 @@ fg "0,65535,0" xt "14250,10625,15000,11375" ) tg (CPTG -uid 382,0 +uid 468,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 383,0 +uid 469,0 va (VaSet font "Verdana,12,0" ) @@ -885,30 +885,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 384,0 +uid 470,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4800,72000,5600" -st "pos1 : IN std_logic (15 DOWNTO 0) ; +xt "44000,4800,76000,5600" +st "pos1 : IN std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "pos1" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 27 -suid 21,0 +o 19 +suid 30,0 ) ) ) *74 (CptPort -uid 385,0 +uid 471,0 ps "OnEdgeStrategy" shape (Triangle -uid 386,0 +uid 472,0 ro 90 va (VaSet vasetType 1 @@ -917,11 +917,11 @@ fg "0,65535,0" xt "14250,9625,15000,10375" ) tg (CPTG -uid 387,0 +uid 473,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 388,0 +uid 474,0 va (VaSet font "Verdana,12,0" ) @@ -932,30 +932,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 389,0 +uid 475,0 va (VaSet font "Courier New,8,0" ) -xt "44000,5600,72000,6400" -st "pos2 : IN std_logic (15 DOWNTO 0) ; +xt "44000,5600,76000,6400" +st "pos2 : IN std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "pos2" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 28 -suid 22,0 +o 20 +suid 31,0 ) ) ) *75 (CptPort -uid 390,0 +uid 476,0 ps "OnEdgeStrategy" shape (Triangle -uid 391,0 +uid 477,0 ro 90 va (VaSet vasetType 1 @@ -964,11 +964,11 @@ fg "0,65535,0" xt "14250,8625,15000,9375" ) tg (CPTG -uid 392,0 +uid 478,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 393,0 +uid 479,0 va (VaSet font "Verdana,12,0" ) @@ -979,30 +979,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 394,0 +uid 480,0 va (VaSet font "Courier New,8,0" ) -xt "44000,6400,72000,7200" -st "pos_init : IN std_logic (15 DOWNTO 0) ; +xt "44000,6400,76000,7200" +st "pos_init : IN std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "pos_init" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 29 -suid 23,0 +o 21 +suid 32,0 ) ) ) *76 (CptPort -uid 395,0 +uid 481,0 ps "OnEdgeStrategy" shape (Triangle -uid 396,0 +uid 482,0 ro 90 va (VaSet vasetType 1 @@ -1011,11 +1011,11 @@ fg "0,65535,0" xt "14250,6625,15000,7375" ) tg (CPTG -uid 397,0 +uid 483,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 398,0 +uid 484,0 va (VaSet font "Verdana,12,0" ) @@ -1026,30 +1026,30 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 399,0 +uid 485,0 va (VaSet font "Courier New,8,0" ) -xt "44000,2400,71500,3200" -st "Position : IN unsigned (15 DOWNTO 0) ; +xt "44000,2400,76000,3200" +st "Position : IN std_uLogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort lang 11 decl (Decl n "Position" -t "unsigned" +t "std_uLogic_vector" b "(15 DOWNTO 0)" o 1 -suid 24,0 +suid 33,0 ) ) ) *77 (CptPort -uid 400,0 +uid 486,0 ps "OnEdgeStrategy" shape (Triangle -uid 401,0 +uid 487,0 ro 180 va (VaSet vasetType 1 @@ -1058,11 +1058,11 @@ fg "0,65535,0" xt "20625,5250,21375,6000" ) tg (CPTG -uid 402,0 +uid 488,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 403,0 +uid 489,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1075,29 +1075,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 404,0 +uid 490,0 va (VaSet font "Courier New,8,0" ) -xt "44000,7200,64500,8000" -st "rst : IN unsigned ; +xt "44000,7200,66000,8000" +st "rst : IN std_ulogic ; " ) thePort (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" +t "std_ulogic" o 4 -suid 25,0 +suid 34,0 ) ) ) *78 (CptPort -uid 405,0 +uid 491,0 ps "OnEdgeStrategy" shape (Triangle -uid 406,0 +uid 492,0 ro 180 va (VaSet vasetType 1 @@ -1106,11 +1106,11 @@ fg "0,65535,0" xt "19625,13000,20375,13750" ) tg (CPTG -uid 407,0 +uid 493,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 408,0 +uid 494,0 ro 270 va (VaSet font "Verdana,12,0" @@ -1122,7 +1122,7 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 409,0 +uid 495,0 va (VaSet font "Courier New,8,0" ) @@ -1137,7 +1137,7 @@ decl (Decl n "skip_deceleration" t "std_uLogic" o 30 -suid 26,0 +suid 35,0 ) ) ) @@ -1848,6 +1848,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 427,0 +lastUid 513,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor/hds/set_position/interface b/Cursor/hds/set_position/interface index 1cbd86d..05a25f6 100644 --- a/Cursor/hds/set_position/interface +++ b/Cursor/hds/set_position/interface @@ -21,7 +21,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 20,0 +suid 25,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -65,12 +65,12 @@ port (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" +t "std_ulogic" o 3 -suid 16,0 +suid 21,0 ) ) -uid 376,0 +uid 434,0 ) *15 (LogPort port (LogicalPort @@ -78,13 +78,13 @@ lang 11 m 1 decl (Decl n "pos1" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 27 -suid 17,0 +o 19 +suid 22,0 ) ) -uid 378,0 +uid 436,0 ) *16 (LogPort port (LogicalPort @@ -92,13 +92,13 @@ lang 11 m 1 decl (Decl n "pos2" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 28 -suid 18,0 +o 20 +suid 23,0 ) ) -uid 380,0 +uid 438,0 ) *17 (LogPort port (LogicalPort @@ -106,25 +106,25 @@ lang 11 m 1 decl (Decl n "pos_init" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 29 -suid 19,0 +o 21 +suid 24,0 ) ) -uid 382,0 +uid 440,0 ) *18 (LogPort port (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" +t "std_ulogic" o 4 -suid 20,0 +suid 25,0 ) ) -uid 384,0 +uid 442,0 ) ] ) @@ -180,31 +180,31 @@ uid 92,0 litem &14 pos 0 dimension 20 -uid 377,0 +uid 435,0 ) *25 (MRCItem litem &15 pos 1 dimension 20 -uid 379,0 +uid 437,0 ) *26 (MRCItem litem &16 pos 2 dimension 20 -uid 381,0 +uid 439,0 ) *27 (MRCItem litem &17 pos 3 dimension 20 -uid 383,0 +uid 441,0 ) *28 (MRCItem litem &18 pos 4 dimension 20 -uid 385,0 +uid 443,0 ) ] ) @@ -479,19 +479,19 @@ value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor\\hds\\s ) (vvPair variable "date" -value "14.12.2021" +value "17.12.2021" ) (vvPair variable "day" -value "mar." +value "ven." ) (vvPair variable "day_long" -value "mardi" +value "vendredi" ) (vvPair variable "dd" -value "14" +value "17" ) (vvPair variable "entity_name" @@ -519,7 +519,7 @@ value "Simon" ) (vvPair variable "graphical_source_date" -value "14.12.2021" +value "17.12.2021" ) (vvPair variable "graphical_source_group" @@ -531,7 +531,7 @@ value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "14:18:34" +value "09:37:09" ) (vvPair variable "group" @@ -603,7 +603,7 @@ value "interface" ) (vvPair variable "time" -value "14:18:34" +value "09:37:09" ) (vvPair variable "unit" @@ -638,10 +638,10 @@ optionalChildren [ uid 8,0 optionalChildren [ *62 (CptPort -uid 351,0 +uid 409,0 ps "OnEdgeStrategy" shape (Triangle -uid 352,0 +uid 410,0 ro 90 va (VaSet vasetType 1 @@ -650,11 +650,11 @@ fg "0,65535,0" xt "14250,6625,15000,7375" ) tg (CPTG -uid 353,0 +uid 411,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 354,0 +uid 412,0 va (VaSet font "Verdana,12,0" ) @@ -665,29 +665,29 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 355,0 +uid 413,0 va (VaSet font "Courier New,8,0" ) -xt "44000,2400,60000,3200" -st "clk : IN unsigned ; +xt "44000,2400,61000,3200" +st "clk : IN std_ulogic ; " ) thePort (LogicalPort lang 11 decl (Decl n "clk" -t "unsigned" +t "std_ulogic" o 3 -suid 16,0 +suid 21,0 ) ) ) *63 (CptPort -uid 356,0 +uid 414,0 ps "OnEdgeStrategy" shape (Triangle -uid 357,0 +uid 415,0 ro 90 va (VaSet vasetType 1 @@ -696,11 +696,11 @@ fg "0,65535,0" xt "23000,11625,23750,12375" ) tg (CPTG -uid 358,0 +uid 416,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 359,0 +uid 417,0 va (VaSet font "Verdana,12,0" ) @@ -712,12 +712,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 360,0 +uid 418,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4000,67500,4800" -st "pos1 : OUT std_logic (15 DOWNTO 0) ; +xt "44000,4000,71500,4800" +st "pos1 : OUT std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort @@ -725,18 +725,18 @@ lang 11 m 1 decl (Decl n "pos1" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 27 -suid 17,0 +o 19 +suid 22,0 ) ) ) *64 (CptPort -uid 361,0 +uid 419,0 ps "OnEdgeStrategy" shape (Triangle -uid 362,0 +uid 420,0 ro 90 va (VaSet vasetType 1 @@ -745,11 +745,11 @@ fg "0,65535,0" xt "23000,10625,23750,11375" ) tg (CPTG -uid 363,0 +uid 421,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 364,0 +uid 422,0 va (VaSet font "Verdana,12,0" ) @@ -761,12 +761,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 365,0 +uid 423,0 va (VaSet font "Courier New,8,0" ) -xt "44000,4800,67500,5600" -st "pos2 : OUT std_logic (15 DOWNTO 0) ; +xt "44000,4800,71500,5600" +st "pos2 : OUT std_ulogic_vector (15 DOWNTO 0) ; " ) thePort (LogicalPort @@ -774,18 +774,18 @@ lang 11 m 1 decl (Decl n "pos2" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 28 -suid 18,0 +o 20 +suid 23,0 ) ) ) *65 (CptPort -uid 366,0 +uid 424,0 ps "OnEdgeStrategy" shape (Triangle -uid 367,0 +uid 425,0 ro 90 va (VaSet vasetType 1 @@ -794,11 +794,11 @@ fg "0,65535,0" xt "23000,9625,23750,10375" ) tg (CPTG -uid 368,0 +uid 426,0 ps "CptPortTextPlaceStrategy" stg "RightVerticalLayoutStrategy" f (Text -uid 369,0 +uid 427,0 va (VaSet font "Verdana,12,0" ) @@ -810,12 +810,12 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 370,0 +uid 428,0 va (VaSet font "Courier New,8,0" ) -xt "44000,5600,66500,6400" -st "pos_init : OUT std_logic (15 DOWNTO 0) +xt "44000,5600,70500,6400" +st "pos_init : OUT std_ulogic_vector (15 DOWNTO 0) " ) thePort (LogicalPort @@ -823,18 +823,18 @@ lang 11 m 1 decl (Decl n "pos_init" -t "std_logic" +t "std_ulogic_vector" b "(15 DOWNTO 0)" -o 29 -suid 19,0 +o 21 +suid 24,0 ) ) ) *66 (CptPort -uid 371,0 +uid 429,0 ps "OnEdgeStrategy" shape (Triangle -uid 372,0 +uid 430,0 ro 90 va (VaSet vasetType 1 @@ -843,11 +843,11 @@ fg "0,65535,0" xt "14250,7625,15000,8375" ) tg (CPTG -uid 373,0 +uid 431,0 ps "CptPortTextPlaceStrategy" stg "VerticalLayoutStrategy" f (Text -uid 374,0 +uid 432,0 va (VaSet font "Verdana,12,0" ) @@ -858,21 +858,21 @@ tm "CptPortNameMgr" ) ) dt (MLText -uid 375,0 +uid 433,0 va (VaSet font "Courier New,8,0" ) -xt "44000,3200,60000,4000" -st "rst : IN unsigned ; +xt "44000,3200,61000,4000" +st "rst : IN std_ulogic ; " ) thePort (LogicalPort lang 11 decl (Decl n "rst" -t "unsigned" +t "std_ulogic" o 4 -suid 20,0 +suid 25,0 ) ) ) @@ -1583,6 +1583,6 @@ xt "42000,0,42000,0" tm "SyDeclarativeTextMgr" ) ) -lastUid 385,0 +lastUid 443,0 activeModelName "Symbol:CDM" ) diff --git a/Cursor_test/hds/.hdlsidedata/_cursor_tb_entity.vhg._fpf b/Cursor_test/hds/.hdlsidedata/_cursor_tb_entity.vhg._fpf index 3eea781..376bb70 100644 --- a/Cursor_test/hds/.hdlsidedata/_cursor_tb_entity.vhg._fpf +++ b/Cursor_test/hds/.hdlsidedata/_cursor_tb_entity.vhg._fpf @@ -1 +1 @@ -DIALECT atom VHDL_ANY +DIALECT atom VHDL_2008 diff --git a/Cursor_test/hds/.hdlsidedata/_cursor_tb_struct.vhg._fpf b/Cursor_test/hds/.hdlsidedata/_cursor_tb_struct.vhg._fpf deleted file mode 100644 index 3eea781..0000000 --- a/Cursor_test/hds/.hdlsidedata/_cursor_tb_struct.vhg._fpf +++ /dev/null @@ -1 +0,0 @@ -DIALECT atom VHDL_ANY diff --git a/Cursor_test/hds/cursor_tb/struct.bd b/Cursor_test/hds/cursor_tb/struct.bd index 804e25a..e9e2c55 100644 --- a/Cursor_test/hds/cursor_tb/struct.bd +++ b/Cursor_test/hds/cursor_tb/struct.bd @@ -78,23 +78,23 @@ value " " ) (vvPair variable "HDLDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hdl" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hdl" ) (vvPair variable "HDSDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\struct.bd.info" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\struct.bd.info" ) (vvPair variable "SideDataUserDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\struct.bd.user" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\struct.bd.user" ) (vvPair variable "SourceDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds" ) (vvPair variable "appl" @@ -114,27 +114,27 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb" ) (vvPair variable "d_logical" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb" ) (vvPair variable "date" -value "11.11.2019" +value "17.12.2021" ) (vvPair variable "day" -value "Mon" +value "ven." ) (vvPair variable "day_long" -value "Monday" +value "vendredi" ) (vvPair variable "dd" -value "11" +value "17" ) (vvPair variable "designName" @@ -162,11 +162,11 @@ value "struct" ) (vvPair variable "graphical_source_author" -value "silvan.zahno" +value "Simon" ) (vvPair variable "graphical_source_date" -value "11.11.2019" +value "17.12.2021" ) (vvPair variable "graphical_source_group" @@ -174,11 +174,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "WE6996" +value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "08:13:23" +value "09:37:57" ) (vvPair variable "group" @@ -186,7 +186,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "WE6996" +value "PC-SDM" ) (vvPair variable "language" @@ -206,7 +206,7 @@ value "$SCRATCH_DIR/Cursor_test/work" ) (vvPair variable "mm" -value "11" +value "12" ) (vvPair variable "module_name" @@ -214,19 +214,19 @@ value "cursor_tb" ) (vvPair variable "month" -value "Nov" +value "déc." ) (vvPair variable "month_long" -value "November" +value "décembre" ) (vvPair variable "p" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\struct.bd" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\struct.bd" ) (vvPair variable "p_logical" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\struct.bd" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\struct.bd" ) (vvPair variable "package_name" @@ -302,7 +302,7 @@ value "struct" ) (vvPair variable "time" -value "08:13:23" +value "09:37:57" ) (vvPair variable "unit" @@ -310,7 +310,7 @@ value "cursor_tb" ) (vvPair variable "user" -value "silvan.zahno" +value "Simon" ) (vvPair variable "version" @@ -322,11 +322,11 @@ value "struct" ) (vvPair variable "year" -value "2019" +value "2021" ) (vvPair variable "yy" -value "19" +value "21" ) ] ) @@ -347,7 +347,8 @@ va (VaSet isHidden 1 ) xt "-5000,32800,11300,34000" -st "SIGNAL reset : std_ulogic" +st "SIGNAL reset : std_ulogic +" ) ) *2 (Net @@ -364,7 +365,8 @@ va (VaSet isHidden 1 ) xt "-5000,26800,11400,28000" -st "SIGNAL clock : std_ulogic" +st "SIGNAL clock : std_ulogic +" ) ) *3 (Grouping @@ -449,7 +451,7 @@ va (VaSet fg "0,0,32768" bg "0,0,32768" ) -xt "56200,91400,74600,92600" +xt "56200,91400,71600,92600" st " by %user on %dd %month %year " @@ -766,7 +768,8 @@ isHidden 1 font "Verdana,8,0" ) xt "0,-1400,13600,-400" -st "SIGNAL testMode : std_uLogic" +st "SIGNAL testMode : std_uLogic +" ) ) *19 (Net @@ -784,7 +787,8 @@ isHidden 1 font "Verdana,8,0" ) xt "0,-1400,13300,-400" -st "SIGNAL sensor2 : std_uLogic" +st "SIGNAL sensor2 : std_uLogic +" ) ) *20 (Net @@ -802,7 +806,8 @@ isHidden 1 font "Verdana,8,0" ) xt "0,-1400,13300,-400" -st "SIGNAL sensor1 : std_uLogic" +st "SIGNAL sensor1 : std_uLogic +" ) ) *21 (Net @@ -820,7 +825,8 @@ isHidden 1 font "Verdana,8,0" ) xt "0,-1400,13700,-400" -st "SIGNAL motorOn : std_uLogic" +st "SIGNAL motorOn : std_uLogic +" ) ) *22 (Net @@ -838,7 +844,8 @@ isHidden 1 font "Verdana,8,0" ) xt "0,-1400,12900,-400" -st "SIGNAL side1 : std_uLogic" +st "SIGNAL side1 : std_uLogic +" ) ) *23 (Net @@ -856,7 +863,8 @@ isHidden 1 font "Verdana,8,0" ) xt "0,-1400,12900,-400" -st "SIGNAL side2 : std_uLogic" +st "SIGNAL side2 : std_uLogic +" ) ) *24 (Net @@ -874,7 +882,8 @@ isHidden 1 font "Verdana,8,0" ) xt "0,-1400,12900,-400" -st "SIGNAL go2 : std_uLogic" +st "SIGNAL go2 : std_uLogic +" ) ) *25 (Net @@ -892,7 +901,8 @@ isHidden 1 font "Verdana,8,0" ) xt "0,-1400,12900,-400" -st "SIGNAL go1 : std_uLogic" +st "SIGNAL go1 : std_uLogic +" ) ) *26 (Net @@ -910,7 +920,8 @@ isHidden 1 font "Verdana,8,0" ) xt "0,-1400,12800,-400" -st "SIGNAL restart : std_uLogic" +st "SIGNAL restart : std_uLogic +" ) ) *27 (Net @@ -928,7 +939,8 @@ isHidden 1 font "Verdana,8,0" ) xt "0,-1400,13400,-400" -st "SIGNAL encoderI : std_uLogic" +st "SIGNAL encoderI : std_uLogic +" ) ) *28 (Net @@ -946,7 +958,8 @@ isHidden 1 font "Verdana,8,0" ) xt "0,-1400,13600,-400" -st "SIGNAL encoderB : std_uLogic" +st "SIGNAL encoderB : std_uLogic +" ) ) *29 (Net @@ -964,7 +977,8 @@ isHidden 1 font "Verdana,8,0" ) xt "0,-1400,13600,-400" -st "SIGNAL encoderA : std_uLogic" +st "SIGNAL encoderA : std_uLogic +" ) ) *30 (Net @@ -981,7 +995,8 @@ va (VaSet isHidden 1 ) xt "0,-1400,17300,-200" -st "SIGNAL button4 : std_uLogic" +st "SIGNAL button4 : std_uLogic +" ) ) *31 (SaComponent @@ -2531,8 +2546,8 @@ tm "BdCompilerDirectivesTextMgr" ] associable 1 ) -windowSize "74,46,1330,909" -viewArea "-8608,17960,105743,94895" +windowSize "-8,-8,1722,1111" +viewArea "-8600,-4000,158289,106111" cachedDiagramExtent "-7000,-1400,102000,93000" pageSetupInfo (PageSetupInfo ptrCmd "\\\\ipp://ipp.hevs.ch\\PREA309_HPLJP3005DN,winspool," @@ -2559,7 +2574,7 @@ boundaryWidth 0 ) hasePageBreakOrigin 1 pageBreakOrigin "-7000,19000" -lastUid 4859,0 +lastUid 5464,0 defaultCommentText (CommentText shape (Rectangle layer 0 @@ -3588,7 +3603,7 @@ tm "BdDeclarativeTextMgr" ) commonDM (CommonDM ldm (LogicalDM -suid 16,0 +suid 38,0 usingSuid 1 emptyRow *102 (LEmptyRow ) diff --git a/Cursor_test/hds/cursor_tb/symbol.sb b/Cursor_test/hds/cursor_tb/symbol.sb index ac3f457..20e4548 100644 --- a/Cursor_test/hds/cursor_tb/symbol.sb +++ b/Cursor_test/hds/cursor_tb/symbol.sb @@ -10,7 +10,7 @@ appVersion "2019.2 (Build 5)" model (Symbol commonDM (CommonDM ldm (LogicalDM -suid 2001,0 +suid 2008,0 usingSuid 1 emptyRow *1 (LEmptyRow ) @@ -330,23 +330,23 @@ value " " ) (vvPair variable "HDLDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hdl" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hdl" ) (vvPair variable "HDSDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds" ) (vvPair variable "SideDataDesignDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\symbol.sb.info" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\symbol.sb.info" ) (vvPair variable "SideDataUserDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\symbol.sb.user" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\symbol.sb.user" ) (vvPair variable "SourceDir" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds" ) (vvPair variable "appl" @@ -366,27 +366,27 @@ value "%(unit)_%(view)_config" ) (vvPair variable "d" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb" ) (vvPair variable "d_logical" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb" ) (vvPair variable "date" -value "11.11.2019" +value "17.12.2021" ) (vvPair variable "day" -value "Mon" +value "ven." ) (vvPair variable "day_long" -value "Monday" +value "vendredi" ) (vvPair variable "dd" -value "11" +value "17" ) (vvPair variable "entity_name" @@ -410,11 +410,11 @@ value "symbol" ) (vvPair variable "graphical_source_author" -value "silvan.zahno" +value "Simon" ) (vvPair variable "graphical_source_date" -value "11.11.2019" +value "17.12.2021" ) (vvPair variable "graphical_source_group" @@ -422,11 +422,11 @@ value "UNKNOWN" ) (vvPair variable "graphical_source_host" -value "WE6996" +value "PC-SDM" ) (vvPair variable "graphical_source_time" -value "08:13:22" +value "09:37:57" ) (vvPair variable "group" @@ -434,7 +434,7 @@ value "UNKNOWN" ) (vvPair variable "host" -value "WE6996" +value "PC-SDM" ) (vvPair variable "language" @@ -454,7 +454,7 @@ value "$SCRATCH_DIR/Cursor_test/work" ) (vvPair variable "mm" -value "11" +value "12" ) (vvPair variable "module_name" @@ -462,19 +462,19 @@ value "cursor_tb" ) (vvPair variable "month" -value "Nov" +value "déc." ) (vvPair variable "month_long" -value "November" +value "décembre" ) (vvPair variable "p" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\symbol.sb" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\symbol.sb" ) (vvPair variable "p_logical" -value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\symbol.sb" +value "C:\\Users\\Simon\\Desktop\\ELN\\Projet\\Cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\symbol.sb" ) (vvPair variable "package_name" @@ -502,7 +502,7 @@ value "symbol" ) (vvPair variable "time" -value "08:13:22" +value "09:37:57" ) (vvPair variable "unit" @@ -510,7 +510,7 @@ value "cursor_tb" ) (vvPair variable "user" -value "silvan.zahno" +value "Simon" ) (vvPair variable "version" @@ -522,11 +522,11 @@ value "symbol" ) (vvPair variable "year" -value "2019" +value "2021" ) (vvPair variable "yy" -value "19" +value "21" ) ] ) @@ -541,7 +541,7 @@ va (VaSet vasetType 1 fg "0,65535,0" ) -xt "29000,13000,43000,27000" +xt "29000,13000,56000,27000" ) oxt "15000,6000,20000,26000" biTextGroup (BiTextGroup @@ -673,7 +673,7 @@ va (VaSet fg "0,0,32768" bg "0,0,32768" ) -xt "27200,47400,42300,48600" +xt "27200,47400,42600,48600" st " by %user on %dd %month %year " @@ -1224,7 +1224,7 @@ xt "0,3400,0,3400" tm "SyDeclarativeTextMgr" ) ) -lastUid 111,0 +lastUid 206,0 okToSyncOnLoad 1 OkToSyncGenericsOnLoad 1 ) diff --git a/Prefs/hds_user/v2019.2/hds_user_prefs b/Prefs/hds_user/v2019.2/hds_user_prefs index c5a3778..fc7bad9 100644 --- a/Prefs/hds_user/v2019.2/hds_user_prefs +++ b/Prefs/hds_user/v2019.2/hds_user_prefs @@ -4181,7 +4181,7 @@ hdsWorkspaceLocation "" relativeLibraryRootDir "" vmLabelLatestDontAskAgain 0 vmLabelWorkspaceDontAskAgain 0 -logWindowGeometry "600x200-0+0" +logWindowGeometry "600x389+980+96" diagramBrowserTabNo 0 showInsertPortHint 0 showContentFirstTime 0 @@ -6208,7 +6208,7 @@ yPos 0 width 1730 height 1119 activeSidePanelTab 2 -activeLibraryTab 3 +activeLibraryTab 2 sidePanelSize 278 showUnixHiddenFiles 0 componentBrowserXpos 1173